TWI383938B - 基板運送裝置、基板運送方法以及曝光裝置 - Google Patents
基板運送裝置、基板運送方法以及曝光裝置 Download PDFInfo
- Publication number
- TWI383938B TWI383938B TW094136291A TW94136291A TWI383938B TW I383938 B TWI383938 B TW I383938B TW 094136291 A TW094136291 A TW 094136291A TW 94136291 A TW94136291 A TW 94136291A TW I383938 B TWI383938 B TW I383938B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- cover
- protective cover
- state
- pattern
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims description 227
- 238000000034 method Methods 0.000 title claims description 27
- 230000001681 protective effect Effects 0.000 claims description 106
- 230000032258 transport Effects 0.000 claims description 61
- 230000001012 protector Effects 0.000 claims description 3
- 239000007789 gas Substances 0.000 description 9
- 230000003287 optical effect Effects 0.000 description 9
- 229910052734 helium Inorganic materials 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 238000005286 illumination Methods 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000005192 partition Methods 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 238000007689 inspection Methods 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 239000006096 absorbing agent Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 239000000428 dust Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J11/00—Manipulators not otherwise provided for
- B25J11/0095—Manipulators transporting wafers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/38—Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
- G03F1/48—Protective coatings
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/62—Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/66—Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70733—Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70733—Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
- G03F7/70741—Handling masks outside exposure position, e.g. reticle libraries
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70733—Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
- G03F7/7075—Handling workpieces outside exposure position, e.g. SMIF box
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70908—Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70908—Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
- G03F7/70916—Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70983—Optical system protection, e.g. pellicles or removable covers for protection of mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67225—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Nanotechnology (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Environmental & Geological Engineering (AREA)
- Atmospheric Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Robotics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Library & Information Science (AREA)
- Theoretical Computer Science (AREA)
- Mathematical Physics (AREA)
- Mechanical Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Description
本發明是關於一種用於進行形成有圖案之基板的運送的基板運送裝置、基板運送方法以及具有基板運送裝置的曝光裝置。
在EPL、EUVL等新一代刻蝕所使用的十字標記(也稱作掩膜)中,作為共同課題,都存在不能使用用於防止在十字標記圖案面上附著粒子而形成缺陷的原因之薄膜的缺點。
作為解決該課題的方法,在美國專利第6239863號中提出有一種在不使用十字標記時安裝保護罩而只在曝光時取下的方式。
但是,在以上這種方式中,曝光時所使用的十字標記的保護罩是以一種保護罩的內面露出的狀態置放,存在在保護罩的內面容易附著粒子等的問題。
本發明的目的是提供一種用於解決這種習知的問題而形成的,在基板使用時能夠輕鬆、確實地防止保護罩的內面受到污染之基板運送裝置、基板運送方法及具有該基板運送裝置的曝光裝置。
本發明的第一觀點之基板運送裝置為將形成有圖案之基板進行運送的基板運送裝置,並在不使用前述基板時將前述基板在利用保護罩進行保護之狀態下進行運送的基
板運送裝置,其特徵在於:具有當使用前述基板時覆蓋前述保護罩的內面之罩殼保護裝置。
本發明的第二觀點之基板運送裝置的特徵在於:前述罩殼保護裝置在前述基板被用於曝光時覆蓋前述保護罩的內面。
本發明的第三觀點之基板運送裝置的特徵在於:前述保護罩由覆蓋前述基板並可裝卸地進行配置之複數個罩殼構件構成,且前述罩殼保護裝置在關閉前述複數個罩殼構件的狀態下於待機位置進行待機。
本發明的第四觀點之基板運送裝置的特徵在於:前述罩殼保護裝置將前述基板與前述複數個罩殼構件的一部分一起運送到前述基板的曝光位置後,使前述一部分罩殼構件返回到前述待機位置而形成關閉前述複數個罩殼構件的狀態。
本發明的第五觀點之基板運送裝置的特徵在於:關閉前述複數個罩殼構件的狀態為一種將前述複數個罩殼構件進行緊密附著的狀態。
本發明的第六觀點之基板運送裝置的特徵在於:前述保護罩由覆蓋前述基板的前述圖案並可裝卸地進行配置之罩殼構件組成,且前述罩殼保護裝置使前述罩殼構件在安裝於模擬前述基板的形狀之模擬構件上的狀態下,在待機位置上進行待機。
本發明的第七觀點之基板運送裝置的特徵在於:前述待機位置為進行前述基板的校準位置。
本發明的第八觀點之基板運送裝置的特徵在於:前述待機位置為從前述基板拆下前述罩殼構件的位置。
本發明的第九觀點之基板運送裝置的特徵在於:前述待機位置為將前述基板在曝光環境中進行保存的庫存(library)部。
本發明的第十觀點之基板運送裝置的特徵在於:在前述待機位置使前述保護罩殼接地。
本發明的第十一觀點之基板運送裝置為將形成有圖案之基板進行運送的基板運送裝置,並在不使用前述基板時將前述基板在利用保護罩進行保護之狀態下進行運送的基板運送裝置,其特徵在於:具有將前述基板或保護罩進行接地的接地裝置。
本發明的第十二觀點之基板運送裝置的特徵在於:前述接地裝置被設置在用於載置前述基板或保護罩的載置臺上。
本發明的第十三觀點之基板運送裝置的特徵在於:前述基板通過前述保護罩進行接地。
本發明的第十四觀點之基板運送方法為將形成有圖案之基板進行運送的基板運送方法,並在不使用前述基板時將前述基板在利用保護罩進行保護之狀態下進行運送的基板運送方法,其特徵在於:在使用前述基板時,使前述保護罩在覆蓋前述保護罩的內面之狀態下進行待機。
本發明的第十五觀點之曝光裝置的特徵在於:具有第一觀點至第十三觀點中的任一觀點所述的基板運送裝置。
本發明的基板運送裝置在使用基板時,利用罩殼保護裝置覆蓋保護罩的內面,所以在使用基板時,能夠輕鬆、確實地防止保護罩的內面受到污染。
而且,本發明的基板運送裝置利用接地裝置使基板或保護罩接地,所以能夠輕鬆、確實地防止在基板或保護罩上帶有靜電。
本發明的基板運送方法在使用基板時,使保護罩在覆蓋保護罩內面的狀態下進行待機,所以能夠輕鬆、確實地防止保護罩的內面受到污染。
本發明的曝光裝置能夠使用污染少的基板得到成品率高的製品。
為讓本發明之上述和其他目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下。
(第一實施例)
圖1所示為本發明的基板運送裝置的第一實施例。
此基板運送裝置與配置有十字標記載台11等的曝光室13鄰接設置。在曝光室13的一側,設置配置有真空自動裝置15的自動裝置室17。在自動裝置室(robot)17的一側,設置有真空十字標記庫(reticule library)19,在另一側設置有淨化過濾箱開啟構件(clean filter pod opener)(以下稱作CFP開啟工具)21。曝光室13、自動裝置室17、真空十字標記庫19及CFP開啟工具21形成真空環境。
在自動裝置室17的與曝光室13對向的位置上,配置有負載鎖定(load lock)室23。負載鎖定室23通過第二閘閥(gate valve)25與自動裝置室17連通。而且,通過第一閘閥27與外部大氣連通。
在負載鎖定室23的外側,通過第二大氣自動裝置29配置有十字標記載體開啟工具31。在十字標記載體開啟工具31的外側,通過第一大氣自動裝置33配置有大氣十字標記庫35。
在上述基板運送裝置的大氣十字標記庫35中,如圖2所示,曝光所使用的EUVL用的十字標記37置於由十字標記載體39及淨化過濾箱(以下稱作CFP)41進行雙重保護的狀態下。CFP41具有作為在減壓環境中對十字標記37進行保護之保護罩的機能。
置於大氣十字標記庫35中的十字標記載體39,由第一大氣自動裝置33被運送到十字標記載體開啟工具31。然後,由十字標記載體ID讀出器43而使十字標記載體39被識別。在此十字標記載體開啟工具31中,十字標記載體39打開而使CFP41露出。露出的CFP41由溫度補償燈45而升溫約2~3℃。溫度上升的CFP41利用第二大氣自動裝置29,被運送到只有第一閘閥17打開之狀態的負載鎖定室23內。另外,使從十字標記載體開啟工具31到負載鎖定室23的順路形成清淨環境。
在負載鎖定室23中,在關閉第一閘閥27及第二閘閥25的狀態下,對每個CFP41進行真空吸拉。當負載鎖定
室23內達到設定的真空狀態時,只有第二閘閥25被打開,CFP41由真空自動裝置15被運送到真空十字標記庫19。
在真空十字標記庫19中,例如5片左右的十字標記37以收納於CFP41中的狀態進行保存。十字標記37利用溫度調整機構(未圖示)而維持在設定的溫度。被收納於CFP41中之狀態的十字標記37由十字標記ID讀出器47進行識別。被識別的十字標記37利用真空自動裝置15,在收納於CFP41中的狀態下被運送到CFP開啟工具21。
在CFP開啟工具21中,CFP41打開而使十字標記37露出。
在此實施例中,如圖3所示,在CFP開啟工具21中進行運送的CFP41,被載置於CFP載台49上。CFP41由上罩構件(上蓋)51和下罩構件(下蓋)53構成。而且,如圖4所示,藉由使CFP載台49下降,而使上罩構件51的外周部與支持構件55上端的系結構件57進行系結,並使十字標記37露出。
在此實施例中,於CFP載台49的下方配置有用於進行十字標記37的預校準的基準顯微鏡59。而且,藉由利用基準顯微鏡59,從CFP載台49上所形成的貫通孔49a及下罩構件53上所設置的透明窗53a,越過透明窗53a對十字標記37的下面所設置之預校準標誌37a進行檢測,且驅動CFP載台49而進行預校準。此時,藉由越過下罩構件53的透明窗53a,對十字標記37上所設置的條型碼等十字標記ID進行檢測,可確認十字標記ID。
結束了預校準的十字標記37如圖5所示,在收納於CFP41的下罩構件53中的狀態下,由真空自動裝置15的運送臂61被運送到十字標記載台11上。在十字標記載台11上,靜電吸盤63將吸附面63a朝下配置。而且,在由運送臂61通過下罩構件53將十字標記37在靜電吸盤63的吸附面63a上進行按壓的狀態下,藉由接通靜電吸盤63而使十字標記37的上面被固定在吸附面63a上。
在十字標記37的固定後,運送臂61將下罩構件53運送到CFP開啟工具21上,並如圖4所示在位於下降位置的CFP載台49上載置下罩構件53。然後,如圖6所示,藉由使CFP載台49上升而使CFP41的上罩構件51和下罩構件53緊密附著,使上罩構件51和下罩構件53的內部被密閉。在此實施例下,關閉的CFP41保持此狀態而在CFP開啟工具21內於曝光中待機。另外,在CFP開啟工具21和預校準部不同的情況下,也可在預校準部進行待機。而且,也可運送到真空十字標記庫19中進行待機。
當曝光結束並進行十字標記載台11的十字標記37的交換時,將在圖6所示的狀態下待機的CFP41的上罩構件51和下罩構件53,藉由使CFP載台49下降並下降下罩構件53而進行分離(對應圖4中沒有十字標記37的狀態),將下罩構件53利用運送臂61運送到十字標記37的交換位置。
然後,藉由在靜電吸盤63所吸附的十字標記37上抵接下罩構件53的狀態(參照圖5)下斷開靜電吸盤63,而
在下罩構件53上載置十字標記37。在此狀態下,利用運送臂61將十字標記37運送到CFP開啟工具21上,並如圖4所示那樣在處於下降位置的CFP載台49上,將載置有十字標記37的下罩構件53進行載置。然後,藉由使CFP載台49上升,而使CFP41的上罩構件51和下罩構件53進行緊密附著(參照圖3),並在將十字標記保持於CFP41內的狀態下使CFP41密閉。
在上述的基板運送裝置及方法中,當十字標記37在曝光中被使用時,可將CFP41的上罩構件51和下罩構件53關閉,覆蓋上罩構件51及下罩構件53的內面,所以當十字標記37在曝光時被使用時,可輕鬆、確實地防止CFP41的內面受到污染。而且,因為CFP41的內面不會受到污染,所以十字標記37受到污染的情況非常少。
(第二實施例)
圖7所示為本發明的基板運送裝置的第二實施例。
另外,在此實施例中,對與第一實施例相同的構件付以相同的符號並省略詳細的說明。
在此實施例中,如圖7(a)所示,作為保護罩的罩殼構件65只覆蓋十字標記37的圖案面37b而可裝卸地進行安裝。
而且,如圖7(b)所示,利用運送臂61而在將罩殼構件65安裝在十字標記37上的狀態下被運送到十字標記載台11的靜電吸盤63,並只使十字標記37被靜電吸盤63吸附固定。
另一方面,如圖7(c)所示,在運送臂61上所殘留的罩殼構件65由運送臂61被運送到待機部。在待機部,如圖7(d)所示,藉由配置模擬十字標記37的形狀之模擬構件67,並在此模擬構件67上安裝罩殼構件65,而使罩殼構件65的內面由模擬構件67進行覆蓋保護。
然後,當曝光結束並進行十字標記載台11的十字標記37的更換時,使罩殼構件65從模擬構件67脫離,並利用運送臂61運送到十字標記37的更換位置。然後,藉由在靜電吸盤63所吸附的十字標記37上安裝罩殼構件65後,斷開靜電吸盤63,而取下十字標記37。被取下的十字標記37與罩殼構件65一起,由運送臂61運送到例如真空十字標記庫19。
在此實施例中也可得到與第一實施例同樣的效果。
(第三實施例)
圖8所示為本發明的基板運送裝置的第三實施例。
另外,在此實施例中,對與第一實施例相同的構件付以相同的符號並省略詳細的說明。
在此實施例中,於CFP開啟裝置21的CFP載台49(載置台)的上面,形成由例如鋁構成的載台側導電性層69。此載台側導電性層69通過接地線71接地。
另一方面,在CFP41的下罩構件53的側面,形成有在將下罩構件53載置於載台側導電性層69上時,與載台側導電性層69進行接觸的下罩導電性層53b。此下罩導電性層53b在將上罩構件51載置於下罩構件53上時,與上
罩構件51上所形成的上罩導電性層51b接觸。而且,在十字標記37的上面,形成有在載置上罩構件51時,與上罩導電性層51b進行接觸的十字標記導電性層37b。
雖然在此實施例中也可與第一實施例得到同樣的效果,但在此實施例中,是在CFP載台49中,將CFP41的下罩構件53、上罩構件51或十字標記37進行接地,所以能夠輕鬆、確實地防止下罩構件53、上罩構件51或十字標記37帶有靜電。因此,能夠更加降低粒子向這些構件上的附著。
另外,在此實施例中,是對在CFP載台49中,將下罩構件53、上罩構件51或十字標記37接地的例子進行說明,但也可在例如與真空十字標記庫19的載置有CFP41的板材、負載鎖定室23的載置台、真空自動裝置15或大氣自動裝置29的CFP41進行接觸之部分(終端操作裝置)上進行接地。在這種情況下,沒有必要在所有的位置進行接地,可在需要防止CFP41和十字標記37的帶電之位置進行接地。
而且,在上述實施例中,是採用一種在CFP41的上罩構件51和下罩構件53上形成導電性層51b、53b,而在關閉上罩構件51和下罩構件53時使兩者電氣連接之構成,但如上罩構件51和下罩構件53為導電材料(例如鋁),則沒有必要特別地形成導電性層。而且,CFP41只是放置在CFP載台49上進行接地,但在電氣連接不充分的情況下,也可配置使電氣接觸確實實現的機械性裝置(例如導通針
等)。CFP41的上罩構件51的導電性層51b和十字構件37的導電性層37b的接觸也是相同的。
而且,在上述實施例中,只在十字標記37的上面形成十字標記側導電性層37b,但也可在側面或下麵(形成有電路圖案的面)形成導電性層。在這種情況下,導電性層需要以在曝光、檢查、各種校準中不產生問題之形態而形成。而且,是在CFP載台49的上面的全面形成載台側導電性層69,但載台側導電性層69也可只在一部分上形成,只要能夠至少使十字標記37或CFP41接地,可採用任意志的構成。
而且,如圖7所示,當只在十字標記37的圖案面37b上設置罩殼構件65時,十字標記37的上面露出,所以十字標記37的接地既可從此上面直接取得,也可與上述例子同樣地通過罩殼構件65進行接地。
(曝光裝置的實施例)
圖9所示為圖1的曝光室13內的EUV光刻蝕系統的模式圖。另外,在此實施例中,對與第一實施例相同的構件付以相同的符號。在此實施例中,使用EUV光作為曝光的照明光。EUV光具有0.1~400nm間的波長,而在此實施例中特別以1~50nm左右的波長為佳。投影像利用像光學系統101,在晶圓103上形成根據十字光標37之圖案的縮小像。
在晶圓103上所照射的圖案,由在十字標記載台11的下側通過靜電吸盤63配置之反射型的十字標記37決
定。此反射型的十字標記37由上述實施例的真空自動裝置15被搬入或搬出(真空自動裝置15的圖示省略)。而且,晶圓103被載置於晶圓載台105上。典型的是由步進掃描實現曝光。
作為曝光時的照明光使用的EUV光對大氣的透過性低,所以EUV光通過的光路徑被包圍於利用適當的真空泵107保持真空之真空室106中。而且,EUV光由激光等離子體X光源生成。激光等離子體X光線由激光光源108(作為激勵光源發揮作用)和氙氣供給裝置109構成。激光等離子體X光源由真空室110包圍。由激光等離體X光線所生成的EUV光通過真空室110的窗111。
激光光源108產生具有紫外線以下的波長之激光光,可使用例如YAG激光、激態複合物激光。來自激光光源108的激光光被聚光,對從噴嘴112所排出的氙氣(從氙氣供給裝置109被供給)氣流進行照射。當對氙氣氣流照射激光光時,激光光使氙氣充分增溫而產生等離子。當由激光所激勵的氙氣的分子落入低能量狀態時,排出EUV光的光子。
抛物面鏡113配置於氙氣排出部附近。抛物面鏡113將利用等離子體所生成的EUV光進行聚光。抛物面鏡113構成集光光學系統,以使焦點位置進入來自噴嘴112的氙氣被排出的位置的附近之形態進行配置。EUV光由抛物面鏡113的多層膜進行反射,並通過真空室110的窗111而到達聚光鏡114。聚光鏡114將EUV光向反射型的十字標
記37進行聚光、反射。EUV光由聚光鏡114被反射,並對十字標記37的設定部分進行照明。即,抛物面鏡113和聚光鏡114構成此裝置的照明系統。
十字標記37具有反射EUV的多層膜和用於形成圖案的吸收體圖案層。藉由利用十字標記37使EUV光被反射而使EUV光被〔圖案化〕。圖案化了的EUV光通過投影系統101到達晶圓103。
此實施例的像光學系統101由凹面第一反射鏡115a、凸面第二反射鏡115b、凸面第四反射鏡115d的4個反射鏡構成。各反射鏡115a~115d具有反射EUV光的多層膜。
由十字標記37被反射的EUV光從第一反射鏡115a到第四反射鏡115d依次進行反射,形成十字標記圖案的縮小(例如1/4、1/5、1/6)像。像光學系統101在像一側(晶圓103一側)形成遠心。
十字標記37利用可動的十字標記載台11,至少在X-Y平面內被支持。晶圓103較佳是由在X、Y、Z方向可動的晶圓載台105進行支持。當對晶圓103上的印模進行曝光時,EUV光利用照明系統而照射十字標記37的設定區域,並使十字標記37和晶圓103對像光學系統101,以依據像光學系統101的縮小率的設定速度進行動作。這樣一來,使十字標記圖案在晶圓103上的設定曝光範圍(對印模)被曝光。
當進行曝光時,為了不使由晶圓103上的光刻膠所產生的氣體對像光學系統101的反射鏡115a~115d產生影
響,最好將晶圓103配置在分區116的後面。分區116具有開口116a,並通過其使EUV光從反射鏡115d向晶圓103照射。分區116內的空間利用真空泵117進行真空排氣。這樣,可防止因對十字標記進行照射所產生之氣體狀的灰塵附著在反射鏡115a~115d或十字標記37上。所以,可防止它們的光學性能的惡化。
在此實施例的曝光裝置中,是利用上述的基板運送裝置進行十字標記37的運送,所以可使用污染少的十字標記37得到成品率高的製品。
(實施例的補充事項)
上面利用上述的實施例對本發明進行了說明,但本發明的技術範圍並不限定於上述的實施例,例如也可為以下的形態。
(1)在上述實施例中,對十字標記37在曝光中使用時覆蓋CFP41的內面之例子進行了說明,但也可在例如十字標記37在檢查、洗淨等中使用時覆蓋CFP41的內面。
(2)在上述第一實施例中,是對利用上罩構件51和下罩構件53這2個構件構成十字標記37的保護罩之例子進行了說明,但也可由例如3個以上的構件構成。
(3)在上述實施例中,是對利用EUV光的曝光裝置的例子進行了說明,但除此以外,也可廣泛應用於利用帶電粒子線、i線、g線、KrF、ArF、F2等的曝光裝置。
11‧‧‧十字標記載台
13‧‧‧曝光室
15‧‧‧真空自動裝置
17‧‧‧自動裝置室
19‧‧‧真空十字標記庫
21‧‧‧淨化過濾箱開啟構件
23‧‧‧負載鎖定室
25‧‧‧第二閘閥
27‧‧‧第一閘閥
29‧‧‧第二大氣自動裝置
31‧‧‧十字標記載體開啟工具
33‧‧‧第一大氣自動裝置
35‧‧‧大氣十字標記庫
37‧‧‧十字標記
37a‧‧‧預校準標記
37b‧‧‧圖案面(37b:十字標記導電性層)
39‧‧‧十字標記載體
41‧‧‧CFP
43‧‧‧十字標記載體ID讀出器
45‧‧‧溫度補償燈
47‧‧‧十字標記ID讀出器
49‧‧‧CFP載台
49a‧‧‧貫通孔
51‧‧‧上罩構件
51b‧‧‧上罩導電性層
53‧‧‧下罩構件
53a‧‧‧透明窗
53b‧‧‧下罩導電性層
55‧‧‧支持構件
57‧‧‧系結構件
59‧‧‧基準顯微鏡
61‧‧‧運送臂
63‧‧‧靜電吸盤
63a‧‧‧吸附面
65‧‧‧罩殼構件
67‧‧‧模擬構件
69‧‧‧載台側導電性層
71‧‧‧接地線
101‧‧‧像光學系統
103‧‧‧晶圓
105‧‧‧晶圓載台
106‧‧‧真空室
107‧‧‧真空泵
108‧‧‧激光光源
109‧‧‧氙氣供給裝置
110‧‧‧真空室
111‧‧‧窗
112‧‧‧噴嘴
113‧‧‧抛物面鏡
114‧‧‧聚光鏡
115a‧‧‧凹面第一反射鏡
115b‧‧‧凸面第二反射鏡
115c‧‧‧凸面第三反射鏡
115d‧‧‧凹面第四反射鏡
116‧‧‧分區
圖1所示為本發明的基板運送裝置的第一實施例的說
明圖。
圖2所示為圖1的十字標記載體的說明圖。
圖3所示為圖1的CFP載台的詳細說明圖。
圖4所示為在圖3中從CFP露出十字標記之狀態的說明圖。
圖5所示為從圖1的CFP載台將十字標記運送到十字標記載台之狀態的說明圖。
圖6所示為在圖1的CFP載臺上使CFP待機之狀態的說明圖。
圖7所示為本發明的基板運送裝置之第二實施例的說明圖。
圖8所示為本發明的基板運送裝置之第三實施例的說明圖。
圖9所示為本發明的曝光裝置之一實施例的說明圖。
11‧‧‧十字標記載台
13‧‧‧曝光室
15‧‧‧真空自動裝置
17‧‧‧自動裝置室
19‧‧‧真空十字標記庫
21‧‧‧淨化過濾箱開啟構件
23‧‧‧負載鎖定室
25‧‧‧第二閘閥
27‧‧‧第一閘閥
29‧‧‧第二大氣自動裝置
31‧‧‧十字標記載體開啟工具
33‧‧‧第一大氣自動裝置
35‧‧‧大氣十字標記庫
37‧‧‧十字標記
41‧‧‧CFP
43‧‧‧十字標記載體ID讀出器
47‧‧‧十字標記ID讀出器
61‧‧‧運送臂
Claims (27)
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件的狀態下,於一待機位置進行待機,該罩殼保護裝置將該基板與該複數個罩殼構件的一部分一起運送到該基板的曝光位置後,使該一部分罩殼構件返回到該待機位置而形成關閉該些罩殼構件的狀態。
- 如申請專利範圍第1項所述之基板運送裝置,其特徵在於:該罩殼保護裝置在該基板被用於曝光時覆蓋該保護罩的內面。
- 如申請專利範圍第1項所述之基板運送裝置,其特徵在於:關閉該複數個罩殼構件的狀態為將該些罩殼構件進行緊密附著的狀態。
- 如申請專利範圍第1項所述之基板運送裝置,其特徵在於:該保護罩由覆蓋該基板的該圖案並可裝卸地進行配 置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機。
- 如申請專利範圍第3項~第4項中的任一項所述之基板運送裝置,其特徵在於:該待機位置為進行該基板的校準位置。
- 如申請專利範圍第3項~第4項中的任一項所述之基板運送裝置,其特徵在於:該待機位置為從該基板拆下該罩殼構件的位置。
- 如申請專利範圍第3項~第4項中的任一項所述之基板運送裝置,其特徵在於:該待機位置為將該基板在曝光環境中進行保存的庫存(library)部。
- 如申請專利範圍第3項~第4項中的任一項所述之基板運送裝置,其特徵在於:在該待機位置使該保護罩殼接地。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有將該基板或該保護罩進行接地的一接地裝置。
- 如申請專利範圍第9項所述之基板運送裝置,其特徵在於: 該接地裝置設置在用於載置該基板或該保護罩的一載置臺上。
- 如申請專利範圍第9項或第10項所述之基板運送裝置,其特徵在於:該基板透過該保護罩進行接地。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板並可裝卸地進行配置之複數 個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件的狀態下,於一待機位置進行待機,該待機位置為進行該基板的校準位置。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件的狀態下,於一待機位置進行待機,該待機位置為將該基板在曝光環境中進行保存的庫存部。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件 的狀態下,於一待機位置進行待機,在該待機位置使該保護罩殼接地。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機,該待機位置為進行該基板的校準位置。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待 機位置上進行待機,該待機位置為將該基板在曝光環境中進行保存的庫存部。
- 一種基板運送裝置,為將形成有一圖案之一基板進行運送的該基板運送裝置,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送裝置,其特徵在於:具有當使用前述基板時覆蓋該保護罩之內面的一罩殼保護裝置,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機,在該待機位置使該保護罩殼接地。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件 的狀態下,於一待機位置進行待機,該罩殼保護裝置將該基板與該複數個罩殼構件的一部分一起運送到該基板的曝光位置後,使該一部分罩殼構件返回到該待機位置而形成關閉該些罩殼構件的狀態。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件 的狀態下,於一待機位置進行待機,該待機位置為進行該基板的校準位置。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件的狀態下,於一待機位置進行待機,該待機位置為將該基板在曝光環境中進行保存的庫存部。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板並可裝卸地進行配置之複數個罩殼構件構成,且該罩殼保護裝置在關閉該些罩殼構件的狀態下,於一待機位置進行待機, 在該待機位置使該保護罩殼接地。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機,該待機位置為進行該基板的校準位置。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機, 該待機位置為將該基板在曝光環境中進行保存的庫存部。
- 一種基板運送方法,為將形成有一圖案之基板進行運送的該基板運送方法,並在不使用該基板時將該基板在利用一保護罩進行保護之狀態下進行運送的該基板運送方法,其特徵在於:在使用該基板時,使該保護罩在覆蓋該保護罩的內面之狀態下進行待機,以一罩殼保護裝置覆蓋該保護罩的內面,該保護罩由覆蓋該基板的該圖案並可裝卸地進行配置的一罩殼構件組成,且該罩殼保護裝置使該罩殼構件在安裝於模擬該基板的形狀之模擬構件上的狀態下,在一待機位置上進行待機,在該待機位置使該保護罩殼接地。
- 一種曝光裝置,其特徵在於:具有申請專利範圍第1項至第18項中的任一項所述的該基板運送裝置。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2004310919A JP2006128188A (ja) | 2004-10-26 | 2004-10-26 | 基板搬送装置、基板搬送方法および露光装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200613208A TW200613208A (en) | 2006-05-01 |
TWI383938B true TWI383938B (zh) | 2013-02-01 |
Family
ID=36205863
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW094136291A TWI383938B (zh) | 2004-10-26 | 2005-10-18 | 基板運送裝置、基板運送方法以及曝光裝置 |
Country Status (7)
Country | Link |
---|---|
US (2) | US7483123B2 (zh) |
EP (2) | EP3439018A1 (zh) |
JP (1) | JP2006128188A (zh) |
KR (2) | KR101496076B1 (zh) |
CN (1) | CN101006573A (zh) |
TW (1) | TWI383938B (zh) |
WO (1) | WO2006046488A1 (zh) |
Families Citing this family (349)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7250114B2 (en) * | 2003-05-30 | 2007-07-31 | Lam Research Corporation | Methods of finishing quartz glass surfaces and components made by the methods |
TWI447840B (zh) * | 2004-11-15 | 2014-08-01 | 尼康股份有限公司 | 基板搬運裝置、基板搬運方法以及曝光裝置 |
US7773198B2 (en) * | 2006-03-28 | 2010-08-10 | Nikon Corporation | Filtered device container assembly with shield for a reticle |
TWI320059B (en) * | 2006-07-05 | 2010-02-01 | Evaporation equipment and convey device thereof | |
JPWO2008007521A1 (ja) * | 2006-07-11 | 2009-12-10 | 株式会社ニコン | レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法 |
US20080128303A1 (en) * | 2006-12-05 | 2008-06-05 | Nikon Corporation | Device container assembly with adjustable retainers for a reticle |
US7960708B2 (en) * | 2007-03-13 | 2011-06-14 | University Of Houston | Device and method for manufacturing a particulate filter with regularly spaced micropores |
NL1036785A1 (nl) | 2008-04-18 | 2009-10-20 | Asml Netherlands Bv | Rapid exchange device for lithography reticles. |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101105416B1 (ko) * | 2009-07-23 | 2012-01-17 | 주식회사 디엠에스 | 기판 처리 장치 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5358366B2 (ja) * | 2009-09-14 | 2013-12-04 | 東京エレクトロン株式会社 | 基板処理装置及び方法 |
TW201206787A (en) * | 2010-04-30 | 2012-02-16 | Fortrend Engineering Corp | Opener for extreme ultra violet lithography reticle pods |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
JP5772261B2 (ja) * | 2011-06-10 | 2015-09-02 | 株式会社ニコン | マスクの保護装置及び搬送装置、露光装置、並びにデバイス製造方法 |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8772737B2 (en) * | 2011-09-27 | 2014-07-08 | Applied Materials Israel, Ltd. | Conductive element for electrically coupling an EUVL mask to a supporting chuck |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5614417B2 (ja) * | 2012-01-05 | 2014-10-29 | 株式会社安川電機 | 搬送システム |
US9715175B2 (en) | 2012-06-15 | 2017-07-25 | Nikon Corporation | Mask protection device, exposure apparatus, and method for manufacturing device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
KR101527901B1 (ko) * | 2013-10-10 | 2015-06-10 | 피에스케이 주식회사 | 기판 처리 장치 및 기판 반송 방법 |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) * | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) * | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
CN108288597A (zh) * | 2017-01-10 | 2018-07-17 | 台湾积体电路制造股份有限公司 | 存放盒及颗粒检测方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11360400B2 (en) | 2017-05-19 | 2022-06-14 | Massachusetts Institute Of Technology | Transport system having a magnetically levitated transportation stage |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102602980B1 (ko) | 2018-04-16 | 2023-11-16 | 현대자동차주식회사 | 다이캐스팅용 알루미늄 합금 및 이를 이용한 알루미늄 합금 주조물 제조방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
CN114280891B (zh) * | 2020-09-28 | 2023-02-03 | 长鑫存储技术有限公司 | 光刻设备 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
WO2022104028A1 (en) * | 2020-11-13 | 2022-05-19 | Massachusetts Institute Of Technology | Reticle exchange device with reticle levitation |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4984953A (en) * | 1987-02-20 | 1991-01-15 | Canon Kabushiki Kaisha | Plate-like article conveying system |
US5498118A (en) * | 1992-02-07 | 1996-03-12 | Nikon Corporation | Apparatus for and method of carrying a substrate |
TW471051B (en) * | 1999-10-06 | 2002-01-01 | Tokyo Electron Ltd | Substrate processing apparatus |
TW480610B (en) * | 2000-03-08 | 2002-03-21 | Tokyo Electron Ltd | Substrate processing method and substrate processing apparatus |
TW522459B (en) * | 2000-02-28 | 2003-03-01 | Nikon Corp | Projection exposure apparatus and manufacturing and adjusting methods thereof |
US6806945B2 (en) * | 2000-09-25 | 2004-10-19 | Orc Manufacturing Co., Ltd. | Automatic exposing apparatus and method for exposing both sides of works |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0780568B2 (ja) * | 1987-02-20 | 1995-08-30 | キヤノン株式会社 | 基板搬送装置 |
JPS63208414A (ja) * | 1987-02-20 | 1988-08-29 | Canon Inc | 基板搬送装置 |
JPH07101270B2 (ja) * | 1988-09-30 | 1995-11-01 | 日本電気株式会社 | 光論理素子 |
JP3340151B2 (ja) * | 1992-05-21 | 2002-11-05 | 不二越機械工業株式会社 | ウエハー載置装置 |
JPH062699U (ja) * | 1992-06-16 | 1994-01-14 | 株式会社柿崎製作所 | ウエハキャリアボックス |
JPH062699A (ja) | 1992-06-18 | 1994-01-11 | Takuma Co Ltd | 低騒音型送風機 |
ES2101070T3 (es) * | 1992-08-04 | 1997-07-01 | Ibm | Recipientes portatiles estancos a presion para almacenar una rebanada de semiconductor en un ambiente gaseoso protector. |
JPH0758192A (ja) * | 1993-08-12 | 1995-03-03 | Nikon Corp | 基板収納ケース |
US5586585A (en) * | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
JP3014640B2 (ja) * | 1996-03-26 | 2000-02-28 | キヤノン株式会社 | 板状物収納容器 |
US6317479B1 (en) | 1996-05-17 | 2001-11-13 | Canon Kabushiki Kaisha | X-ray mask, and exposure method and apparatus using the same |
US6090176A (en) | 1997-03-18 | 2000-07-18 | Kabushiki Kaisha Toshiba | Sample transferring method and sample transfer supporting apparatus |
JPH11292186A (ja) * | 1998-04-09 | 1999-10-26 | Nikon Corp | 基板収納ケースおよび基板保持部材 |
US6380090B1 (en) * | 1998-05-29 | 2002-04-30 | Winbond Electrinics Corp | Protecting method applied to the semiconductor manufacturing process |
US6612797B1 (en) * | 1999-05-18 | 2003-09-02 | Asyst Technologies, Inc. | Cassette buffering within a minienvironment |
US6239863B1 (en) | 1999-10-08 | 2001-05-29 | Silicon Valley Group, Inc. | Removable cover for protecting a reticle, system including and method of using the same |
US6421113B1 (en) * | 2000-02-14 | 2002-07-16 | Advanced Micro Devices, Inc. | Photolithography system including a SMIF pod and reticle library cassette designed for ESD protection |
JP3939101B2 (ja) * | 2000-12-04 | 2007-07-04 | 株式会社荏原製作所 | 基板搬送方法および基板搬送容器 |
JP3960820B2 (ja) | 2001-03-01 | 2007-08-15 | エーエスエムエル ネザーランズ ビー.ブイ. | マスク引継ぎ方法およびデバイス製造方法 |
WO2002093626A1 (fr) | 2001-05-16 | 2002-11-21 | Nikon Corporation | Procede et dispositif d'alignement, procede et systeme d'acheminement de substrat |
US6619903B2 (en) * | 2001-08-10 | 2003-09-16 | Glenn M. Friedman | System and method for reticle protection and transport |
US6646720B2 (en) | 2001-09-21 | 2003-11-11 | Intel Corporation | Euv reticle carrier with removable pellicle |
US7304720B2 (en) | 2002-02-22 | 2007-12-04 | Asml Holding N.V. | System for using a two part cover for protecting a reticle |
CN1474233A (zh) | 2002-03-01 | 2004-02-11 | Asml荷兰有限公司 | 传送贮藏箱中掩模或基片的方法和所用设备及其制造方法 |
US6826451B2 (en) | 2002-07-29 | 2004-11-30 | Asml Holding N.V. | Lithography tool having a vacuum reticle library coupled to a vacuum chamber |
SG102718A1 (en) | 2002-07-29 | 2004-03-26 | Asml Holding Nv | Lithography tool having a vacuum reticle library coupled to a vacuum chamber |
TWI286674B (en) * | 2002-12-27 | 2007-09-11 | Asml Netherlands Bv | Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container |
US6912043B2 (en) | 2003-01-09 | 2005-06-28 | Asml Holding, N.V. | Removable reticle window and support frame using magnetic force |
-
2004
- 2004-10-26 JP JP2004310919A patent/JP2006128188A/ja active Pending
-
2005
- 2005-09-27 US US11/235,130 patent/US7483123B2/en active Active
- 2005-10-18 TW TW094136291A patent/TWI383938B/zh active
- 2005-10-21 KR KR1020137024985A patent/KR101496076B1/ko active IP Right Grant
- 2005-10-21 EP EP18179277.1A patent/EP3439018A1/en not_active Withdrawn
- 2005-10-21 WO PCT/JP2005/019423 patent/WO2006046488A1/ja active Application Filing
- 2005-10-21 CN CNA2005800279644A patent/CN101006573A/zh active Pending
- 2005-10-21 KR KR1020077004924A patent/KR20070069141A/ko not_active Application Discontinuation
- 2005-10-21 EP EP05795225.1A patent/EP1806767B1/en active Active
-
2009
- 2009-01-09 US US12/351,500 patent/US20090219504A1/en not_active Abandoned
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4984953A (en) * | 1987-02-20 | 1991-01-15 | Canon Kabushiki Kaisha | Plate-like article conveying system |
US5498118A (en) * | 1992-02-07 | 1996-03-12 | Nikon Corporation | Apparatus for and method of carrying a substrate |
TW471051B (en) * | 1999-10-06 | 2002-01-01 | Tokyo Electron Ltd | Substrate processing apparatus |
TW522459B (en) * | 2000-02-28 | 2003-03-01 | Nikon Corp | Projection exposure apparatus and manufacturing and adjusting methods thereof |
TW480610B (en) * | 2000-03-08 | 2002-03-21 | Tokyo Electron Ltd | Substrate processing method and substrate processing apparatus |
US6806945B2 (en) * | 2000-09-25 | 2004-10-19 | Orc Manufacturing Co., Ltd. | Automatic exposing apparatus and method for exposing both sides of works |
Also Published As
Publication number | Publication date |
---|---|
WO2006046488A1 (ja) | 2006-05-04 |
TW200613208A (en) | 2006-05-01 |
EP3439018A1 (en) | 2019-02-06 |
EP1806767B1 (en) | 2018-07-04 |
EP1806767A4 (en) | 2010-01-27 |
KR101496076B1 (ko) | 2015-02-25 |
KR20070069141A (ko) | 2007-07-02 |
EP1806767A1 (en) | 2007-07-11 |
JP2006128188A (ja) | 2006-05-18 |
US20060087638A1 (en) | 2006-04-27 |
US20090219504A1 (en) | 2009-09-03 |
KR20130123456A (ko) | 2013-11-12 |
CN101006573A (zh) | 2007-07-25 |
US7483123B2 (en) | 2009-01-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI383938B (zh) | 基板運送裝置、基板運送方法以及曝光裝置 | |
US7804583B2 (en) | EUV reticle handling system and method | |
JP4710308B2 (ja) | レチクル搬送装置、露光装置、及びレチクルの搬送方法 | |
US6734443B2 (en) | Apparatus and method for removing photomask contamination and controlling electrostatic discharge | |
TWI301228B (en) | Lithographic Projection Apparatus, Device Manufacturing Method, Device Manufactured Thereby, Cleaning Unit and Method of Cleaning Contaminated Objects | |
US9669984B2 (en) | Lithographic apparatus and device manufacturing method | |
TW200422786A (en) | Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container | |
JP5418511B2 (ja) | レチクル保護装置及び露光装置 | |
TWI417649B (zh) | 十字標記運送裝置、曝光裝置、十字標記運送方法以及十字標記的處理方法 | |
TW200523684A (en) | Substrate carrying apparatus, substrate carrying method, exposure apparatus, exposure method, and method for producing device | |
JP4154380B2 (ja) | リソグラフィ装置およびデバイス製造方法 | |
WO2002069379A1 (fr) | Masque reflechissant les rayons x, procede pour proteger le masque reflechissant, dispositif d'exposition aux rayons x et procede pour produire un dispositif semi-conducteur | |
US20230064383A1 (en) | Reticle enclosure for lithography systems | |
JP5263274B2 (ja) | 露光装置及び方法 | |
TW548716B (en) | Reticle protection case and aligner using the same | |
US20020155358A1 (en) | Hinged pellicles and methods of use | |
US7088421B2 (en) | Lithographic apparatus, device manufacturing method, and device manufactured thereby | |
TWI831314B (zh) | 倍縮光罩外殼及其處置方法 | |
JP2008147281A (ja) | 検査装置、基板搬送装置および露光装置 | |
JP2024125210A (ja) | フォトマスクを検査するための方法ならびに測定デバイスおよびeuvカメラ |