KR101496076B1 - 기판 반송 장치, 기판 반송 방법 및 노광 장치 - Google Patents

기판 반송 장치, 기판 반송 방법 및 노광 장치 Download PDF

Info

Publication number
KR101496076B1
KR101496076B1 KR1020137024985A KR20137024985A KR101496076B1 KR 101496076 B1 KR101496076 B1 KR 101496076B1 KR 1020137024985 A KR1020137024985 A KR 1020137024985A KR 20137024985 A KR20137024985 A KR 20137024985A KR 101496076 B1 KR101496076 B1 KR 101496076B1
Authority
KR
South Korea
Prior art keywords
substrate
cover member
cover
protective cover
reticle
Prior art date
Application number
KR1020137024985A
Other languages
English (en)
Other versions
KR20130123456A (ko
Inventor
노리유키 히라야나기
Original Assignee
가부시키가이샤 니콘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 니콘 filed Critical 가부시키가이샤 니콘
Publication of KR20130123456A publication Critical patent/KR20130123456A/ko
Application granted granted Critical
Publication of KR101496076B1 publication Critical patent/KR101496076B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Robotics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Library & Information Science (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명의 기판 반송 장치에서는, 기판이 사용되고 있을 때에, 커버 보호 수단에 의해 보호 커버의 내면이 덮어진다. 따라서, 기판이 사용되고 있을 때에, 보호 커버의 내면이 오염되는 것을 방지할 수 있다. 또한, 대기 위치에 있어서, 보호 커버가 접지된다. 따라서, 보호 커버에 정전기가 대전되는 것이 방지되어, 보호 커버에의 이물질의 부착을 저감할 수 있다. 본 발명의 기판 반송 방법에서는, 기판이 사용되고 있을 때에, 보호 커버를, 보호 커버의 내면을 덮은 상태로 대기시킨다. 따라서, 보호 커버의 내면이 오염되는 것을 방지할 수 있다. 본 발명의 노광 장치에서는, 본 발명의 기판 반송 장치를 이용하고 있기 때문에, 오염이 적은 기판을 사용하여 수율이 높은 제품을 얻을 수 있다.

Description

기판 반송 장치, 기판 반송 방법 및 노광 장치{SUBSTRATE TRANSFER APPARATUS, SUBSTRATE TRANSFER METHOD AND EXPOSURE APPARATUS}
본 발명은, 패턴이 형성된 기판의 반송을 행하는 기판 반송 장치, 기판 반송 방법 및 기판 반송 장치를 구비한 노광 장치에 관한 것이다.
EPL, EUVL 등의 차세대 리소그래피에 사용되는 레티클(마스크라고도 한다)에는, 레티클 패턴면에 이물질이 부착되어 결함의 원인이 되는 것을 막는 펠리클(pellicle)을 사용할 수 없다고 하는 결점이 공통 과제로서 존재한다.
이 과제를 해결하는 수단으로서, 레티클을 사용하지 않을 때에는 보호 커버를 부착하고, 노광시에만 탈착하는 방식이 제안되어 있다.
예컨대, 미국 특허 제6239863호를 참조할 것.
그러나, 상술한 바와 같은 방식에서는, 노광에 사용되고 있는 레티클의 보호 커버는, 보호 커버의 내면이 노출한 상태로 배치되는 것으로 되고, 보호 커버의 내면에 이물질 등이 부착되기 쉽다고 하는 문제가 있었다.
본 발명의 목적은, 기판이 사용되고 있을 때에, 보호 커버의 내면이 오염되는 것을 방지하는 것에 있다.
제 1 발명의 기판 반송 장치는, 패턴이 형성된 기판의 반송을 행하는 기판 반송 장치로서, 상기 기판을 사용하지 않을 때에 상기 기판을 보호 커버에 의해 보호한 상태로 반송하는 기판 반송 장치에 있어서, 상기 기판이 사용되고 있을 때에, 상기 보호 커버의 내면을 덮는 커버 보호 수단을 갖는 것을 특징으로 한다.
제 2 발명의 기판 반송 장치는, 제 1 발명의 기판 반송 장치에 있어서, 상기 커버 보호 수단은, 상기 기판이 노광에 사용되고 있을 때에, 상기 보호 커버의 내면을 덮는 것을 특징으로 한다.
제 3 발명의 기판 반송 장치는, 제 1 또는 제 2 발명의 기판 반송 장치에 있어서, 상기 보호 커버는 상기 기판을 덮어 착탈가능하게 배치되는 복수의 커버 부재로 이루어지고, 상기 커버 보호 수단은, 상기 복수의 커버 부재를 닫힌 상태로 대기 위치에 대기시키는 것을 특징으로 한다.
제 4 발명의 기판 반송 장치는, 제 3 발명의 기판 반송 장치에 있어서, 상기 커버 보호 수단은, 상기 기판을 상기 복수의 커버 부재의 일부와 함께 상기 기판의 노광 위치에 반송한 후, 상기 일부의 커버 부재를 상기 대기 위치로 되돌려 상기 복수의 커버 부재를 닫는 상태로 하는 것을 특징으로 한다.
제 5 발명의 기판 반송 장치는, 제 3 또는 제 4 발명의 기판 반송 장치에 있어서, 상기 복수의 커버 부재를 닫는 상태는, 상기 복수의 커버 부재를 밀착한 상태인 것을 특징으로 한다.
제 6 발명의 기판 반송 장치는, 제 1 또는 제 2 발명의 기판 반송 장치에 있어서, 상기 보호 커버는 상기 기판의 상기 패턴을 덮어 착탈가능하게 배치되는 커버 부재로 이루어지고, 상기 커버 보호 수단은, 상기 커버 부재를, 상기 기판의 형상으로 모방한 모의 부재에 부착한 상태로 대기 위치에 대기시키는 것을 특징으로 한다.
제 7 발명의 기판 반송 장치는, 제 3 내지 제 6 중 어느 하나의 발명의 기판 반송 장치에 있어서, 상기 대기 위치는, 상기 기판의 정렬을 행하는 위치인 것을 특징으로 한다.
제 8 발명의 기판 반송 장치는, 제 3 내지 제 6 중 어느 하나의 발명의 기판 반송 장치에 있어서, 상기 대기 위치는, 상기 기판으로부터 상기 커버 부재를 탈착하는 위치인 것을 특징으로 한다.
제 9 발명의 기판 반송 장치는, 제 3 내지 제 6 중 어느 하나의 발명의 기판 반송 장치에 있어서, 상기 대기 위치는, 상기 기판을 노광 분위기중에서 보존하는 라이브러리부인 것을 특징으로 한다.
제 10 발명의 기판 반송 장치는, 제 3 내지 제 9 중 어느 하나의 발명의 기판 반송 장치에 있어서, 상기 대기 위치에 있어서, 상기 보호 커버를 접지하여 이루어지는 것을 특징으로 한다.
제 11 발명의 기판 반송 장치는, 패턴이 형성된 기판의 반송을 행하는 기판 반송 장치로서, 상기 기판을 사용하지 않을 때에 상기 기판을 보호 커버에 의해 보호한 상태로 반송하는 기판 반송 장치에 있어서, 상기 기판 또는 보호 커버를 접지하는 접지 수단을 갖는 것을 특징으로 한다.
제 12 발명의 기판 반송 장치는, 제 11 발명의 기판 반송 장치에 있어서, 상기 접지 수단은, 상기 기판 또는 보호 커버를 재치하는 재치대에 마련되어 있는 것을 특징으로 한다.
제 13 발명의 기판 반송 장치는, 제 11 또는 제 12 발명의 기판 반송 장치에 있어서, 상기 기판은, 상기 보호 커버를 거쳐서 접지되는 것을 특징으로 한다.
제 14 발명의 기판 반송 방법은, 패턴이 형성된 기판의 반송을 행하는 기판 반송 방법으로서, 상기 기판을 사용하지 않을 때에 상기 기판을 보호 커버에 의해 보호한 상태로 반송하는 기판 반송 방법에 있어서, 상기 기판이 사용되고 있을 때에, 상기 보호 커버를 해당 보호 커버의 내면을 덮은 상태로 대기시키는 것을 특징으로 한다.
제 15 발명의 노광 장치는, 제 1 내지 제 13 중 어느 하나의 발명의 기판 반송 장치를 갖는 것을 특징으로 한다.
본 발명의 기판 반송 장치의 일형태에서는, 기판이 사용되고 있을 때에, 커버 보호 수단에 의해 보호 커버의 내면이 덮어진다. 따라서, 기판이 사용되고 있을 때에, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태로서는, 기판이 노광에 사용되고 있을 때에, 커버 보호 수단에 의해, 보호 커버의 내면이 덮어진다. 따라서, 기판이 노광에 사용되고 있을 때에, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 보호 커버는, 기판을 덮어 착탈가능하게 배치되는 복수의 커버 부재로 이루어진다. 그리고, 커버 보호 수단에 의해, 복수의 커버 부재가 닫힌 상태로 대기 위치에 대기된다. 따라서, 대기 위치에 있어서, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 커버 보호 수단은, 기판을 복수의 커버 부재의 일부와 함께 기판의 노광 위치에 반송한 후, 일부의 커버 부재를 대기 위치로 되돌려 복수의 커버 부재를 닫은 상태로 한다. 따라서, 기판의 노광 위치로의 반송시에 기판이 오염되는 것을 방지할 수 있다. 또한, 대기 위치에 있어서, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 복수의 커버 부재를 닫은 상태는, 복수의 커버 부재를 밀착한 상태로 된다. 따라서, 복수의 커버 부재의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 보호 커버는, 기판의 패턴을 덮어 착탈가능하게 배치되는 커버 부재로 된다. 그리고, 커버 보호 수단에 의해, 커버 부재가, 기판의 형상으로 모방된 모의 부재에 부착한 상태로 대기 위치에 대기된다. 따라서, 대기 위치에 있어서, 커버 부재의 내면은 모의 부재에 의해 덮어져 있어, 커버 부재의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 대기 위치는, 기판의 정렬을 행하는 위치로 된다. 따라서, 기판의 정렬 위치에 있어서, 커버 부재의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 대기 위치는, 기판으로부터 커버 부재를 착탈하는 위치로 된다. 따라서, 기판으로부터 커버 부재를 착탈하는 위치에 있어서, 커버 부재의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 대기 위치는, 기판을 노광분위기중에서 보존하는 라이브러리부로 된다. 따라서, 라이브러리부에서, 커버 부재의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 대기 위치에 있어서, 보호 커버가 접지된다. 따라서, 보호 커버에 정전기가 대전되는 것이 방지되어, 보호 커버에의 이물질의 부착을 저감할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 접지 수단에 의해, 기판 또는 보호 커버가 접지된다. 따라서, 기판 또는 보호 커버에 정전기가 대전되는 것이 방지되어, 기판 또는 보호 커버에의 이물질의 부착을 저감할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 접지 수단은, 기판 또는 보호 커버를 재치하는 재치대에 설치된다. 따라서, 기판 또는 보호 커버를 재치대에 재치함으로써, 기판 또는 보호 커버를 접지할 수 있다.
본 발명의 기판 반송 장치의 별도의 일형태에서는, 기판은, 보호 커버를 거쳐서 접지된다. 따라서, 기판 및 보호 커버를 동시에 접지할 수 있다.
본 발명의 기판 반송 방법의 일형태에서는, 기판이 사용되고 있을 때에, 보호 커버를, 보호 커버의 내면을 덮은 상태로 대기시킨다. 따라서, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 노광 장치의 일형태에서는, 본 발명의 기판 반송 장치를 이용하고 있기 때문에, 오염이 적은 기판을 사용하여 수율이 높은 제품을 얻을 수 있다.
도 1은 본 발명의 기판 반송 장치의 제 1 실시예를 나타내는 설명도이다.
도 2는 도 1의 레티클 캐리어를 나타내는 설명도이다.
도 3은 도 1의 CFP 스테이지의 상세를 나타내는 설명도이다.
도 4는 도 3에 있어서 CFP에서 레티클을 노출한 상태를 나타내는 설명도이다.
도 5는 도 1의 CFP 스테이지로부터 레티클을 레티클 스테이지에 반송하는 상태를 나타내는 설명도이다.
도 6은 도 1의 CFP 스테이지에 CFP를 대기하고 있는 상태를 나타내는 설명도이다.
도 7은 본 발명의 기판 반송 장치의 제 2 실시예를 나타내는 설명도이다.
도 8은 본 발명의 기판 반송 장치의 제 3 실시예를 나타내는 설명도이다.
도 9는 본 발명의 노광 장치의 일실시예를 나타내는 설명도이다.
이하, 본 발명의 실시예를 도면을 이용하여 상세히 설명한다.
또, 본 발명은 이하의 실시예에 한정되는 것이 아니다.
(제 1 실시예)
도 1은, 본 발명의 기판 반송 장치의 제 1 실시예를 나타내고 있다.
이 기판 반송 장치는, 레티클 스테이지(11) 등이 배치되는 노광 챔버(13)에 인접하여 설치된다. 노광 챔버(13)의 한 쪽에는, 진공 로봇(15)이 배치되는 로봇 챔버(17)가 설치된다. 로봇 챔버(17)의 한 쪽에는, 진공 레티클 라이브러리(19)가 마련되고, 다른 쪽에는, 클린 필터 포드 오프너(이하 CFP 오프너라 함)(21)가 설치된다. 노광 챔버(13), 로봇 챔버(17), 진공 레티클 라이브러리(19) 및 CFP 오프너(21)는 진공 분위기로 되어 있다.
로봇 챔버(17)의 노광 챔버(13)에 대향하는 위치에는, 로드록실(23)이 배치되어 있다. 로드록실(23)은, 제 2 게이트 밸브(25)를 거쳐서 로봇 챔버(17)에 연통되어 있다. 또한, 제 1 게이트 밸브(27)를 거쳐서 대기중에 연통되어 있다.
로드록실(23)의 외측에는, 제 2 대기 로봇(29)을 거쳐서 레티클 캐리어 오프너(31)가 배치되어 있다. 레티클 캐리어 오프너(31)의 외측에는, 제 1 대기 로봇(33)을 거쳐서 대기 레티클 라이브러리(35)가 배치되어 있다.
상술한 기판 반송 장치에서는, 대기 레티클 라이브러리(35)에는, 도 2에 도시하는 바와 같이, 노광에 사용되는 EUVL 용의 레티클(37)이, 레티클 캐리어(39) 및 클린 필터 포드(이하 CFP라 함)(41)에 의해 2중으로 보호된 상태로 놓여져 있다. CFP(41)는 감압 분위기중에 있어 레티클(37)을 보호하는 보호 커버로서의 기능을 갖는다.
대기 레티클 라이브러리(35)에 놓여진 레티클 캐리어(39)는, 제 1 대기 로봇(33)에 의해 레티클 캐리어 오프너(31)에 반송된다. 그리고, 레티클 캐리어 ID 리더(leader)(43)에 의해 레티클 캐리어(39)가 식별된다. 이 레티클 캐리어 오프너(31)에 있어서, 레티클 캐리어(39)가 열려 CFP(41)가 노출된다. 노출된 CFP(41)는 온도 보상 램프(45)에 의해 2∼3℃정도 승온된다. 승온된 CFP(41)는 제 2 대기 로봇(29)에 의해, 제 1 게이트 밸브(27)만이 열린 상태의 로드록실(23) 내에 반송된다. 또, 레티클 캐리어 오프너(31)로부터 로드록실(23)에 이르는 순로는 청정 분위기로 되어 있다.
로드록실(23)에서는, 제 1 게이트 밸브(27) 및 제 2게이트 밸브(25)를 닫은 상태로 CFP(41)마다 진공 흡입이 행하여진다. 로드록실(23) 내가 소정의 진공 상태가 되면, 제 2 게이트 밸브(25)만이 열려, CFP(41)가 진공 로봇(15)에 의해 진공 레티클 라이브러리(19)에 반송된다.
진공 레티클 라이브러리(19)에는, 예컨대 5장 정도의 레티클(37)이 CFP(41)에 수용된 상태로 보존된다. 레티클(37)은 온도 조정 기구(도시하지 않음)에 의해 소정의 온도로 유지된다. CFP(41)에 수용된 상태의 레티클(37)은, 레티클 ID 리더(47)에 의해 식별된다. 식별된 레티클(37)은, 진공 로봇(15)에 의해 CFP(41)에 수용된 상태로 CFP 오프너(21)에 반송된다.
CFP 오프너(21)에서는, CFP(41)이 열려 레티클(37)이 노출된다.
이 실시예에서는, 도 3에 도시하는 바와 같이, CFP 오프너(21)에 반송된 CFP(41)는, CFP 스테이지(49) 상에 재치된다. CFP(41)는, 상부 커버 부재(상부 덮개)(51)와 하부 커버 부재(하부 뚜껑)(53)로 이루어진다. 그리고, 도 4에 도시하는 바와 같이, CFP 스테이지(49)를 하강시킴으로써, 상부 커버 부재(51)의 외주부가 지지 부재(55)의 상단의 스토퍼(57)에 계지(係止)되어 레티클(37)이 노출된다.
이 실시예에서는, CFP 스테이지(49)의 아래쪽으로는, 레티클(37)의 사전 정렬을 하기 위한 기준 현미경(59)이 배치되어 있다. 그리고, 기준 현미경(59)에 의해, CFP 스테이지(49)에 형성되는 관통 구멍(49a) 및 하부 커버 부재(53)에 마련된 투명창(53a)으로부터 투명창(53a) 너머로, 레티클(37)의 하면에 마련된 사전 정렬 마크(37a)를 검출하여, CFP 스테이지(49)를 구동하는 것으로 사전 정렬이 행하여진다. 이때에, 레티클(37)에 마련된 바코드 등의 레티클 ID를 하부 커버 부재(53)의 투명창(53a) 너머로 검출하는 것으로 레티클 ID를 확인할 수 있다.
사전 정렬의 종료한 레티클(37)은, 도 5에 도시하는 바와 같이, CFP(41)의 하부 커버 부재(53)에 수용된 상태로, 진공 로봇(15)의 반송 아암(61)에 의해 레티클 스테이지(11)에 반송된다. 레티클 스테이지(11)에는, 정전척(63)이 흡착면(63a)을 하향으로 하여 배치되어 있다. 그리고, 반송 아암(61)에 의해 하부 커버 부재(53)를 거쳐서 레티클(37)을 정전척(63)의 흡착면(63a)에 압압한 상태로, 정전척(63)을 온함으로써 레티클(37)의 상면이 흡착면(63a)에 척된다.
레티클(37)의 척후에, 반송 아암(61)은 하부 커버 부재(53)를 CFP 오프너(21)까지 반송하여, 도 4에 나타내는 바와 같이, 하강 위치에 있는 CFP 스테이지(49)상에 하부 커버 부재(53)를 재치한다. 그리고, 도 6에 도시하는 바와 같이, CFP 스테이지(49)를 상승시키는 것으로 CFP(41)의 상부 커버 부재(51)와 하부 커버 부재(53)가 밀착하여, 상부 커버 부재(51)와 하부 커버 부재(53)의 내부가 밀폐된다. 이 실시예에서는, 닫힌 CFP(41)는, 그 상태로 CFP 오프너(21) 내에 노광중 대기된다. 또, CFP 오프너(21)와 사전 정렬부가 별도인 경우에는 사전 정렬부에서 대기시키더라도 좋다. 또한, 진공 레티클 라이브러리(19)에 반송하여 대기시키더라도 좋다.
노광이 종료하여, 레티클 스테이지(11)의 레티클(37)의 교환을 행할 때는, 도 6에 나타낸 바와 같은 상태로 대기하고 있었던 CFP(41)의 상부 커버 부재(51)와 하부 커버 부재(53)를, CFP 스테이지(49)를 하강시켜 하부 커버 부재(53)를 하강함으로써 분리(도 4로 레티클(37)이 없는 상태에 대응)하여, 하부 커버 부재(53)를 반송 아암(61)에 의해 레티클(37)의 교환 위치까지 반송한다.
그리고, 정전척(63)에 흡착되어 있는 레티클(37)에 하부 커버 부재(53)를 접촉한 상태(도 5 참조)로 정전척(63)을 오프함으로써, 하부 커버 부재(53)에 레티클(37)이 재치된다. 이 상태로, 반송 아암(61)에 의해 레티클(37)을 CFP 오프너(21)에 반송하여, 도 4에 나타낸 바와 같이, 하강 위치에 있는 CFP 스테이지(49) 상에 레티클(37)이 재치되는 하부 커버 부재(53)를 재치한다. 그리고, CFP 스테이지(49)를 상승시키는 것으로 CFP(41)의 상부 커버 부재(51)와 하부 커버 부재(53)가 밀착(도 3 참조)하여, CFP(41) 내에 레티클(37)을 유지한 상태로 CFP(41)가 밀폐된다.
상술한 기판 반송 장치 및 방법에서는, 레티클(37)이 노광에 사용되고 있을 때에, CFP(41)의 상부 커버 부재(51)와 하부 커버 부재(53)를 닫고, 상부 커버 부재(51) 및 하부 커버 부재(53)의 내면을 덮도록 했기 때문에, 레티클(37)이 노광에 사용되고 있을 때에, CFP(41)의 내면이 오염되는 것을 용이하게, 확실하게 방지할 수 있다. 그리고, CFP(41)의 내면이 오염되지 않기 때문에, 레티클(37)이 오염되는 것이 매우 적어진다.
(제 2 실시예)
도 7은 본 발명의 기판 반송 장치의 제 2 실시예를 나타내고 있다.
또, 이 실시예에 있어서 제 1 실시예와 동일한 부재에는, 동일한 부호를 부여하여 상세한 설명을 생략한다.
이 실시예에서는, 도 7(a)에 도시하는 바와 같이, 보호 커버인 커버 부재(65)가, 레티클(37)의 패턴면(37b)만을 덮어 착탈가능하게 장착된다.
그리고, 도 7(b)에 도시하는 바와 같이, 반송 아암(61)에 의해, 레티클(37)에 커버 부재(65)를 장착한 상태로 레티클 스테이지(11)의 정전척(63)까지 반송되어, 레티클(37)만이 정전척(63)에 흡착되어 척된다.
한편, 도 7(c)에 도시하는 바와 같이, 반송 아암(61)에 남겨진 커버 부재(65)는, 반송 아암(61)에 의해 대기부에 반송된다. 대기부에는, 도 7(d)에 도시하는 바와 같이, 레티클(37)의 형상으로 모방한 모의 부재(67)가 배치되어 있고, 이 모의 부재(67)에 커버 부재(65)를 장착함으로써, 커버 부재(65)의 내면이 모의 부재(67)에 의해 덮어져 보호된다.
그리고, 노광이 종료하여, 레티클 스테이지(11)의 레티클(37)의 교환을 행할 때는, 모의 부재(67)로부터 커버 부재(65)를 이탈하여, 반송 아암(61)에 의해 레티클(37)의 교환 위치까지 반송한다. 그리고, 정전척(63)에 흡착되는 레티클(37)에 커버 부재(65)를 장착한 후, 정전척(63)을 오프하는 것에 의해, 레티클(37)이 분리된다. 분리된 레티클(37)은 커버 부재(65)와 함께 반송 아암(61)에 의해 예컨대 진공 레티클 라이브러리(19)에 반송된다.
이 실시예에 있어서도 제 1 실시예와 동일한 효과를 얻을 수 있다.
(제 3 실시예)
도 8은 본 발명의 기판 반송 장치의 제 3 실시예를 나타내고 있다.
또, 이 실시예에 있어서 제 1 실시예와 동일한 부재에는, 동일한 부호를 부여하여 상세한 설명을 생략한다.
이 실시예에서는, CFP 오프너(21)의 CFP 스테이지(49)(재치대)의 상면에는, 예컨대 알루미늄으로 이루어지는 스테이지측 도전성층(69)이 형성되어 있다. 이 스테이지측 도전성층(69)은 접지선(71)을 거쳐서 접지되어 있다.
한편, CFP(41)의 하부 커버 부재(53)의 측면에는, 하부 커버 부재(53)를 스테이지측 도전성층(69)에 재치할 때에 스테이지측 도전성층(69)에 접촉하는 하부 커버 도전성층(53b)이 형성되어 있다. 이 하부 커버 도전성층(53b)은 하부 커버 부재(53)에 상부 커버 부재(51)를 재치할 때에 상부 커버 부재(51)에 형성되는 상부 커버 도전성층(51b)에 접촉된다. 또한, 레티클(37)의 상면에는, 상부 커버 부재(51)를 재치할 때에, 상부 커버 도전성층(51b)에 접촉하는 레티클 도전성층(37b)이 형성되어 있다.
이 실시예에 있어서도 제 1 실시예와 동일한 효과를 얻을 수 있지만, 이 실시예에서는, CFP 스테이지(49)에 있어서, CFP(41)의 하부 커버 부재(53), 상부 커버 부재(51) 또는 레티클(37)을 접지하도록 하였기 때문에, 하부 커버 부재(53), 상부 커버 부재(51) 또는 레티클(37)에 정전기가 대전되는 것을 용이하게, 확실하게 방지할 수 있다. 따라서, 이들 부재에의 이물질의 부착을 보다 저감할 수 있다.
또, 이 실시예로서는, CFP 스테이지(49)에 있어서 하부 커버 부재(53), 상부 커버 부재(51) 또는 레티클(37)의 접지를 행한 예에 대하여 설명하였지만, 예컨대, 진공 레티클 라이브러리(19)의 CFP(41)가 재치되는 플레이트, 로드록실(23)의 재치대, 진공 로봇(15) 또는 대기 로봇(29)의 CFP(41)와 접촉하는 부분(엔드 이펙터)에 있어서 접지하도록 하더라도 좋다. 이 경우, 모든 장소에서 접지시킬 필요는 없고, CFP(41)나 레티클(37)의 대전을 방지할 위치에 접지하면 좋다.
또한, 상술한 실시예에서는, CFP(41)의 상부 커버 부재(51)와 하부 커버 부재(53)에 도전성층(51b, 53b)을 형성하고, 상부 커버 부재(51)와 하부 커버 부재(53)가 닫히고 있을 때에 양자가 전기적으로 접속되는 구성으로 했지만, 상부 커버 부재(51)와 하부 커버 부재(53)가 도전 재료(예컨대 알루미늄)이면, 특별히 도전성층을 형성할 필요는 없다. 그리고, CFP(41)는 CFP 스테이지(49)에 배치될 뿐 접지하도록 하고 있지만, 전기적인 접속이 불충분한 경우는, 전기적인 접촉을 확실하게 할 기계적 수단(예컨대 도통침 등)을 배치하더라도 좋다. CFP(41)의 상부 커버 부재(51)의 도전성층(51b)과 레티클(37)의 도전성층(37b)의 접촉도 동일하다.
또한, 상술한 실시예에서는, 레티클(37)의 상면에만 레티클측 도전성층(37b)을 형성했지만, 측면 또는 하면(회로 패턴이 형성되어 있는 면)에 도전성층을 형성하더라도 좋다. 이 경우, 도전성층은, 노광, 검사, 각종 정렬에 문제점이 발생하지 않도록 형성해야 한다. 또한, CFP 스테이지(49)의 상면의 전면에 스테이지측 도전성층(69)을 형성했지만, 스테이지측 도전성층(69)은 일부만 형성하여도 좋고, 적어도 레티클(37) 또는 CFP(41)을 접지하도록 한 구성이라도 좋다.
또한, 도 7에 나타낸 바와 같이, 레티클(37)의 패턴면(37b)에만 커버 부재(65)를 마련하는 경우에는 레티클(37)의 상면이 노출되기 때문에, 레티클(37)의 접지는 그 상면으로부터 직접 접촉할 수도 있고, 상술한 예와 같이 커버 부재(65)를 거쳐서 접지하는 것도 가능하다.
(노광 장치의 실시예)
도 9는, 도 1의 노광 챔버(13)내의 EUV 광리소그래피 시스템을 모식화하여 나타내고 있다. 또, 이 실시예에 있어서 제 1 실시예와 동일한 부재에는, 동일한 부호를 부여하고 있다. 이 실시예에서는, 노광의 조명광으로서 EUV 광이 이용된다. EUV 광은 0.1∼400 nm 사이의 파장을 가지는 것으로, 이 실시예로서는 특히 1∼50 nm 정도의 파장이 바람직하다. 투영상은 상광학계 시스템(101)을 이용한 것으로, 웨이퍼(103) 상에 레티클(37)에 의한 패턴의 축소상을 형성하는 것이다.
웨이퍼(103) 상에 조사되는 패턴은, 레티클 스테이지(11)의 하측에 정전척(63)을 거쳐서 배치되어 있는 반사형의 레티클(37)에 의해 결정할 수 있다. 이 반사형의 레티클(37)은, 상술한 실시예의 진공 로봇(15)에 의해서 반입 및 반출된다(진공 로봇(15)의 도시는 생략한다). 또한, 웨이퍼(103)는 웨이퍼 스테이지(105)의 위에 실리고 있다. 전형적으로는, 노광은 단계·스캔에 의해 이루어진다.
노광시의 조명광으로서 사용하는 EUV 광은 대기에 대한 투과성이 낮기 때문에, EUV 광이 통과하는 광경로는, 적당한 진공 펌프(107)를 이용하여 진공으로 유지된 진공 챔버(106)에 둘러싸여 있다. 또한 EUV 광은 레이저 플라즈마 X선원에 의해 생성된다. 레이저 플라즈마 X선원은 레이저원(108)(여기 광원으로서 작용)과 크세논 가스 공급 장치(109)로 이루어져 있다. 레이저 플라즈마 X선원은 진공 챔버(110)에 의해서 둘러싸여 있다. 레이저 플라즈마 X선원에 의해서 생성된 EUV 광은 진공 챔버(110)의 창(111)을 통과한다.
레이저원(108)은 자외선 이하의 파장을 가지는 레이저광을 발생시키는 것으로서, 예컨대, YAG 레이저, 엑시머 레이저가 사용된다. 레이저원(108)으로부터의 레이저광은 집광되어, 노즐(112)로부터 방출된 크세논 가스(크세논 가스 공급 장치(109)로부터 공급된다)의 흐름에 조사된다. 크세논 가스의 흐름에 레이저광을 조사하면 레이저광이 크세논 가스를 충분히 따뜻하게 하여, 플라즈마를 생기게 한다. 레이저로 여기된 크세논 가스의 분자가 낮은 에너지 상태로 떨어질 때, EUV 광의 광자가 방출된다.
방물면 미러(113)는, 크세논 가스 방출부의 근방에 배치되어 있다. 방물면 미러(113)는 플라즈마에 의해서 생성된 EUV 광을 집광한다. 방물면 미러(113)는 집광 광학계를 구성하여, 노즐(112)로부터의 크세논 가스가 방출되는 위치의 근방에 초점 위치가 오도록 배치되어 있다. EUV 광은 방물면 미러(113)의 다층막에서 반사하여, 진공 챔버(110)의 창(111)을 통하여 집광 미러(114)에 도달한다. 집광 미러(114)는 반사형의 레티클(37)로 EUV 광을 집광, 반사시킨다. ELV 광은 집광 미러(114)에서 반사되어, 레티클(37)의 소정의 부분을 조명한다. 즉, 방물면 미러(113)와 집광 미러(114)는 이 장치의 조명 시스템을 구성한다.
레티클(37)은, EUV를 반사하는 다층막과 패턴을 형성하기 위한 흡수체 패턴층을 가지고 있다. 레티클(37)에서 EUV 광이 반사되는 것에 의해 EUV 광은 「패턴화」된다. 패턴화된 ELV 광은 투영 시스템(101)을 통하여 웨이퍼(103)에 도달한다.
이 실시예의 상광학 시스템(101)은, 오목면 제 1 미러(115a), 볼록면 제 2 미러(115b), 볼록면 제 3 미러(115c), 오목면 제 4 미러(115d)의 4개의 반사 미러로 이루어져 있다. 각 미러(115a ∼ 115d)에는 EUV 광을 반사하는 다층막이 구비되고 있다.
레티클(37)에 의해 반사된 EUV 광은 제 1 미러(115a)로부터 제 4 미러(115d)까지 순차적으로 반사되어, 레티클 패턴의 축소(예컨대, 1/4, 1/5, 1/6)된 상을 형성한다. 상광학계 시스템(101)은, 상의 측(웨이퍼(103)의 측)에서 텔레센트릭으로 되게 되어 있다.
레티클(37)은 가동의 레티클 스테이지(11)에 의해서 적어도 X-Y 평면 내에서 지지되어 있다. 웨이퍼(103)는, 바람직하게는 X, Y, Z 방향으로 가동한 웨이퍼 스테이지(105)에 의해서 지지되어 있다. 웨이퍼(103) 상의 다이를 노광할 때에는, EUV 광이 조명 시스템에 의해 레티클(37)의 소정의 영역에 조사되어, 레티클(37)과 웨이퍼(103)는 상광학계 시스템(101)에 대하여 상광학 시스템(101)의 축소율에 따른 소정의 속도로 움직인다. 이렇게 하여, 레티클 패턴은 웨이퍼(103) 상의 소정의 노광 범위(다이에 대하여)로 노광된다.
노광시에는, 웨이퍼(103) 상의 레지스트로부터 발생하는 가스가 상광학 시스템(101)의 미러(115a ∼ 115d)에 영향을 주지 않도록, 웨이퍼(103)는 파티션(116)의 뒤에 배치되는 것이 바람직하다. 파티션(116)은 개구(116a)를 가지고 있고, 그것을 통하여 EUV 광이 미러(115d)로부터 웨이퍼(103)로 조사된다. 파티션(116) 내의 공간은 진공 펌프(117)에 의해 진공 배기되어 있다. 이와 같이, 레지스트에 조사함으로써 발생하는 가스 형상의 먼지가 미러(115a ∼ 115d) 또는 레티클(37)에 부착되는 것을 막는다. 그러므로, 이들의 광학 성능의 악화를 막고 있다.
이 실시예의 노광 장치에서는, 상술한 기판 반송 장치에 의해 레티클(37)의 반송을 행하도록 했기 때문에, 오염이 적은 레티클(37)을 사용하여 수율이 높은 제품을 얻을 수 있다.
(실시예의 보충 사항)
(1) 상술한 실시예에서는, 레티클(37)이 노광에 사용되고 있을 때에 CFP(41)의 내면을 덮는 예에 대하여 설명했지만, 예컨대, 레티클(37)이 검사, 세정 등에 사용되고 있을 때에 CFP(41)의 내면을 덮도록 하더라도 좋다.
(2) 상술한 제 1 실시예에서는, 레티클(37)의 보호 커버를 상부 커버 부재(51)와 하부 커버 부재(53)의 2개의 부재에 의해 구성한 예에 대하여 설명했지만, 예컨대, 3개 이상의 부재에 의해 구성되어 있더라도 좋다.
(3) 상술한 실시예에서는, EUV 광을 이용한 노광 장치의 예를 설명했지만, 기타, 하전 입자선, i선, g선, KrF, ArF, F2 등을 이용한 노광 장치에도 널리 적용할 수 있다.
이상, 본 발명에 대하여 상세히 설명했지만, 상기의 실시예 및 그 변형예는 발명의 일례에 지나지 않고, 본 발명은 이것에 한정되는 것이 아니다. 본 발명을 일탈하지 않는 범위에서 변형가능한 것은 분명하다.
(산업상 이용 가능성)
본 발명의 기판 반송 장치에서는, 기판이 사용되고 있을 때에, 커버 보호 수단에 의해 보호 커버의 내면이 덮어진다. 따라서, 기판이 사용되고 있을 때에, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 기판 반송 장치에서는, 대기 위치에 있어서, 보호 커버가 접지된다. 따라서, 보호 커버에 정전기가 대전되는 것이 방지되어, 보호 커버에의 이물질의 부착을 저감할 수 있다.
본 발명의 기판 반송 방법에서는, 기판이 사용되고 있을 때에, 보호 커버를, 보호 커버의 내면을 덮은 상태로 대기시킨다. 따라서, 보호 커버의 내면이 오염되는 것을 방지할 수 있다.
본 발명의 노광 장치에서는, 본 발명의 기판 반송 장치를 이용하였기 때문에, 오염이 적은 기판을 사용하여 수율이 높은 제품을 얻을 수 있다.

Claims (17)

  1. 패턴이 형성된 기판을 수납하고, 또한 서로 분리 가능한 복수의 커버 부재로 구성되는 보호 커버를 이용하여 상기 기판을 반송하는 기판 반송 장치로서,
    상기 보호 커버를 구성하는 상기 복수의 커버 부재를, 일부의 커버 부재와 다른 커버 부재로 분리하는 분리 장치와,
    상기 기판을 상기 일부의 커버 부재와 함께 반송하고, 상기 기판이 유지 부재에 의해 유지된 후, 상기 일부의 커버 부재를 상기 기판과는 분리된 상태에서 대기 위치로 반송하는 반송부를 구비하며,
    상기 분리 장치는, 상기 대기 위치로 반송된 상기 일부의 커버 부재와 상기 다른 커버 부재에 의해, 상기 보호 커버의 내면을 보호하는
    것을 특징으로 하는 기판 반송 장치.
  2. 제 1 항에 있어서,
    상기 반송부는, 상기 기판이 상기 유지 부재로 유지되어 소정의 처리에 이용되고 있을 때에, 상기 일부의 커버 부재를, 상기 다른 커버 부재에 부착하는 것에 의해, 상기 보호 커버의 내면을 보호하는 것을 특징으로 하는 기판 반송 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은, 상기 반송부에 의해, 상기 일부의 커버 부재상에 탑재된 상태로 반송되는 것을 특징으로 하는 기판 반송 장치.
  4. 제 3 항에 있어서,
    상기 유지 부재는, 상기 일부의 커버 부재상에 탑재되어 있는 상기 기판의 상면을 유지하는 것을 특징으로 하는 기판 반송 장치.
  5. 제 4 항에 있어서,
    상기 기판은 레티클이며, 상기 유지 부재는, 상기 기판의 상면을 유지하는 정전 척(chuck)을 갖는 것을 특징으로 하는 기판 반송 장치.
  6. 제 1 항에 있어서,
    상기 보호 커버는, 상기 일부의 커버 부재와 상기 다른 커버 부재를 보호하는, 접지와 연결된 도전성층을 더 갖는 것을 특징으로 하는 기판 반송 장치.
  7. 패턴이 형성된 기판의 반송을 행하는 기판 반송 장치로서, 상기 기판을 사용하지 않을 때에 상기 기판을 보호 커버에 의해 보호한 상태로 반송하는 기판 반송 장치에 있어서,
    상기 보호 커버로부터 상기 기판이 이탈된 후, 대기 위치에 있어서 상기 보호 커버에 부착되고, 또한, 상기 기판의 형상으로 모방한 모의 부재를 구비하는
    것을 특징으로 하는 기판 반송 장치.
  8. 제 1 항 또는 제 7 항에 있어서,
    상기 대기 위치는 상기 기판의 정렬을 행하는 위치인 것을 특징으로 하는 기판 반송 장치.
  9. 제 1 항 또는 제 7 항에 있어서,
    상기 대기 위치는 상기 보호 커버로부터 상기 기판을 이탈하는 위치인 것을 특징으로 하는 기판 반송 장치.
  10. 제 1 항 또는 제 7 항에 있어서,
    상기 대기 위치는 상기 기판을 노광 분위기 중에서 보존하는 라이브러리부인 것을 특징으로 하는 기판 반송 장치.
  11. 제 1 항 또는 제 7 항에 있어서,
    상기 대기 위치에서 상기 보호 커버를 접지하는 설치부를 갖는 것을 특징으로 하는 기판 반송 장치.
  12. 청구항 1, 2, 6, 7 중 어느 한 항에 기재된 기판 반송 장치를 갖는 것을 특징으로 하는 노광 장치.
  13. 패턴이 형성된 기판을 수납하고, 또한 서로 분리 가능한 복수의 커버 부재로 구성되는 보호 커버를 이용하여 상기 기판을 반송하는 기판 반송 방법으로서,
    상기 보호 커버를 구성하는 상기 복수의 커버 부재를, 일부의 커버 부재와 다른 커버 부재로 분리하고,
    상기 기판을 상기 일부의 커버 부재와 함께 반송하고, 상기 상기 기판을 유지하는 유지 부재에 의해 유지된 후, 상기 일부의 커버 부재를 상기 기판과는 분리된 상태에서 대기 위치로 반송하고,
    상기 대기 위치로 반송된 상기 일부의 커버 부재와 상기 다른 커버 부재에 의해, 상기 보호 커버의 내면을 보호하는
    것을 특징으로 하는 기판 반송 방법.
  14. 제 13 항에 있어서,
    상기 기판이 상기 유지 부재로 유지되어 소정의 처리에 이용되고 있을 때에, 상기 일부의 커버 부재를, 상기 다른 커버 부재에 부착하는 것에 의해, 상기 보호 커버의 내면을 보호하는 것을 특징으로 하는 기판 반송 방법.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 기판은, 상기 일부의 커버 부재상에 탑재된 상태로 반송되는 것을 특징으로 하는 기판 반송 방법.
  16. 제 13 항에 있어서,
    상기 기판은 레티클이며, 상기 유지 부재는, 상기 기판의 상면을 유지하는 정전 척을 갖는 것을 특징으로 하는 기판 반송 방법.
  17. 레티클을 조명하여, 상기 레티클로부터의 광으로 웨이퍼를 노광하는 노광 방법에 있어서,
    상기 레티클을 반송하는 것에, 청구항 13, 14, 16 중 어느 한 항에 기재된 기판 반송 방법을 이용하는
    것을 특징으로 하는 노광 방법.
KR1020137024985A 2004-10-26 2005-10-21 기판 반송 장치, 기판 반송 방법 및 노광 장치 KR101496076B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2004-310919 2004-10-26
JP2004310919A JP2006128188A (ja) 2004-10-26 2004-10-26 基板搬送装置、基板搬送方法および露光装置
PCT/JP2005/019423 WO2006046488A1 (ja) 2004-10-26 2005-10-21 基板搬送装置、基板搬送方法および露光装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077004924A Division KR20070069141A (ko) 2004-10-26 2005-10-21 기판 반송 장치, 기판 반송 방법 및 노광 장치

Publications (2)

Publication Number Publication Date
KR20130123456A KR20130123456A (ko) 2013-11-12
KR101496076B1 true KR101496076B1 (ko) 2015-02-25

Family

ID=36205863

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137024985A KR101496076B1 (ko) 2004-10-26 2005-10-21 기판 반송 장치, 기판 반송 방법 및 노광 장치
KR1020077004924A KR20070069141A (ko) 2004-10-26 2005-10-21 기판 반송 장치, 기판 반송 방법 및 노광 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077004924A KR20070069141A (ko) 2004-10-26 2005-10-21 기판 반송 장치, 기판 반송 방법 및 노광 장치

Country Status (7)

Country Link
US (2) US7483123B2 (ko)
EP (2) EP3439018A1 (ko)
JP (1) JP2006128188A (ko)
KR (2) KR101496076B1 (ko)
CN (1) CN101006573A (ko)
TW (1) TWI383938B (ko)
WO (1) WO2006046488A1 (ko)

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
TWI320059B (en) * 2006-07-05 2010-02-01 Evaporation equipment and convey device thereof
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7960708B2 (en) * 2007-03-13 2011-06-14 University Of Houston Device and method for manufacturing a particulate filter with regularly spaced micropores
NL1036785A1 (nl) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101105416B1 (ko) * 2009-07-23 2012-01-17 주식회사 디엠에스 기판 처리 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5772261B2 (ja) * 2011-06-10 2015-09-02 株式会社ニコン マスクの保護装置及び搬送装置、露光装置、並びにデバイス製造方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8772737B2 (en) * 2011-09-27 2014-07-08 Applied Materials Israel, Ltd. Conductive element for electrically coupling an EUVL mask to a supporting chuck
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5614417B2 (ja) * 2012-01-05 2014-10-29 株式会社安川電機 搬送システム
WO2013186929A1 (ja) * 2012-06-15 2013-12-19 株式会社ニコン マスク保護装置、露光装置、及びデバイス製造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108288597A (zh) * 2017-01-10 2018-07-17 台湾积体电路制造股份有限公司 存放盒及颗粒检测方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11360400B2 (en) 2017-05-19 2022-06-14 Massachusetts Institute Of Technology Transport system having a magnetically levitated transportation stage
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102602980B1 (ko) 2018-04-16 2023-11-16 현대자동차주식회사 다이캐스팅용 알루미늄 합금 및 이를 이용한 알루미늄 합금 주조물 제조방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114280891B (zh) * 2020-09-28 2023-02-03 长鑫存储技术有限公司 光刻设备
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20230395409A1 (en) * 2020-11-13 2023-12-07 Massachusetts Institute Of Technology Reticle exchange device with reticle levitation
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0780568B2 (ja) * 1987-02-20 1995-08-30 キヤノン株式会社 基板搬送装置
JP3340151B2 (ja) * 1992-05-21 2002-11-05 不二越機械工業株式会社 ウエハー載置装置
WO2002093626A1 (fr) 2001-05-16 2002-11-21 Nikon Corporation Procede et dispositif d'alignement, procede et systeme d'acheminement de substrat

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4984953A (en) 1987-02-20 1991-01-15 Canon Kabushiki Kaisha Plate-like article conveying system
JPS63208414A (ja) * 1987-02-20 1988-08-29 Canon Inc 基板搬送装置
JPH07101270B2 (ja) * 1988-09-30 1995-11-01 日本電気株式会社 光論理素子
US5498118A (en) * 1992-02-07 1996-03-12 Nikon Corporation Apparatus for and method of carrying a substrate
JPH062699U (ja) * 1992-06-16 1994-01-14 株式会社柿崎製作所 ウエハキャリアボックス
JPH062699A (ja) 1992-06-18 1994-01-11 Takuma Co Ltd 低騒音型送風機
DE69219329T2 (de) * 1992-08-04 1997-10-30 Ibm Tragbare abdichtbare unter Druck stehende Behältern zum Speichern von Halbleiterwafern in einer Schützenden gasartigen Umgebung
JPH0758192A (ja) * 1993-08-12 1995-03-03 Nikon Corp 基板収納ケース
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3014640B2 (ja) * 1996-03-26 2000-02-28 キヤノン株式会社 板状物収納容器
US6317479B1 (en) 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
US6090176A (en) 1997-03-18 2000-07-18 Kabushiki Kaisha Toshiba Sample transferring method and sample transfer supporting apparatus
JPH11292186A (ja) * 1998-04-09 1999-10-26 Nikon Corp 基板収納ケースおよび基板保持部材
US6380090B1 (en) * 1998-05-29 2002-04-30 Winbond Electrinics Corp Protecting method applied to the semiconductor manufacturing process
US6612797B1 (en) * 1999-05-18 2003-09-02 Asyst Technologies, Inc. Cassette buffering within a minienvironment
US6402400B1 (en) * 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
US6239863B1 (en) 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6421113B1 (en) * 2000-02-14 2002-07-16 Advanced Micro Devices, Inc. Photolithography system including a SMIF pod and reticle library cassette designed for ESD protection
JP2001319873A (ja) * 2000-02-28 2001-11-16 Nikon Corp 投影露光装置、並びにその製造方法及び調整方法
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
JP2002099095A (ja) * 2000-09-25 2002-04-05 Orc Mfg Co Ltd 自動両面露光装置およびその方法
JP3939101B2 (ja) * 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
KR100597035B1 (ko) 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
US6646720B2 (en) 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US7304720B2 (en) 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG106129A1 (en) 2002-03-01 2004-09-30 Asml Netherlands Bv Transfer method for a mask or substrate, storage box, device or apparatus adapted for use in such method, and device manufacturing method comprising such a method
US6826451B2 (en) 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
SG102718A1 (en) 2002-07-29 2004-03-26 Asml Holding Nv Lithography tool having a vacuum reticle library coupled to a vacuum chamber
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
US6912043B2 (en) 2003-01-09 2005-06-28 Asml Holding, N.V. Removable reticle window and support frame using magnetic force

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0780568B2 (ja) * 1987-02-20 1995-08-30 キヤノン株式会社 基板搬送装置
JP3340151B2 (ja) * 1992-05-21 2002-11-05 不二越機械工業株式会社 ウエハー載置装置
WO2002093626A1 (fr) 2001-05-16 2002-11-21 Nikon Corporation Procede et dispositif d'alignement, procede et systeme d'acheminement de substrat

Also Published As

Publication number Publication date
US20060087638A1 (en) 2006-04-27
CN101006573A (zh) 2007-07-25
EP1806767A1 (en) 2007-07-11
US20090219504A1 (en) 2009-09-03
EP1806767A4 (en) 2010-01-27
EP1806767B1 (en) 2018-07-04
KR20070069141A (ko) 2007-07-02
US7483123B2 (en) 2009-01-27
JP2006128188A (ja) 2006-05-18
WO2006046488A1 (ja) 2006-05-04
TW200613208A (en) 2006-05-01
EP3439018A1 (en) 2019-02-06
TWI383938B (zh) 2013-02-01
KR20130123456A (ko) 2013-11-12

Similar Documents

Publication Publication Date Title
KR101496076B1 (ko) 기판 반송 장치, 기판 반송 방법 및 노광 장치
JP4910701B2 (ja) 基板搬送装置、基板搬送方法および露光装置
CN1512273B (zh) 掩模盒和传送盒内的光刻掩模以及扫描盒内的掩模的方法
JP5823040B2 (ja) リソグラフィ装置及びデバイス製造方法
WO2006046682A1 (ja) レチクル保護部材、レチクル搬送装置、露光装置、及びレチクルの搬送方法
JP5418511B2 (ja) レチクル保護装置及び露光装置
WO2002021583A9 (fr) Aligneur et procede de fabrication de dispositif
JP2006041499A (ja) リソグラフィ装置及びパターニング・デバイスの運搬
US20060197935A1 (en) Processing unit, exposure apparatus having the processing unit, and protection unit
JP2006032808A (ja) 位置ずれ検出装置、マスク搬送システム及び露光装置
JP2006332519A (ja) 静電チャック装置および露光装置
JP4154380B2 (ja) リソグラフィ装置およびデバイス製造方法
JP2006351863A (ja) 物体搬送装置及び露光装置
JP5263274B2 (ja) 露光装置及び方法
JP2006005318A (ja) 基板搬送装置および露光装置
JP2007281007A (ja) 基板搬送方法、基板搬送装置および露光装置
WO2002093626A1 (fr) Procede et dispositif d'alignement, procede et systeme d'acheminement de substrat
JP2006005240A (ja) 基板搬送装置、基板搬送方法および露光装置
JP2002299225A (ja) レチクル保護ケース及びそれを用いた露光装置
JP2008147281A (ja) 検査装置、基板搬送装置および露光装置
JP2004274024A (ja) リソグラフィ装置、デバイス製造方法、およびそれにより製造されたデバイス
JP2006005241A (ja) 基板搬送装置および露光装置
JP2004289147A (ja) 露光装置とその方法及び電子デバイスの製造方法
JP2008076679A (ja) レチクル、レチクルケース、レチクル保持方法および露光装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 6