TWI250583B - Manufacturing method for semiconductor integrated circuit device - Google Patents

Manufacturing method for semiconductor integrated circuit device Download PDF

Info

Publication number
TWI250583B
TWI250583B TW093110289A TW93110289A TWI250583B TW I250583 B TWI250583 B TW I250583B TW 093110289 A TW093110289 A TW 093110289A TW 93110289 A TW93110289 A TW 93110289A TW I250583 B TWI250583 B TW I250583B
Authority
TW
Taiwan
Prior art keywords
oxide film
integrated circuit
semiconductor integrated
circuit device
oxygen
Prior art date
Application number
TW093110289A
Other languages
English (en)
Other versions
TW200415729A (en
Inventor
Yoshikazu Tanabe
Satoshi Sakai
Nobuyoshi Natsuaki
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of TW200415729A publication Critical patent/TW200415729A/zh
Application granted granted Critical
Publication of TWI250583B publication Critical patent/TWI250583B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/023Deep level dopants
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/116Oxidation, differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1250583 玖、發明說明: 【發明所屬之技術領域】 本發明係關於一種半導體積體電路裝置(半導體裝置等) 之製造方法,特別是關於一種適用於形成M〇SFET(金屬氧 化物半導體場效電晶體)等之閘氧化膜(絕緣膜)有效之技 術。 【先前技術】 在初期的半導體產業’廣泛適用使氧等載氣通過起泡室 (Bubbler)内的水中的起泡(Bubbling)。此方法雖然有可涵蓋 廣大水分範圍等優點,但不能避免污染問題,最近幾乎不 被使用。 因此,最近作為避免此起泡室缺點的方式,氫氧燃燒法 式,即熱解方式(Pyrogenic system)廣泛普及。 (習知技術文獻之揭示等) 關於成為本案對象的熱氧化改良及為此的水分生成方 法,已知如下的先前技術: ⑴大見之特開平6_163517號公報揭示半導體處理低溫化 的低溫氧化技術。在同實施例丨揭示以下方法:將氮從 100啊到1%添加於由氬約99%、氧約1%構成的氣氛 内’在氫的燃燒溫度攝氏度以下,即攝氏450度以 T ’以_觸媒作用得到水蒸氣。再在同實施例2揭 -:在由以氧99%、觸媒生成的水蒸氣1%構成的氣氛 令’在常壓或高壓下,在攝氏600度的氧化溫度的石夕熱 氡化。
O:\92\92305 DOC -6- 1250583 、、平7 321 102公報(吉越)揭示··為了避免起因於水分 、種問題,在極低水分濃度,即〇.5 ppm程度的極超 一 K刀項域或乾領域氧化溫度攝氏85〇度的矽表 溫熱氧化。 (3)本間等之特開照6(Μ_0號公報揭示一種石夕之熱氧 =方法·為了減低因乾氧化的環境水分而水分量分 政心圖添加以習知方法生成的幾十卯㈤程度的微少水 分。 特開平5]52282號公報(大見!)揭示—種熱氧化裝置:鲁 為防止來自上述石英管前端的粒子產生而具備以 Νι(鎳)或含有Ni材料構成氫氣導入管内面,同時加熱氫 氣‘入g之機構。此熱氧化裝置係使氫接觸加熱到%〇 C以上的氫氣導入管内的Ni(使含有Ni材料)而使氫活 ^生種產生,藉由使此氫活性種和氧(或含氧的氣體)反 應,生成水。即,以不伴隨燃燒的觸媒方式生成水, 所以沒有氳導入石英管前端溶化而產生粒子的情形。籲 ()待開平6 -1 1 5903號公報(大見π)揭示一種觸媒方式之水 分產生方法:含有混合氣體製成製程:混合氧、氫及 ^性氣體而製成弟一混合氣體;及,水分產生製程: 藉由將第一混合氣體導入反應爐管内,同時加熱反應 爐管内,該反應爐管係以具有可使氫及氧基團化的觸 媒作用的材料構成,使第一混合氣體中所含的氫和氧 反應而使水產生。 根據此方法,由於在使氫和氧反應的反應管使用使反應 , f
0 \92\92305 DOC 1250583 低溫化的觸媒材料,所以反應溫度低溫化,該結果在低溫 可產生水分。因此,供應給加熱氫、氧、惰性氣體之混合 氣體的反應管時,在反應管内在500°C以下的溫度,氫和氧 完全反應’所以比燃燒方式在低溫可得到含有水分的氣體。 此外’此時從通氣部完全排除塑膠材料,只使用金屬材 料’再對於金屬表面施以鈍態化處理時,由於來自表面的 放出氣體(水分、碳氫化合物等)極少,所以可使更高純度的 水刀以更向精度且廣大範圍(?的到%)濃度產生。藉由將施 以毛解拋光或電解複合拋光的不銹鋼在雜質濃度幾卯b以 下的氧化性或弱氧化性氣氛中熱處理,進行鈍態化處理。 (6)特開平5-141871號公報(大見πι)揭示一種熱處理裝 置·至少具有爐心管:具有搬出入被處理物的可開關 開口 #和將氣體導入内部的氣體導入口;爐心管加熱 機構·加熱爐心管内部;氣體導入管:使其與氣體導 入口連通而連接;及,加熱機構:加熱氣體導入管; 轧體導入官之至少内表面由犯(或含有沁材料)構成。
(7)大見之特開平5- 所以可期待得到高可靠性的閘氧化膜。 -144804號公報揭示一種以鎳觸媒生成
O\92\92305.DOC ' 8 - 1250583 的氫活性種產生氧化矽膜的熱處理技術。 (8)令村等在1993年12月1曰至2曰戶斤夹> + 所舉仃的電化學協會電 子材料委員會主辦半導體穑髀雷 $脰積粒罨路技術第45次專題討 論會演講論文集128頁至133頁中,揭示—種在以應用 於快閃記憶體之隨道氧化膜的由觸媒生成的氫基和由 水分產生的氫為主體的強還原性氣氛下的氧化石夕製 桓。 (9)大見之特開平6_12〇2〇6號 ^ A報揭不一種絕緣分離選擇 爲晶成長區域絕緣膜之利用由辟 列用由鎳觸媒生成的氫活性種 之燒結(sintering)技術。 ⑽小林等之特開昭59]助6號公報揭示—種由通常方 法生成的水分和氫之氧化還原混合氣氛的石夕和高溶點 金屬的氧化還原製程。 【發明内容】 (習知技術及關於本發明之考察等) 根擄深度次微米之設計規則制 的琅尖端MOS裝置,為 維持被細微化元件的電氣特性 + 叫要衣以1 〇 nm以下的極薄 膜厚形成閘氧化膜。例如閘長〇 一曰、 厂甲]長0·35 時,所要求的閘氧化 膜厚為9 nm程度,但閘長轡忐Λ 交成〇·25 μχη,預料將薄到4 11111程 度。 一般在乾燥氧氣氛中進行埶龛 …、氧化膜的形成,但形成閘氧 化膜時’從可減低膜中的 ^ 、 1曰在度的理由,向來使用濕式 片知 默十Α以上)。此濕式氡化法係在氧 氣氣中7使氫燃燒而生成水,將 β 將此水和虱共同供應給半導體
O:\92\92305 DOC '9- 1250583 晶圓(製造積體電路用晶圓或只是積體電路晶圓)表面而形 成氧化膜,但因使氫燃燒,所以為避免爆炸的危險而先使 氧充分流動之後,點燃氫。此外,將為氧化種的水+氧混 合氣體之水分濃度提高到40%程度(全氣氛壓力中所佔水分 的分壓)。 然而,上述燃燒方式係點燃從裝在石英製氫氣導入管前 &的噴嘴喷出的氫而進行燃燒,過度降低氫之量,火焰就 接近噴嘴’喷嘴因該熱炼化而產生粒子,這被指出成為半 V體晶圓污染源的問題。(此外,反之過度增加氫之量,火 焰就達到燃燒管端部,熔化此石英壁而成為粒子的原因)此 外,上述燃燒方式由於為氧化種的水+氧混合氣體之水分 》辰度南,所以在閘氧化膜中取入氫或〇H基,在薄膜中或和 矽基板的界面容易產生Sl-H結合或Si_〇H結合等構造缺 fe。&些結合為注入熱載子等施加電壓應力所切斷而形成 成為臨界電壓變動等引起膜之電氣特性降低的 原因。 關於此範圍情況的詳情及利用新式觸媒的水合成裝 置文良的指’ 4述於本案發明者本人之特開平9_172〇11 '報及本^明者與大見等之國際公開之國際申請PCT/ JP 97/00188 (國際申請日 1997.1.27)。 ,擄本發明者之檢討,習知氧化膜形成方法難以以均勻 、、旱=見/1良好地形成高品f且膜厚5謂以下(關於$麵 、田-也可期待同樣的效果)的極薄間氧化膜。當然,這 以上膜厚的情況,也有各種不足之處。
O:\92\92305.DOC -10- 1250583 要:均勾膜厚再現性良好地形成極薄的氧化膜,需比形 成比較厚的氧化膜時降低氧化膜成長速度,以更安定的氧 條件進订成膜’但例如利用前述燃燒方式的氧化膜形成 為氧化種的水+氧混合氣體之水分濃度只能在18% 到術〇程度的高濃度範圍内控制。因此,氧化膜成長速度 快y專氧化膜時,在極短時間就形成膜。另一方面,要降 -氧化膜成長速度而將晶圓溫度降到_。。以下進行氧 ^ ασ貝就降低。(若在攝氏80〇度以下的溫度領域也 適當調整其他參數,則#然可適用本發明) 清潔的氧化膜’ f先以濕式洗務除去形成 於導體晶圓表面的低品質氧化膜’但在從此濕式洗務製 =運到氧化製程的過程會在晶圓表面不可避免地形成薄 ^ ^ 在乳化製程,因在進行本來的氧化 ::和减種中之氧的接觸而在晶圓表面形成不希望的初 為化臈。特別是使㈣燒方式的氧化膜形成方法的情 诗為避免風爆炸的危險而先使氧充分流動之後’使氫辦 ==圓表面暴露於氧中的時間變長,就厚地形成: 右右八(―般認為常壓下攝氏560度以上、氫4%以上且 女1的氧4,會發生氫的爆炸性燃燒,即「爆炸」) 膜的氧化膜係除了因本來的氧化而形成的氧化 遏3有自然氧化膜和初期氧化膜的結構,伸泛此 ==一比作為目的的本來的氧化二 要侍到咼品質的氧化膜,必須儘量降低氧化 °二低品質膜的比例,但使用習知氧化
O:\92\92305 DOC -11 - 1250583 方法形成極薄的氧化膜’這些低品質膜的比例反而增加了。 士例如使用習知氧化膜形成方法形成膜厚9麵的氧化膜 日:,設此氧化膜中的自然氧化膜和初期氧化膜之膜厚分別 ‘.'、〇.Inm、0·8麵,則本來的氧化瞑之膜厚成為9一(07 + 〇·8卜7.5 _’所以此氧化膜中所佔的本來氧化膜的比例為 約83.3%。然而,使用此習知方法形成膜厚4麵的氧化臈, ^然氧化膜和初期氧化膜之膜厚分別為0.7nm、〇,8nm,、不 變,所以本來的氧化膜之膜厚成為4—*〇.7+〇.8)=2 5咖, ,比例降低⑽·5%1,要以f知氧化膜形成方法形成極 涛的氧化膜’不僅不能確保膜厚的均勾性或再現性,而且 膜之品質也降低。 為了解決這些問題,本發明者注視大見等之觸媒之水分 ^成方法。根據本發明者等的檢討,這些研究站在「氫基 壽命長」此一前提,將重點放在氫基的強還原作用,所以 右疋照樣則顯然不能適用於半導體積體電路的量產製程。 即,本發明者等闡明:要適用於半導體製程,需要「氫等 之基團壽命非常短,在觸媒上生成而大致在其上或其附近 回到化合或基礎狀態」此_前提檢討必要的結構。 再者,本發明者闡明:以水分之分壓比而言,〇到1〇卯0 屬於乾7員域,顯示所謂乾氧化的性質,關於今後細微製程 中的閘氧化膜等要求的膜質,用不著所謂濕式氧化。 此外本發明者闡明:同樣地水分分壓比1 0 ppm以上〇 xlO ppm以下(〇. 1%)以下的超低水分領域,基本上顯示和乾 氧化幾乎同樣的性質。
O:\92\92305 DOC -12- 1250583 此卟,本發明者 1 7 ^ ^ 月·同樣地在水分分壓比0.1%以上到 10%以下的低水分領 、 或(/、中特別是水分分壓比0.5%到5% 以下的低水分領域)的埶 L 4 …、乳化,和其他領域(乾領域、10%以 上在:L: k法方式所通用 勺項域及利用起泡室等的水分濃度 數十%以上的高水分 7或)比較,顯示比較良好的性質顯示 性質。 (本發明之目的等) 本發明之目的在於提供_ 一 仏種可以均句膜厚再現性良好地 形成南品質之極薄氧化膜之技術。 本發明之前述及其他目的 〜τ口新顆特徵,由本說明書之記 述及附圖當可明白。 (本發明之概要等) 么么句單σ兒明在本案所揭示的 J〜明干具代表性者的概要如 下: 本發明之半導體積體電路裝置製 衣IH仏方法含有以下製程 ⑷、(b): (a)砝氫和氧以觸媒作用生成水的製程, (bK共應低漢度含有前述水的氧給加熱到預定溫度的半導 體晶圖主面或其附近,以可確保至少形成氧化膜再現性及 氧化琪厚均句性程度的氧化膜成長速度形成膜厚5麵以下 的氧膜的製程。 本發明之半導體積體電路裝置之製 7,女係則述氧化 膜為MOSFET之閘氧化膜。 本發明之半導體積體電路裝置之製 ^ 係則述虱化 0 V92\92305.DOC -13- 1250583 膜膜厚為3 nm以下。 本發明之半導體積體電路裝置之製造方法,係前述半導 體晶圓加熱溫度為800到900°C。 本發明之半導體積體電路裝置之製造方法,係前述⑻製 程後’藉由在前述半導體晶圓主面施以氧化氮處理,使氣 與氧化膜和基板的界面分離。 « 本發明之半導體積體電路裝置之製造方法,係以單片處 理進行前述氧化膜的形成。 本發明之半導體積體電路裝置之製造方法,係以整批處 理進行前述氧化膜的形成。 本發明之半導體積體電路裝置之製造方法含有以下製程 ⑻、(b) ·· (a)由氫和氧以觸媒作用生成水的製程, (κ:(、應氧、..α加熱到預定溫度的半導體晶圓主面或其 附近’該氧係比在不含至少水的乾燥氧氣氛中所形成的氧 化膜可得到優良初期耐壓 1的/辰度的含有則述水之氧,形成 膜厚5 nm以下的氧化膜的製程。 本發明之半導體積體電 濃度為40%以下。 &置之“方法,係如述水之 本發明之半導體積體♦ ^ ^ . Λ 、 ^路凌置之製造方法,係前述水之 濃度為0.5到5%。 本發明之半導體積體 ,. 兒路$置之製造方法含有以下製程 (a)到(c) · (a)將主面形成第_氧 匕騰的半導體晶圓搬到洗滌部,以
O:\92\92305.DOC ' 14- 1250583 顯式洗蘇除去前述第-氧化膜的製程, (b)不使則述半導體晶圓义 惰性氣俨翁- r 苟大⑪“刚述洗滌部搬到 f月性孔體虱汛之氧化處理部的製程, ⑷供應低濃度含有因觸媒作用而由氫和氧生成之水之氧 給加熱到預定溫度的前述半導體晶圓主面或其附近, 確保至少形錢《再錄及氧㈣厚㈣性 膜成長速度形成膜厚5nm以下的第二氧化膜的製程。 本發明之半導體積體電路裝置之製造方法,係前述第二 乳化膜在其一部分含有自然氧化膜和初期氧化膜,該自妙 乳化膜係在除去前述第-氧化膜之後到形成前述第二氧: 膜之間,不希望形成於前述半導體晶圓表面,該 膜係:和前述氧的接觸而不希望形成於前述半導體晶圓表 ^自然氧化膜和前述初期氧化膜之合計膜厚為前述 第一氧化膜全體膜厚之二分之一以下。 本發明之半導體積體電路裝置之製造方法,係前述自缺 氧化膜和前述初期氧化臈之合計膜厚為前述第:氧化膜入 體膜厚之三分之一以下。 、 曰本發明之半導體積體㈣裝置之製造方法含有在半導體 晶圓之第-區域及第二區域形成第一氧化膜後,除去形成 於前述半導體晶圓之第-區域之前述第—氧化臈的製程和 在留在前述半導體晶圓之第—區域及第二區域之前述第— 絕緣膜上形成第二氧化膜的製程’以前述方法形成前述第 一及第二氧化膜之至少一方。 再將本發明之主要概要分成項顯示如下:
O:\92\92305.DOC -15- 1250583 ^:在以攝1^程構叙半導體積體電路I置之製造方法: )在攝氏5〇〇度以下使用觸媒由氧和氫合 (b)在以下停件下.* > ° 7为的製程 > v 軋氛全體氣壓中所佔的所人成的上-市 水/刀分壓比例為0.5% ——通 氛中且將日α關在虱不支配的氧化性氣 := 夕表面加熱到攝氏_度以上;在增 …、氧化形成應成為場效電晶體之閘絕緣膜之氧化矽 膜的製程。 冬騰·^乳化石夕 2· Γ據上ί第1項之半導體積體電路裝置之製造方法,其 上述氧化性氣氛含有氧氣作為主要成分。 根據上述第丨或2項之半導體積體電路裝置之製造方 法,其中使上述觸媒作用於氧和氫之混合氣體而進行上 述水分的合成。 4.根據上述第⑴項中任一項之半導體積體電路裝置之 製造方法,其中一面供應上述氧化性氣氛給上述晶圓周 邊’一面進行上述熱氧化。 5·由以下製程構成之半導體積體電路裝置之製造方法: ⑷在攝氏500度以下使用觸媒由氧和氫合成水分的製程; (b)在以下條件下··氣氛全體氣壓中所佔的所合成的上述 ^分分壓比例為〇.5%到5%的範圍,在含有氧氣的氧化性氣 乱中且將晶圓上的石夕表面加熱到攝氏_度以上;在上述石夕 表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧化矽 膜的製程。 6·根據上述第5項之半導體積體電路裝置之製造方法,其 中使用熱壁爐進行上述熱氧化。
O:\92\92305 DOC -16- 1250583 .根據上述第5項之半導體積體電路裝置之製造方法,盆 中使用燈加熱爐進行上述熱氧化。 〃 8.根據上述第5至7項中任一項之半導體積體 制;生士、+ # , ^ i之 其中含有上述使其合成的水分的氣體以水分 之外的乳體稀釋後,供應作為上述氧化性氣氛。 9 ·根據上述第5至8項中任一項之卜說〔车墓辦 T1 貝之上迷丰導體積體電路裝 置之製造方法更由以下製程構成: (_將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 ^性乳氛中’而在含有氧化氮的氣氛中施以表面處理的製 程〇 〇·由以下製程構成之半導體積體電路裝置之製造方法: (a) 在攝氏500度以下使用觸媒生成水分的製程; (b) 在以下條件下:氣氛全體氣壓中所佔的所合成的上述 水刀分壓比例為〇.5%到5%的範圍,在含有氧氣的氧化性氣 氛中且將晶圓上的矽表面加熱到攝氏8〇〇度以上;在上述矽 表面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧化矽 膜的製程。 U·根據上述第1〇項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氣含有氧氣作為主要成分。 12.根據上述第10或11項之半導體積體電路裝置之製造方 法,其中一面供應上述氧化性氣氛給上述晶圓周邊,一 面進行上述熱氧化。 13·由以下製程構成之半導體積體電路裝置之製造方法: (a)在攝氏500度以下使用觸媒由氧和氫合成水分的製程;
O:\92\92305.DOC -17- 1250583 (b)—面供應氣氛全體氣壓中所佔 ^ bL , ^ W。成的上逑水分分 例為0·5%到5%的範圍且含有氧氣 功主ζ 孔孔的虱化性氣氛給將 二:熱到攝氏800度以上的晶圓周邊,—面在上述石夕表 的^裎乳化形成應成為場效電晶體之閉絕緣膜之氧化石夕膜 14.根據上述第13項之半導體積體 ^ 貝脰甩路裝置之製造方法,其 上述氧化性氣氛含有氧氣作為主要成分。 15·根據上述第13或14項之丰導 貞導體積體電路裝置之製造方 ',其中使上述觸媒作用於氧和氫t、、β a ^ _ , 平^虱之此合氣體而進行上 逃水分的合成。 16·由以下製程構成之半導體積體電路裝置之製造方法. J:)在水分合成部在攝氏度以下使用觸媒由氧和氯合 成水分的製程; (b)—面通過設於水分合成部 虱化處理部之間的狹窄部 仏應氣氛全體氣壓中所佔的所人点 们所。成的上述水分分壓比例為 〇,5/〇到5%的範圍且含有氧 J虱化性軋汛给將矽表面加 熱到攝氏800度以上的晶圓周邊,一 n ^ 面在虱化處理部在上述 石夕表面以熱氧化形成應成為 勹劳欢私日日體之閘絕緣膜之氧化 矽膜的製程。 17·板據上述第16項之半導濟接棘+ μ # 干导體積體電路裝置之製造方法,置 令上述氧化性氣氛含有氧氣作為主要成分。 ’、 18.板據上述第16或17項之半導體積體電路裝置之製造方 去’其中使上述觸媒作用於氧和氫之混合氣體而進行上 遥水分的合成。
O:\92\92305 DOC -18- 1250583 9·由以下製程構成之半導體積體電路裝置之製造方法: (a)使用觸媒由氧和氫合成水分的製程,· ~(b)以水分之外的第二氣體稀釋含有所合成的上述水分的 第一氣體的製程; ⑷將所稀釋的上述第—氣體導人處理區域的製程; 曰⑷在上述處理區域’在所導入的上述第一氣體氣氛中在 晶Η 士的石夕表面以熱氧化形成應成為場效電晶體之問絕緣 膜之氧化石夕膜的製程。 2〇·根據上述第19項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氛含有氧氣作為主要成分。 根據上述第19或2〇項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 拫據上述第19至21項中任一項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶圓 周邊,一面進行上述熱氧化。 由以下製私構成之半導體積體電路裝置之製造方法: ⑷使水分合成觸媒作用於氧和氫之混合氣體而生成含有 水分之第一氣體的製程; ()以水刀之外的第二氣體稀釋上述第一氣體的製程; (C)將所稀釋的上述第—氣體導入處理區域的製程; (d)在上述處理區域,在所導入的上述第一氣體氣氛中在 晶圓上的石夕表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化矽膜的製程。 24·板據上述第23項之半導體積體電路裝置之製造方法,盆
O:\92\92305 DOC - 19- 1250583 中上述氧化性氣氛含有氧氣作為主要成分。 25·根據上述第23或24項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 26.根據上述第23至25項中任一項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶圓 周邊,一面進行上述熱氧化。 27·由以下製程構成之半導體積體電路裝置之製造方法· ⑷使觸媒作用而生成含有水分之第_氣體的製程; (b)以水分之外的第二氣體稀釋上述第一氣體的製程; ⑷將所稀釋的上述第—氣體導人處理區域的製程; 曰⑷在上述處理區域,在所導人的上述第—氣體氣氛中在 晶圓上㈣表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化矽膜的製程。 28.板據上述第27項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氛含有氧氣作為主要成分。 29·根據上述第27或28項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 根據上述第27至29項中任一項之半導體積體電路裝置 之製仏方法,其中一面供應上述氧化性氣氛給上述晶圓 周邊,一面進行上述熱氧化。 31·由以下製私構成之半導體積體電路裝置之製造方法: 吏水分合成觸媒作用於氧和氫之混合氣體而生成含有 水分之第一氣體的製程; ()用、氧為主要成分的第二氣體稀釋上述第〆氣體的製
O:\92\92305.DOC -20- 1250583 程; (C)將所稀釋的上述第一氣體導入處理區域的製程; ⑷在上述處理區域,在所導人的上述第—氣體氣氛中在 晶圓上的矽表面以熱氧化形成應成為場效電晶體之閘絕緣 膜之氧化石夕膜的製程。 ^2·根據上述第31項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氛含有氧氣作為主要成分。 33·根據上述第3丨或32項之半導體積體電路裝置之製造方 法,其中在攝氏800度以上進行上述熱氧化。 34.根據上述第31至33項中任一項之半導體積體電路裝置 之製造方法,其中一面供應上述氧化性氣氛給上述晶圓 周邊,一面進行上述熱氧化。 3 5.由以下_製程構成之半導體積體電路裝置之製造方法·· (勾為洗滌表面或除去表面膜而在晶圓上的矽表面施以表 面處理的製程; (b) 上述製程後,不將上述晶圓實際上暴露於氧化性氣氛 中’而轉移到氧化處理部的製程; (c) 使用觸媒由氧和氫合成水分的製程; 在含有所合成的上述水分的氣氛中,在上述矽表面以 熱氧化形成氧化矽膜的製程。 36.根據上述第35項之半導體積體電路裝置之製造方法,其 中上述氧化矽膜應成為M〇s電晶體之閘極。 37·根據上述第36項之上述半導體積體電路裝置之製造方 法更由以下製程構成··
O\92\92305.DOC 1250583 露於外氣或其他氧 施以表面處理的製 (e)不將形成上述氧化膜的上述晶圓暴 化性氣氛t ’而在含有氧化氮的氣氛中 程0 3 8 ·根據上述第3 7 j音;^ μ、七士 @ _ 、上述丰導體積體電路裝置之製造方 法更由以下製程構成·· β⑴不^m述表面處理的上述晶圓暴露於外氣或其他 r 氣氛中而以氣相沉積形成應成為閘極的電極材料 的製程。 τ 粑據上述第36項之上述半導體積體電路裝置之製造方 法更由以下製程構成·· 化Γ將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 ,氣氛中而以氣相沉積形成應成為閘極的電極材料的 4。·根據亡述第35至39項令任一項之半導體積體電路裝置 之製造方法,其中以燈加熱進行上述氧化製程。 41·由以下製程構成之半導體積體電路裝置之製造方法: (a) 為洗滌表面或除去表面膜而在晶圓上的矽表面施以表 面處理的製程; (b) 上述製程後,不將上述晶圓實際上暴露於氧化性氣氛 中,而轉移到氧化處理部的製程; ⑷使用觸媒生成水分的製程; 在3有所合成的上述水分的氣氛中,在上述矽表面以 熱虱化形成氧化矽膜的製程。 42.根據上述第41項之半導體積體電路裝置之製造方法,其
O:\92\92305 DOC -22- 1250583 中上述氧化矽膜應成為MOS電晶體之閘極。 43·根據上述第42項之上述半導體積體電路裝置之製造方 法更由以下製程構成: (e) 不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化丨生氣氛中’而在含有氧化氮的氣氛中施以表面處理的製 程。 44.根據上述第43項之上述半導體積體電路裝置之製造方 法更由以下製程構成: (f) 不將施以上述表面處理的上述晶圓暴露於外氣或其他 氧化性氣氛中,而以氣相沉積形成應成為閘極的電極材料 的製程。 45·根據上述第42項之上述半導體積體電路裝置之製造方 法更由·以下製程構成: (0不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中,而以氣相沉積形成應成為閘極的電極材料的 製程。 46.根據上述第41至45項中任一項之半導體積體電路裝置 之製造方法,其中以燈加熱進行上述氧化製程。 47·由以下製程構成之半導體積體電路裝置之製造方法: (a) 使用觸媒由氧和氫合成水分的製程; (b) 在含有所合成的上述水分的氣氛中,在晶圓上的矽表 面以熱氧化形成應成為場效電晶體之閘絕緣膜之氧化 的製程; ' (c) 上述製程後,對於不使其接觸外氣而形成上述氧化矽 O:\92\92305 DOC -23- 1250583 *、、上述曰B圓,在含有氧化氮的氣體氣氛中施以表面處理 的製程。 48.根據上述第47項之半導體積體電路裝置之製造方法,其 中上述氧化矽膜應成為MOS電晶體之閘極。 根據上述第48項之上述半導體積體電路 法更由以下製程構成: ^ ⑷^將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中,而在含有氧化氮的氣氛中施以表面處理的製 程0 5〇.根據上述第49項之上述半導體積體電路裝置之製造方 法更由以下製程構成·· ^ 0不將施以上述表面處理的上述晶圓暴露於外氣或其他 氧化性氣氛中’而以氣相沉積形成應成為閘極的電極 的製程。 51·根據上述第48項之上述半導體積體電路 法更由以下製程構成·· 、“方 ⑴不將形成上述氧化膜的上述晶圓暴露於外氣或其他氧 化性氣氛中,而以氣相沉積形成應成為閘極的電極材 製程。 52. 根據上述第47至51項中任一項之半導體積體電路裝置 之製造方法,其中以燈加熱進行上述氧化製程。 53. 由以下製程構成之半導體積體電路裝置之製造方法· (a) 在晶圓上的矽表面形成元件分離槽的製程; (b) 在上述元件分離槽内形成來自外部的絕緣膜的製
O:\92\92305.DOC 1250583 裎; ⑷使上述石夕表面平坦化而露出應形成上述石夕表面之 熱氧化膜之部分的製程; ⑷以觸媒合成水分’在含有此水分的氣氛,,在上述 所露出之部分形成應成為場效電晶體之閘絕緣膜之執氧化 膜的製程。 54. 根據上述第53項之半導體積體電路裝置之製造方法,其 中以化學機械方法進行上述平坦化。 55. 根據上述第53或54項之半導體積體電路裝置之製造方 法,其中以化學機械研磨進行上述平坦化。 56_根據上述第53至55項中任-項之半導體積體電路裝置 之製造方法,其中以CVD(化學氣相沉積)形成上述來自 外部岭絕緣膜。 57·由以下製程構成之半導體積體電路裝置之製造方法: (8)在晶圓上的矽表面形成元件分離槽的製程; (b) 在上述元件分離槽内以沉積形成絕緣臈的製程; (c) 以觸媒合成水分,在含有此水分的氣氛中,在為上 述几件分離槽所包圍之石夕表面形成應成為場效電晶體之 絕緣膜之熱氧化膜的製程。 f 58.根據上述第57項之上述半導體積體電路裝置之製造方 法更由以下製程構成: 、、⑷上述製程(b)後,使上述矽表面平坦化而露出應形成上 述矽表面之熱氧化膜之部分的製程。 59·根據上述第57項或58項之半導體積體電路裝置之製造
O:\92\92305.DOC -25- 1250583 方法’其中以化學機械方法進行上述平坦化。 •根據上述第57至59項中任一項之半導體積體電路裝置 之製造方法,其中以化學機械研磨進行上述平坦化。 61·根據上述第57至60項中任一項之半導體積體電路裝置 之製造方法,其中以CVD(化學氣相沉積)形成上述來自 外部的絕緣膜。 62·由以下製程構成之半導體積體電路裝置之製造方法:
。(:)在氣氛全體氣壓中所佔的水分分壓比例為0.5%到 %耗圍的氧化性氣氛中,藉由以燈加熱晶圓上的矽表面, 述夕表面以熱氧化形成應成為場效電晶體之閘絕緣膜 之氧化矽膜的製程。 、、 63.根據上述第62項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氛含有氧氣作為主要成分。 64·由以下製程構成之半導體積體電路裝置之製造方法· ⑷使觸媒作用於氧和氫之混合氣體而生成含有水分之第 一氣體的製程;
b)以水分之外的第二氣體稀釋上述第一氣體的製程; ⑷將所稀釋的上述第一氣體導入處理區域的製程; 曰(:上:處理區域,在所導入的上述第一氣體謝 =时表面以燈加熱之熱氧化形成應成為場效電晶 之閘絕緣膜之氧化矽膜的製程❶ 65·甴以下製程構成之半導體積體電路裝置之製造方法: ::㈣到水分不結露的程度,將無處理晶圓導二實丨 保持於非氧化性氣氛的氧化處理部的製程;
O:\92\92305.DOC -26- 1250583 (b) 在氣氛全體氣屬中所佔的水分 在上述氧化處理部 分壓比例為0.1%以上範圍的氧化性氣氛下,藉由以燈加熱 所導入的上述晶圓上的矽表面,在上述矽表面以熱氧化形 成應成為場效電晶體之閘絕緣膜之氧化矽膜的製程。 66.根據上述第65項之半導體積體電路裝置之製造方法,其 中上述非氧化性氣氛係以氮氣為主並添加少量氧氣。 67·根據上述第65或66項之半導體積體電路裝置之製造方 法其中上述預熱溫度為攝氏1〇〇度以上5 〇〇度以下。 68·根據上述第65至67項中任一項之半導體積體電路裝置 之製k方法,其中上述氧化處理時的上述晶圓表面溫度 為攝氏700度以上。 69·根據上述第65至68項中任一項之上述半導體積體電路 裝置之製造方法,其中將上述非氧化性氣氛預熱到水分 不結露的程度後,導入上述氧化處理部。 7〇·根據上述第65至69項中任一項之上述半導體積體電路 裝置之製造方法,其中將上述晶圓預熱到水分不結露的 程度後,導入上述氧化處理部。 71·由以下製程構成之半導體積體電路裝置之製造方法: () 在以下條件下·氣氣全體氣壓中所佔的水分分壓比 例為0 · 5到5 %的範圍,在含有氧氣的氧化性氣氛中且將晶圓 上的矽表面加熱到攝氏800度以上;在上述矽表面以熱氧化 形成應成為場效電晶體之閘絕緣膜之具有5 nm以下厚度之 氧化石夕膜的製程。 72.根據上述第71項之半導體積體電路裝置之製造方法,其
O:\92\92305.DOC -27- 1250583 中上述氧化性氣氛含有氧氣作為主要成分。 據上述第71或72項之半導體積體電路裝置之製造方 去/、中面供應上述氧化性氣氛給上述晶圓周邊,一 面進行上述熱氧化。 74·由^^下製程構成之半導體積體電路裝置之製造方法·· —⑷虱巩全體氣壓中所佔的水分分壓比例為外到 :圍,在含有氧氣的氧化性氣氛中…圓上嶋面以 =㈣成應成為㈣記憶體㈣道絕緣膜之氧切膜的 根據上述第74項之半導體積體電路裝置之製造方法,其 中上述氧化性氣氛含有氧氣作為主要成分。 根據上述第74或75項之半導體積體電路裝置之製造方 法,其冲一面供應上述氧化性氣氛給上述曰曰曰圓周邊,一 面進行上述熱氧化。 由以下製耘構成之半導體積體電路裝置之製造方法: (a)以觸媒使水分生成的製程; 一⑻-面供應含有以觸媒生成的水分的氣氛氣體給第 ::化處理部:一面在前述第一氧化處理部…圓上的 弟石夕表面區域形成第一熱氧化膜的製程; 2) 1述製程⑷之前或上述製程⑻之後,藉由使氧和氫 燋k而使水生成的製程; 一—面供應含有以燃燒生成的水分的氣氛氣體給第 或第-氧化處理部,—面在前述第:氧化處理部,在上 述晶圓上的第二石夕表面區域形成第二熱氧化獏的製程。
O:\92\92305 DOC -28- 1250583 78.由以下製程構成之半導體積體電路裝置之製造方法: ⑷在氣氛全體氣壓中所佔的水分分壓比例為㈣到 ::圍的氧化性氣氛下,在保持成晶圓主表面實 ㈣狀態’在前述晶圓上的上述主表面上的石夕表面以献 乳化形成應成為M0S電晶體之問絕緣膜之氧化石夕膜的製 程0 79·由以下製程構成之半導體積體電路裝置之製造方、去· 旦⑷='生爆㈣溫度條件下,由比與水對應之化學計 里比S氧的氧和氫之非化學古十吾 水分的製程;非化予。十里的’此合氣體使用觸媒合成 的:)二有:广成的上述水分的氧化性氣氛中,在晶圓上 、、以…、氧化形成氧化矽膜的製程。 队由以下.製程構成之半導體積體電路裝置 立⑷將被處理晶圓導人氧化處理部的製程,該氧化處理 口P係保持於含有實際上氧化不進行 、旦斤 处 性氣氛的攝氏7。。度以上的高溫;&、氧的非氧化 程⑻纟攝氏度以下使用觸媒由氧和氯合成水分的製 (0 在上述氧化處理部,在以 歷中所佔的所入成的上化 ^下·在氣氛全體氣 化性氣气中曰分分屋比例為_到5%的氧 上迚矽;H㈣表面加熱到攝氏7⑻度以上;在 上述矽表面以熱氧化形成應在 氧化矽膜的!^呈。 丈電曰曰體之閘絕緣膜之 (本案發明之其他概要等)
O:\92\92305.DOC -29- 1250583 炫將以上及其他本案發明概要分項顯示如下·· Α·-種半導體積體電路裝置之製造方法,其特徵在於· 含有以下製程(a)、(b) ·· (a) 由氫和氧以觸媒作用生成水的製程、 (b) 供應低濃度含有前述水之氧給加熱到預定溫度之半導 體晶圓主面或其附近’以可韻至少形成氧㈣再現性及 减胰厚均勻性程度的氧化膜成長速度在前述半導體 主面形成膜厚5細以下的氧化膜的製程者。 义B·根據上述A項之半導體積體電路裝置之製造方法,其中 前述氧化膜為M〇SFET之閘氧化膜。 c·根據上述A項之半導體積體電路裝置之製造方法,其中 前述氧化膜膜厚為3 nm以下。 一 D·根據上述八項之半導體積體電路裝置之製造方法,其中 前述半導體晶圓加熱溫度為800到900。(:。 义·根據上述A項之半導體積體電路裝置之製造方法,其中 &述(咐&後’藉由在前述半導體晶圓主面施以氧化氮處 理,使氮與前述氧化膜和基板的界面分離。 扣根據上述A項之半導體積體電路裝置之製造方法,其中 以早片處理進行前述氧化膜的形成。 G·才艮據上述a j苜夕 負之+導體積體電路裝置之製造方法,其中 以整批整理進行前述氧化膜的形成。 Η·—種半導體積體電路裝置之製造方法,其特徵在於: 含有以下製程: 用生成水的製程、 (a)由氫和氧以觸媒作
O:\92\92305.DOC -30- 1250583 (b)藉由供應氧給加熱到預定溫度的半導體晶圓主面或其 附近’该氧係比在不含至少水的乾燥氧氣氛中所形成的氧 化膜可得到優良初期耐壓的濃度的含有前述水之氧,在前 述半導體晶圓主面形成膜厚5 nm以下的氧化膜的製程者。 I·根據上述Η項之半導體積體電路裝置之製造方法,其中 前述水之濃度為40%以下。 J·根據上述Η項之半導體積體電路裝置之製造方法,其中 刖述水之濃度為0 · 5到5 %。 κ*根據上述Η項之半導體積體電路裝置之製造方法,其中 前述氧化膜膜厚為3 nm以下。 L•一種半導體積體電路裝置之製造方法,其特徵在於: 含有以下製程(a)到; (a)將主面形成第一氧化膜的半導體晶圓搬到洗滌部,以 濕式洗滌除去前述第一氧化膜的製程、 ()不使别述半導體晶圓接觸大氣,而從前述洗滌部搬到 惰性氣體氣氛之氧化處理部的製程、 一⑷供應低濃度含有因觸媒作肖^氯和*生成之水之氧 給加熱到預定溫度的前述半導體晶圓主面或其附近,以可 “保至/形成氧化膜再現性及氧化膜厚均勻性程度的氧化 腰成長速度在前述半導體晶圓主面形成膜厚 5 nm以下的第 二氧化膜的製程者。 M.根據上述[項之半導體積體電路裝置之製造方法,其φ 前述氧化膜膜厚為3nm以下。 /、 Ν·根據上述匕項之半導體積體電路裝置之製造方法,其中
Ο \92\92305 DOC -31 - Ϊ250583 刖述第二氧化膜在其_ 八 邛刀3有自然氧化膜和初期氧化 膜,该自然氧化膜係在除ϋ g 卜 、;f楚—, 在除去刖述第一氧化膜之後到形成前 七七、一 希望幵y成於則述半導體晶圓表面, q仞期氧化膜係因和前述 道I虱的接觸而不希望形成於前述半 導肢日日圓表面,前述自麸氯乂 膜厚為前述第二氧化臈全體膜厚之二分 眩戶么义、、μ — # …虱化膜和則述初期氧化膜之合計 之一以下 〇.根據上述L項之半導體積體 义、+、ώ" 菔積肢包路裝置之製造方法,其中 广 功虱化膜之合計膜厚為前述第- 氧化膜全體膜厚之三分之一以下。 — ρ·—種半導體積體電路穿w 八女+丄 裝置之製造方法,其特徵在於: :有在半導體晶圓之第一區域及第二區域形成第一氧化膜 後,除去形成於前述半導體晶圓之第一區域之前述第 化膜的製程和在留在前述半導 乳 4干导體晶圓之第一區域及第一 域之前述第一絕緣膜上形成繁-> ~ 小成弟_虱化膜的製程,以 述第1項所載之製程(a)、(b)的古、土 η ’工 的方法形成前述第一及 化膜之至少一方者。 矛一乳 【實施方式】 以下,根據圖面詳細說明太义 兄月本發明之實施形態。又, 明貫施形態的全圖中,在I右 /、同一功能的構件附上同一符 號,省略其重複說明。 ^ 此外,為了說明方便,將八 、 、刀成成個實施例或項目加以說 明,當然這些各實施例或jp目 " 乂貝目並不是各個鬆散的,而
相具有一部分其他變形例、一 H 4分製程細部、用於_ 製程的裝置等關係。即,在_咭+成 口丨刀 連串實施例說明的各個#| 〇:\92\923〇5 D0C '32- 1250583 或單位製程等大致照樣 複。此外,相反地,獨 致照樣可適用於其他實 (半導體製程A) 可適用於其他實施例時,不逐—重 立說明的各個裝置或單位製程等大 施例時,不逐一重複。 本 晶 茲用圖1到圖26 (主要是圖 實施形態之CMOSFET (互補 體)製造方法。 1到8、10、16及22到26)說明 式金屬氧化物半導體場效電 首先,如圖i所示,熱處理電阻率1〇〇咖程度的由單晶矽 構成的半導體基板1而在其主面形成膜厚ig麵程度的薄氧 化石夕膜2 (熱氧化製程A1)後,在此氧化石夕膜2上以㈣法沉 積膜厚100 nm程度的氮化石夕膜3。其次,如圖2所示,在氮 化矽膜3上形成將元件分離區域開孔的光阻劑*,以此光阻 劑4為罩幕·而將氮化矽膜3形成圖案。 其-人,除去光阻劑4後,如圖3所示,以氮化矽膜3為罩幕, 依次蝕刻氧化矽膜2和半導體基板丨,在半導體基板丨形成深 度3 50 nm程度之槽5a,接著施以9〇〇到1150°C的熱氧化處 理’在槽5a内壁形成氧化矽膜6 (熱氧化製程a2)。 其攻,如圖4所示,以例如將臭氧(ο;)和四乙氧基矽烷 ((C2H2H5〇)4Si)用於源氣的cVD法在半導體基板1上沉積膜 尽800 nm程度的氧化石夕膜7後,如圖5所示,以化學機械研 磨(Chemical Mechanical Polishing; CMP)法研磨氧化石夕膜 7’藉由將氮化石夕膜3用於研磨阻止物而只在槽5a内部留下 氧化石夕膜7,形成元件分離槽5。接著,施以約1〇〇〇它的熱 處理而元件分離槽5内部之氧化矽膜7密實。 O:\92\92305 DOC -33- 1250583 其次,以使用熱磷酸的濕式蝕刻除去氮化矽膜3後,如圖 6所示,以將p通道型MOSFET形成區域(圖左側)開孔的光阻 劑8為罩幕,在半導體基板丨離子植入形成n型井的雜質,並 且離子植入調整p通道型MOSFET之臨界電壓的雜質。形成 型井用的雜質例如使用P (磷),以能量=36〇keV、劑量爿$ χ1〇 /cm2離子植入。此外 調整臨界電壓用的雜質例如使 用P,以能量=40keV、劑量ixlO^cm2離子植入。
其次,除去光阻劑8後,如圖7所示,以將n通道型%〇§17£ 丁 形成區域(圖右側)開孔的光阻劑9為罩幕,在半導體美板1 離子植入形成p型井的雜質,並且離子植入調整道型 MOSFET之臨界電㈣雜f。形成㈣井用的雜質例如使用 B (硼)’以能量=200 keV、劑量=1〇xl〇13/cm2離子植入。此 卜凋王臨界電壓用的雜質例如使用氟化硼(BF ), t旦 -40keV、劑量=2xl〇12/cm2離子植人。 ^里 其次’除去光阻劑9後,如圖8所示,藉由95〇t、i分程 度熱處理半導體基板i而延長擴散上述㈣雜質及p型= 質,在p通道型刪FET形成區域之半導體基⑹形成n型井 10 ’在其表面附近形成p型通道區域12。此外 道型M〇SFET形成區域之半導體基…形成P型井u,在其;
面附近形成η型通道區域13 Q 其次,在上述η型井_σρ型井u之各表面用以下方 成閘氧化膜(熱氧化製程A3)。 y 圖9為用於形成閘氧 略R ^ 孔化腰之早片式軋化膜形成裝置的概 略圖。如圖示’此氧化卿成裝置丨崎接於絲裝置ι〇ι
O:\92\92305.DOC -34- 1250583 後y «置丨㈣在形仏錢切先蘇 方式除去.導體晶⑽表面之氧化獏。藉由採用這種洗滌 一氧化:貫處㈣統’可不使在洗„置1()1内交付洗務處 理的半導體晶圓1A接觸大氣且在短時間搬到氧化膜形成裝 置1〇〇’所以在除去氧化膜之後到形成間氧化膜之間,可: 量抑制在半導體晶圓1A表面形成自然氧化膜。 裝入洗務裝置101之裝載器102的半導體晶圓^先搬到洗 條室103 ’交付例如随猶+ HA. 等洗滌液的洗蘇處 理後’搬到氫氟酸洗務室ΗΜ,交付稀氫氟酸(HF+H2〇)的 洗條處理而除去表面的氧化石夕膜(圖1〇)。其後,半導體晶圓 1A搬到乾燥室⑽,交付乾燥處理,除去表面的水分。殘留 於半導體晶圓1A表面的水分會成為在閘氧化膜中或閘氧化 :夕界面.引起Si-H、Si-OH等構造缺陷而形成電荷陷阱的 原因’所以需要充分除去。 "乾燥處理結束的半導體晶圓i A通通緩衝區1 〇6,立即搬到 氧化膜形成裝置1〇〇。 此氧化膜形成裝置1〇〇以多室方式構成,該多室方式例如 具備氧化膜形成室107、氧化氮膜形成室108、冷卻台109、 、P為1 1 〇等,裝置中央的搬運系統112具備將半導體晶圓 搬入、搬出上述各處理室的機器手113。搬運系統112内 铁二了 i里抑制因大氣混入而在半導體晶圓1A表面形成自 化膜保持於氮等惰性氣體氣氛。此外,搬運系統112 内邛為了盡量抑制水分附著於半導體晶圓1A表面,保持於 ppb (十倩公夕 .r、 " )水準的超低水分氣氛。搬入氧化膜形成裝
〇:\92\92305 DOC -35- 1250583 置ι〇0的半導體晶圓1A透過機器手in,先以i片或2片單位 搬到氧化膜形成室107。 圖11 (a)為顯示氧化膜形成室1〇7具體結構一例的概略平 面圖,圖11(b)為沿圖11(a)iB-B,線的截面圖。 此氧化膜形成室107具備以多重壁石英管構成之室12〇, 在其上部及下部設置加熱半導體晶圓1A的加熱器i2h、 121b。至120内部收容圓盤狀均熱環122,該圓盤狀均熱環 122係使由此加熱器121a、121b供應之熱均勻分散到半導體 晶圓1A全面,在其上部裝載水平保持半導體晶圓丨八的基座 123。均熱裱122以石英或SiC (碳化矽)等耐熱材料構成,為 由室120壁面延伸的支持臂124所支持。均熱環122附近設置 熱電偶125,該熱電偶125係測量保持於基座123的半導體晶 圓1A溫度—。半導體晶圓1A的加熱除了加熱器i2ia、的 加熱方式之外,也可以採用例如圖12所示之類的燈13〇加熱 方式。 至120壁面一部分連接將水、氧及淨化氣體導入室120内 的氣體導入管126—端。此氣體導入管126他端連接於後述 的觸媒方式水分生成裝置。氣體導入管126附近設置具備多 數貫通孔127的隔壁128,導入室丨2〇内的氣體通過此隔壁 128之貝通孔127而均勻遍及室12〇内。室120壁面另外一部 为連接排出導入室i 2〇内的上述氣體的排氣管ι29 一端。 圖13及圖14為顯示連接於上述室ι2〇之觸媒方式水分生 成裝置的概略圖。此水分生成裝置14〇具備以耐熱耐蝕性合 金(例如以商品名「哈斯特洛伊(Hastell〇y)」而聞名的鎳合
O:\92\92305.DOC -36- 1250583 金等)構成的反應器141,在其内部收容由Pt (鉑)、Νι (鎳) 或Pd (鈀)等觸媒金屬構成的線圈142和加熱此線圈的加 熱器143。 由氫及氧構成的製程氣體和由氮或Ar (氬)等惰性氣體構 成的淨化氣體從儲氣槽144a、144b、144c通過配管丨45導入 上述反應器141。配管145中途設置調節氣體量的質流控制 器(Mass Flow Controller) 146a、146b、146c和開關氣體流 路的開關閥147a、147b、147c,以這些精密控制導入反應 器141内的氣體量及成分比。 導入反應器141内的製程氣體(氫及氧)接觸加熱到35〇到 450 C程度的線圈142而被激發,從氫分子生成氫基(H2—2 Η)’彳足氧分子生成氧基(〇2— 2 〇 )。這些2種基化學上極為 活性’所以迅速反應而生成水(2Η*+〇*—札〇)。此水在連 接部148内和氧混合而被稀釋成低濃度,通過前述氣體導入 管丨26而導入氧化膜形成室107之室120。 如上述的觸媒方式水分生成裝置可高精度控制參與 水生成之氫和氧量,所以可從ppt (萬億分之一)以下的超低 濃度到幾十%程度的高濃度廣大範圍且高精度地控制和氧 共同導入氧化膜形成室107之室12〇的水濃度。此外,由於 將製私氣體導入反應器14 1就瞬間生成水,所以可以即時 (real-time)得到所希望的水分濃度。因此,可將氫和氧同時 導入反應器141内,無需如同採用燃燒方式的習知水分生成 系統一樣,在導入氫之前導入氧。又,反應器141内的觸媒 金屬若為可使氫或氧基團化的,則也可以使用前述金屬以 O:\92\92305.DOC -37- 1250583 外的材料。此外,觸媒金屬除了加工成線圈狀使用之外, 也可以例如加工成中空管或細的纖維過濾器等而在其内部 通過製程氣體。 妓一面參照圖丨5,一面說明使用上述氧化膜形成裝置1⑻ 的形成閘氧化膜順序一例。 首先’開放氧化膜形成室1〇7之室120,一面將淨化氣體 (氮)導入其内部,一面將半導體晶圓1A裝在基座123上。將 半導體晶圓1A搬入室120之後到裝在基座123上的時間為55 秒。其後,封閉室120,接著導入淨化氣體3〇秒,充分進行 室120内的氣體交換。基座123先以加熱器121&、加熱, 以便迅速加熱半導體晶圓1A。半導體晶圓1A的加熱溫度定 為800到900°C的範圍内,例如85(rc。晶圓溫度在8〇〇它以 下,則閘氧化膜品質降低。另一方面,在9〇(rc以上,則容 易發生晶圓的表面龜裂。 其次,導入氧和氫15秒到水分生成裝置14〇之反應器 141,藉由將生成的水和氧共同導入室12〇内而使半導體晶 圓1A表面氧化5分鐘,形成膜厚5 nm以下,例如4_的閘氧 化膜14 (圖16)。 將氧和氫導入反應器141之際,不要比氧先導入氫。比氧 先導入氫,未反應的氫就流入高溫的室12〇内,很危險。另 一方面,比氫先導入氧,此氧就流入室12〇内,在等待中的 半導體晶圓表面形成低品質的氧化膜(初期氧化膜)。因 此,氫和氧同時導入或考慮作業安全性而以比氧務晚的定 時(0到5秒以内)導入。如此一來,就可將不希望形成於半導 O\92\92305.DOC -38 - 1250583 體晶圓1A表面的初期氧化膜膜厚抑制在最小限度。 圖17為顯示水分濃度對於氧化膜成長速度之相關性的圖 表,橫軸顯示氧化時間,縱軸顯示氧化膜厚。如圖示,氧 化膜成長速度於水分濃度為〇 (乾氧化)時最慢,隨著水分濃 度變高而變快。因此,為了再現性良好且以均句膜厚形成 膜厚5 nm程度或此以下的極薄閘氧化膜,降低水分濃度且 延遲氧化膜成長速度,以穩定的氧化條件進行成膜有效。 圖18為顯示水分濃度對於以半導體基板、閑氧化膜及閑 極構成之MOS二極體之氧化膜初期耐壓之相關性的圖表, 橫軸顯示施加於MOS二極體一方電極(閘極)的電壓,縱軸 顯示閘氧化膜中的缺陷密度。此處,為了使水分濃度的影 響表面化,使用7M0S二極體,該M〇s二極體係以(1)氧化 服度〜850 C、水分濃度=〇、(2)氧化溫度=85(rc、水分濃度 0·8 /ί> (3)使用立式擴散爐、氧化溫度⑻。c、水分濃产 =40%的條件形成膜厚吶nm、面積=〇19之閘氧二 如圖不,以水分濃度呐以/。的低水分條件形成的閘氧化膜比 以水分濃度呻(乾氧化)形成的閘氧化膜及以水分濃度 =40%的高水分條件形成的閘氧化膜之任何一方都顯示2 好的初期耐麼。 义 圖1 9為顯示使恆定電流(Is)流到上述m〇s二極體之電極 間時水分濃度對於電壓變化量之相關性的圖表。如圖=j 使用以水分濃度=〇 (乾氧化)形成的閘氧化膜的咖二極體 因起因於氧化膜中的缺陷密度高而電壓變化量大。 圖20顯示使用上述氧化膜形成裝置1〇〇而形成的閘氧化
O:\92\92305.DOC -39- 1250583 膜之晶圓面内之膜厚分佈。此處,就將晶圓溫度設定於85〇 c、以水分濃度=0.8%氧化2分30秒的情況加以顯示。如圖 Λ膜厚最大值-2.881 nm、最小值=2.814 nm,得到膜厚偏 差± 1 · 1 8%此一良好的面内均勻性。 由以上彳于到下結構:導入氧化膜形成室i 〇7之室工Μ之水 的=佳濃度(水/水+氧)若是以比以乾氧化(水分濃度,形 成犄可得到優良初期耐壓的濃度為下限,到採用習知燃燒 方式時為上限的40%程度的範圍内即可,特別是要以均勻 膜厚再現性良好且可得到高品質搬地形成膜厚5 nm程度或 此以下的極薄閘氧化膜,將水的濃度定為〇5%到5%的範圍 内較佳。 圖21顯示以熱氧化得到的閘氧化膜成分明細,圖之右側 圖表為以上述本實施形態方法形成的膜厚4 nm閘氧化膜, I央圖表為以利用燃燒方式的習知方法形成的膜厚4 n m閘 氧化膜,左側圖表為以相同習知方法形成的膜厚9 nm閘氧 化膜。 曰如圖示,本實施形態採用洗滌一氧化一貫處理系統,盡 里避,k預洗㈣形成氧化膜之間和氣氛中的氧接觸的結 果,可從習知方法的〇.7 nm (總膜厚的175%)到〇.3 nm (總 膜厚的7.5%)弄薄在形成在氧化膜形成裝置内的可控制氧 化膜之前所形成的此自然氧化膜膜厚。此外,;采用觸媒之 尺刀生成方式,谋求氧化種立即導入氧化膜形成裝置内尚 、’、口果在形成作為目的的本來氧化膜之前,可從習知方法 的0.8 nm (總膜厚的2〇%)到〇 3 nm (總膜厚的7·5%)弄薄因
O:\92\92305.DOC -40- 1250583 和氧化種中的氧接觸而不希望形成的初期氧化膜膜厚。此 …果可形成作為目的的本來可控制氧化膜膜厚§ $ %的高 品質極閘化膜。再者,如前所述,謀求氧化種的水分濃度 取佳化,降低氧化膜成長速度且以穩定的氧化條件進行成 膜的結果,可以均勻膜厚再現性良好地形成高品質的極薄 閘氧化膜。 其次’簡單說明形成上述閘氧化膜以後的cM〇s製程。 如前述圖14所示,形成閘氧化膜14完畢後,先導入淨化 氣體2分20秒到氧化膜形成室1〇7之室12〇,排出留在室 内的氧化種。接著,從基座123以55秒卸下半導體晶圓Μ, 從室120搬出。 /、人將半導體晶圓丨A搬到前述圖9所示的氧化氮膜形成 至、〇8藉由在_(氧化氮)或乂〇(一氧化二氮)氣氛中熱處 理半導體晶圓1A’使氮與閘氧化膜14和料體基板i的界面 分離。 間氧化紹4薄到5nm程度,起因於和半導體基…的敎膨 脹係數差而在兩者界面產生的變形就表面化,引起熱載子 的發生。由於與和半導體基板1的界面分離之氮會緩和此變 、述氧化氮處理可提高極薄閘氧化膜14的可靠 性。又’使用N2〇進行氧化氮處理時,因N2〇分解而產生之 乳的氧化也進行,所以開氧化臈14膜厚變以_程度。這 ,情況’藉由在氧化膜形成室1G7形成膜厚3疆的閘氧化膜 '進订乳化鼠處理,可將閘氧化獏厚設定成4麵。另一方 0時’幾乎沒有a氧化氮處理而閘氧化膜變厚的
O:\92\92305 DOC -41 - 1250583 情況。 其次’將氧化氮處理完畢的半導體晶圓1A在冷卻台1〇9 冷部到室溫之後’通過裝卸器110而搬出氧化膜形成裝置 1 〇〇外部’搬到沉積閘極用導電膜的cvd裝置(未圖示)。當 k ’將此CVD裝置連接於氧化膜形成裝置ι〇〇後段,藉由連 績一貫處理從形成閘氧化膜到沉積閘極用導電膜,可有效 防止閘氧化膜14的污染。 其次,如圖22所示,在閘氧化膜14上部形成閘長0.25 μηι 的閘極15。閘極15係在半導體基板1上以CVD法依次沉積膜 厚150 11111的11型多晶矽膜、膜厚15〇 nm的非摻雜多晶矽膜 後以將光阻劑作為罩幕的乾式蝕刻將這些膜形成圖案而 形成。 其次,如圖23所示,在P通道型MOSFE 丁形成區域從垂直 方向及斜方向離子植入p型雜質,例如B (硼),在閘極14兩 側之η型井1〇形成卜型半導區域16及?型半導體區域17。此 外,在η通道型M0SFET形成區域從垂直方向及斜方向離子 植入η型雜質,例如P (磷),在閘極14兩側之p型井11形成卜 型半導體區域18及η型半導體區域19。 其次,如圖24所示,非等向性蝕刻在半導體基板1上以 CVD法沉積的氧化矽膜而在閘極14側壁形成厚度ο." 程度的側壁間隙壁20。㈣,除去p型半導體區域i7上部的 間氧化膜14&n型半導體區域19上部的閘氧化膜^。接著, 在P通道型M〇SFET形成區域離子植入p型雜質,例如B (硼),在閘極14兩側之n型井1〇形成p +型半導體區域2丨。此 O:\92\92305 DOC -42- 1250583 外,在η通道型MOSFET形成區域離子植入n型雜質,例如p (磷),在閘極14兩側之p型井1丨形成n +型半導體區域22。 其次,如圖25所示,在p通道型M〇SFET之閘極14、p +型 半導體區域21(源極區域、汲極區域)、n通道型]^〇31;^ 丁之 閘極14、n +型半導體區域22 (源極區域、汲極區域)之各表 面形成TlS〗2 (矽化鈦)層23。丁以巧層“係熱處理在半導體基 板1上以濺鍍(sputtering)法沉積的鈦膜而使其和半導體基 板1及閘極14反應後,以蝕刻除去未反應的鈦膜而形成。藉 由以上製程,p通道型M0SFET (Qp)& n通道型misfet (Qn) 完成。 其後,如圖26所示,在氧化矽膜24形成連接孔乃到“, 該氧化碎膜24係在半導體基板丨上以電漿CVD法沉積,接著 藉由將在氧化矽膜24上以濺鍍法沉積的鋁合金膜形成圖案 而形成配線29到31,本實施形態之〇厘〇8製程大致完畢。 (半導體製程B) 兹用圖27到圖32說明本實施形態之m〇sfet製造方法 (LOCOS隔離製程)。本製程使用習知型之隔離取代淺渠溝 MOSFET和其他電晶體不共有源極或没極 區域包圍其周圍。 隔離(編⑽Treneh IsGlat_)。$種情況,關於細微化雖 然有限’但有可照樣引用以往的製程的優點。半導體製程1 之STI或SGI (淺漕隔離)、本實施例之L〇c〇w離只要 ,原則上都以隔離 •首先,如圖27所示,熱處理半導體基板】而在其主面形 膜厚1〇腿程度的薄氧化石夕膜2 (熱氧化製程B1)後,在此
OA92\92305.DOC -43- 1250583 化石夕膜2上以CVD法沉積膜厚100 nm程度的氮化石夕膜3。其 次’如圖28所示,在氮化矽膜3上形成將元件分離區域開孔 的光阻劑4,以此光阻劑4為罩幕而將氮化矽膜3形成圖案。 其次,除去光阻劑4後,如圖2 9所示,藉由熱處理半導體 基板1,在元件分離區域形成場氧化膜4〇 (熱氧化製程B2)。 其次,以使用熱磷酸的濕式蝕刻除去氮化矽膜3,使半導 體基板1表面以濕式洗滌清潔化後,在半導體基板丨之活性 區域表面以和前述實施形態丨同樣的方法形成膜厚5 nm以 下的極薄閘氧化膜14 (熱氧化製程B3)(圖32)。 膜厚5 nm以下的極薄閘氧化膜也可以在如圖%所示的整 批式直立氧化膜形成裝置150 (氧化裝置3;直立整批氧化爐 安裝如前述的觸媒方式水分生成裝置140而形成。圖31顯示 使用此直立氧化膜形成震置150的形成閑氧化膜順序一 例。這種情況的順序和圖丨5大鉍 口 )大致冋樣,但晶圓的裝及卸有 些時間上的不同。此外,苴他 ^ ^ /、他也有况明般地,這種情況 一瓜成為熱壁方式’所以實際上 ,^ ^产 个乳化私度的少量氧氣添 加於淨化氣體比較重要。 其後,以和前述實施形態丨 ^ L y J樣的方法在半導體基板1主 面上形成MOSFET。 (闕於氧化製程等之共同事項) 以下,說明與本案所揭示之各 處理裝置及處理製程詳情。 &程共同可適用的 如前所述,圖9為用於形成閉氧化臈 裝置(多室方式)的概略圖。 开早片式氧化膜形成 *化膜形成裝置1GG連接於洗
〇:\92\92305 D〇C -44- !25〇583 膝裝置101後段,該洗滌穿 以W.、 哀置101係在形成閘氧化膜之前, 疋乾式方式)除去半導體晶圓丨八表 Γ氧化膜(―般為表面膜)。藉由採用這種洗f氧化4 =糸統,可不使在絲裝置1G1内交付洗蘇處理的半導體 :接觸大氣(不希望的氧化性氣氛等及其他使表面狀 W化的氣氛-般)且在料間搬到氧化膜形成|置100, 2在Γ氧化膜之後到形成問氧化膜之間可盡量抑制在 +導體晶圓1A表面形成自然氧化臈。 乾燥處理結束的半導體晶訊通賴衝區⑽,立即搬到 氧化膜形成裝置100。 此氧化膜形成裝置100以多室方式構成,該多室方式例如 具備氧化膜形成室107、氧化氮膜形成室108、冷卻台1〇9、 裝卸器UO等,裝置中央的搬運系統112具備將半導體晶圓 1A搬入、搬出上述各處理室的機器手ιΐ3。搬運系統⑴内 部為了盡量抑制因大氣混人而在半導體晶圓ia表面形成自 然氧化膜’保持於氮等惰性氣體氣氛(也可以成為真空,但 以惰性氣體等成為正壓,則有防止來自外部及各處理室的 不希望氣體混合的效果)。此外,搬運系統丨丨2内部為了盡 量抑制水分附著於半導體晶圓1A表面,保持於ppb水準的超 低水分氣氛(一般良好配備的真空系統的除氣中所含的水 分為幾ppm以下)。搬入氧化膜形成裝置1〇〇的半導體晶圓 1A透過機器手113,先以丄片或2片單位(一般提起單片時, 指1片或2片單位,但指定1片單位或2片單位時,分別指單 片、2片)搬到氧化膜形成室1〇7。 O:\92\92305 DOC -45- 1250583 如前所述,圖11(a)為顯示氧化膜形成室1〇7 (圖9之單片 裝置)具體結構-例的概略平面圖,圖u(b)為沿著圖叫) 之B-B’線的截面圖(氧化裝置};熱壁式單片氧化壁)。 此氧化膜形成室1〇7具備以多重壁石英管構成^室12〇, 在其上部及下部設置加熱半導體晶圓丨八的加熱器、 121b (熱壁式的情況)。室12〇内部收容圓盤狀均熱環η〕, 該圓盤狀均熱環丨22係使由此加熱器121a、121b供應L熱均 勻分散到半導體晶圓1A全面,在其上部裝載水平保持半導 體晶圓1A (關於垂直重力,具有以下效果:藉由大致水平 配置晶圓表面,可排除混合氣體濃度分佈影響。此在3〇〇小 晶圓等大口徑化特別重用)的基座123。均熱環122以石英或 Sic (碳化矽)等耐熱材料構成,為由室12〇壁面延伸的支持 臂124所支持。均熱環122附近設置熱電偶125,該熱電偶125 係測量保持於基座! 23的半導體晶圓1 a溫度。半導體晶圓 的加熱除了加熱器121a、121b的加熱方式之外,也可以 採用例如圖12 (氧化裝置2 ;燈加熱式單片氧化爐)所示之類 的燈130加熱方式。這種情況,可將晶圓放在預定位置之後 開始燈加熱’ 一關燈,晶圓表面溫度會急速下降,所以在 熱壁情況等可減低到可幾乎無視插入及抽出時所形成的初 期氧化膜等。又,有燈添加水分時,不僅水分導入部,而 且氧化爐本身也預熱到攝氏140度程度,防止結露有效。 至120壁面一部分連接將水、氧及淨化氣體導入室120内 的氣體導入管丨26—端。此氣體導入管126他端連接於後述 的觸媒方式水分生成裝置。氣體導入管126附近設置具備多
O:\92\92305.DOC -46- 1250583 數貫通孔127的隔壁128,導入室12〇内的氣體通過此隔壁 1 8之貝通孔127而均勻遍及室12〇内。室12〇壁面另外一部 分連接排出導入室12〇内的上述氣體的排氣管129一端。 如刚所述,圖13及圖14為顯示連接於上述室12〇之觸媒方 式水分生成裝置的概略圖。此水分生成裝置14〇具備以耐熱 耐蝕丨生口孟(例如以商品名「哈斯特洛伊」而聞 名的鎳合金等)構成的反應器Μ卜在其内部收容由(鉑)、 沁(鎳)或Pd (鈀)等觸媒金屬構成的線圈142和加熱此線圈 142的加熱器丨43。 由氫及氧構成的製程氣體和由氮或Ar (氬)等惰性氣體構 成的淨化氣體從儲氣槽144a、144b、144c通過配管145導入 上述反應器141。配管145中途設置調節氣體量的質流控制 146a、146b、146c和開關氣體流路的開關閥147a、147b、 147c,以這些精密控制導入反應器141内的氣體量及成分 比。 導入反應器141内的製程氣體(氫及氧)接觸加熱到35〇到 450 C程度(例如在常壓下,在充分的氧存在下有4%以上的 氫濃度會發生氫爆炸性的燃燒,所以考慮量產裝置的安 全’透為最好將富氧的氫氧混合氣體導入反應器,以免氫 殘留)的線圈142而被激發,從氫分子生成氫基(H2— 2 , 從氧分子生成氧基(〇2— 2 〇*)。這些2種基化學上極為活 性,所以迅速反應而生成水(2 H*+〇*-> H2〇)。此水在連接 部148内和氧混合而被稀釋成低濃度,通過前述氣體導入管 126而導入氧化膜形成室107之室丨20。這種情況,也可以用 O:\92\92305.DOC -47- 1250583 氬稀釋’以取代氧。即’就供應給氧化爐的氣氛而言,為 水分1%、氬99%。 如上述的觸媒方式水分生成裝置14〇可高精度控制參與 水生成之氫和氧量,所以可從ppt&下的超低濃度到幾十% 程度的高濃度廣大範圍且高精度地控制和氧共同導入氧化 膜开/成至1 〇7之室120的水濃度。此外,由於將製程氣體導 入反應器141就瞬間生成水,所以可以即時(real_time)得到 所希望的水分濃度。因此,可將氫和氧同時導入反應器丨41 内’(一般情況為了安全會提前一些導入氧)’無需如同採用 燃燒方式的習知水分生成系統一樣,在導入氫之前導入 氧。又,反應器⑷内的觸媒金屬若為可使氫或氧基團化 的,則也可以使用前述金屬以外的材料。此外,觸媒金屬 除了加工成線圈狀使用之外,也可以例如加工成中空管或 細的纖維過濾器等而在其内部通過製程氣體。 在圖Η中,水分產生爐14〇、氯感測器、㈣器、稀釋部、 淨化氣體或稀釋氣體供應部及氧化爐連接料了防止社 露,被調溫或加熱到成為攝氏14〇度程度。此處,氩感測; 係檢測未被合成而殘留之氫的感測器。此外,㈣器係萬 -在氧化爐側發生氫燃燒等時1 了不將此燃燒傳到合成 爐側,料-種隔板起作用般地所插人的慮氣器。 淨化氣體、稀釋氣體、水分都預熱到不結露程度的溫度卜 ^攝氏⑽度以上度以下程度)而供應給氧化爐,但在(稀 釋既體也先預熱後和所合成的水分混合)如圖咖燈加敎 爐,爐體本身或被處理晶圓本身的預熱也要考廣。這種情
O:\92\92305 DOC -48 - 1250583 、、^ ‘也可以利用淨化氣體預熱氧化爐内的晶圓。燈加熱爐 =障况’特別是對防止晶圓導人部結露的預熱機構也要加 、 心任情況都先加熱或調溫到攝氏1 4 〇度程度,比車六 有效。 —般將預定氣氛氣體以一定流量供應給氧化處理部,一 面經常以新的氣氛氣體補充所消耗的成分,一面以穩定狀 態進行氧化製程。 。疋 、=一面芩照圖15,一面更進一步說明使用上述氧化膜形 成裝置100 (圖9)的形成閘氧化膜順序一例。 首先,開放氧化膜形成室107 (圖9)之室12〇 (圖U),一面 將淨化氣體(氮)導入其内部(也可以如圖15所示,為防止晶 圓熱蝕刻等表面龜裂而將少許氧等加入淨化氣體),一面將 半導體晶JB1A裝在基座123上。將半導體晶圓丨八搬入室丨2〇 之後到裝在基座123上的時間為55秒。其後,封閉室12(), 接著導入淨化氣體30秒,充分進行室12〇内的氣體交換。基 座123先以加熱器121a、121b加熱,以便迅速加熱半導體晶 圓1A。半導體晶圓ία的加熱溫度定為8〇〇到9〇〇它的範圍 内,例如850°C。晶圓溫度在8〇〇。(:以下,則閘氧化膜品質 降低。另-方面,在_。(:以上,則容易發生晶圓的表面龜 裂。 將氧和氫導入反應器141之際,不要比氧先導入氫。比氧 先導入氫,未反應的氫就流入高溫的室i 2〇内,很危險。另 一方面,比氫先導入氧,此氧就流入室12〇内,在等待中的 半導體晶圓1A表面形成低品質的氧化膜(初期氧化膜)。因 O:\92\92305.DOC -49- 1250583 t’氣和氧同時導人或考慮作業安全性而以比氧稱晚的定 % (〇到5秒以内)導入。如此一來,就可將不希望形成於半導 體晶圓1A表面的初期氧化膜膜厚抑制在最小限度。 膜厚5賊以下(同樣地當然對於這以上厚度之間及其他 乳化膜也一定程度有效)的極薄閘#1化膜也可以在單片式 ^整批式^化膜形成f置(氧化爐UiJ3)安裝如_ (氧化 裝置4,氫氣燃燒法式或氫燃燒法式氧化爐)所示的燃燒方 式水分生成裝置160而形成。 #這種情況’以水分生成裝置16G使含有比較高濃度之水的 氧化種產生後,藉由將氧加入此氧化種而得到低水分濃度 之氧化種。此時,要先將閥(Vvent)設定在開,將閥(vpr〇cess) 設定在關,到水分濃度降低到所希望的濃度為止不將氧化 種送到氧化膜形成裝置 而且’水分濃度充分降低之後, 將閥(VVent)切換到關,將闊(Vpr〇cess)切換到開,將氧化種 送到氧化膜形成裝置。 在氧化膜形成裝置正前面有閥等起塵源或因設置閥而產 生死工間4,上述方式比剷述觸媒方式也有不利之點,但 可實現氧化種的低水分濃度化及抑制初期氧化膜。 (半導體製程c) 本發明之氧化膜形成方法在以下的情況也可以適用··如 圖34所不之以5 nm以下的薄膜厚形成具有浮置閥44和控制 閘42的快閃記憶體之隧道氧化膜43 (熱氧化製程C1)或第二 閘氧化膜44 (熱氧化製程C2)。 (半導體製程D) O:\92\92305.DOC -50- 1250583 此外,本發明之氧化膜形成方法在以下的情況也可以適 用·例如將記憶LSI和邏輯LSI混裝於同一半導體晶片上的 LSI,在同一半導體晶片上形成膜厚不同的2種以上閘氧化 膜#這種情況,當然可將膜厚5 nm以下的薄閘氧化膜(熱氧 化製程D1)和5 nma上的比較厚閘氧化膜(熱氧化製程η】) 都用本發明之方法形成,但也可以用本發明方法形成膜厚 薄的閘氧化膜’用習知方法形成厚的閘氧化臈。 ' + (本案之各種氧化法之適用性) 關,以上所示之本案所示之觸媒水分生成熱氧化法、低 ί分氧化法(包含一部分氫燃燒法式)及習知氫燃燒法式之 兩水分氧化之適用性,歸納如下。 即’就適用觸媒水分生成熱氧化法、低水分氧化法而最 有效果的製程而言,可舉氧化製程幻、Β3 等(第一類)。 1 躍然也可以適用習知氫燃燒法式之高水分氧化’作就 用觸媒水分生成熱氧化法、低水分氧化法而有效果的製 而言,可舉氧化製程A1、A2、B1、B2、D2_D。 特別是在氫燃燒法式之4 一 "玩之虱化爐和觸媒方式之氧化爐混. 起的生產線方面,負化胺阳 丄“ A化臈因性質、厚度等而混用兩方; 也有實用價值。 (本案之各種氧化裝置之適用性) 關於以上所示,士安& — 缺士 本案所不之各種氧化裝置之適用性,歸 、’· ^下0本案所示之氧介_曾丄 ,^ 乳化4置1到4基本上哪個都可適用於 上述第一類及第二類的氧 乳化裟輊然而,因多室等而要做
O:\92\92305 DOC -51 - 1250583 精密氣氛控制時,最好利用氧化裝置⑷。 此外_於各氧化處理裝置氧化㈣ 常壓(600托到900托)下 4又在 )進仃,但也可以在減壓下進行。這福 情況,除了容易低訊宁长 種 可"生… 速度之外,也有可減低氫爆炸 了月b f生專的附加效果。 此外’也可以進行高壓氧化。這種情 以比較低的溫度實現高的氧化速度。 了 (關於揭示之注意點) 二上’將由本發明者完成的發明根據其實施形態加以具· 體3兄明,但>《日日τ 一么月亚不限於前述實施形態,當然可在 離其要旨的範圍做各種變更。 +脱 6 ·產業上利用可能性 >技間早%明在本案所揭示之發明中由具代表性者得到的 根據树明,由於可以均勾膜厚再現性良好地形成膜厚5 nm以下且高品質的極薄閘氧化膜’所以可使具有閘長θα μιη或此以下的細微刪而之半導體積體電路 性、製造良率提高。 罪 【圖式簡單說明】 圖1為顯示根據本發明實施形態丨之半導體積體電路裝置 之製造方法的要部截面圖。 圖2為顯示根據本發明實施形態丨之半導體積體電路裝置 之製造方法的要部載面圖。 圖3為顯示根據本發明實施形態丨之半導體積體電路裝置
Ο \92\92305 DOC -52- 1250583 之製造方法的要部載面圖。 圖4為顯示根據本發明實施形態!之半導體積體電路裝置 之製造方法的要部載面圖。 、 圖5為顯示根據本發明實施形態r半導體積體電 之製造方法的要部載面圖。 又 圖6為顯示根據本發明實施形⑴之半導體積體電路裝置 之製造方法的要部載面圖。 圖7為顯示根據本發明實施形態!之半導體積體電路裝置 之製造方法的要部載面圖。 圖8為顯示根據本發明實施形態1之半導體積體電路裝置 之製造方法的要部載面圖。 圖9為用於形成閉氧化膜之單片式氧化膜形成裝置的概 略圖。 圖10為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部載面圖。 圖u(a)為顯示氧化膜形成室結構一例的概略平面圖,(b) 為沿著(a)之B-B,線的截面圖。 圖12(a)為顯示氧化膜形成室結構他例的概略平面圖,(b) 為沿著(a)之B-B,線的截面圖。 圖13為顯示連接於氧化膜形成室之室之觸媒方式水分生 成裝置的概略圖。 圖14為擴大顯示圖13之一部分的概略圖。 圖15為顯示形成閘氧化膜順序一例的說明圖。 圖16為顯示根據本發明實施形態1之半導體積體電路裝
O:\92\92305 DOC -53- 1250583 置之製造方法的要部截面圖。 圖17為顯示水分濃度對於氧化膜成長速度之相關性的圖 表。 圖18為顯示水分濃度對於M〇s二極體之氧化膜初嫌 之相關性的圖表。 ^ 為頌不使恆定電流流到M〇S二極體之電極間時水分 濃度對於電壓變化量之相關性的圖表。 圖為纟、、員示閘氧化膜之晶圓面内之膜厚分佈的說明圖。 圖21為顯示閘氧化膜成分明細的圖表。 圖22為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖23為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 0 24為,、、、員示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖25為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖26為顯示根據本發明實施形態1之半導體積體電路裝 置之製造方法的要部截面圖。 圖27為顯示根據本發明實施形態2之半導體積體電路裝 置之製造方法的要部截面圖。 圖28為顯示根據本發明實施形態2之半導體積體電路裝 置之製造方法的要部載面圖。 圖29為顯示根據本發明實施形態2之半導體積體電路裝
O:\92\92305 DOC -54- 1250583 置之製造方法的要部截面圖。 圖30為顯示氧化膜形成室結構他例的截面圖。 圖3 1為顯示形成閘氧化膜順序一例的說明圖。 圖32為顯示根據本發明實施形態2之半導體積體電路裝 置之製造方法的要部截面圖。 1 圖33為顯示根據 +七Λ (虱化膘形成方法他例的概略 團。 圖34為顯示根據本 法他例的要部截面圖。+導體積體講裝置之製造方
O:\92\92305.DOC -55-

Claims (1)

  1. 替換頁 月f日 1250^(33110289號專利申請案 中文申睛專利範圍替換本(94年1月) 拾、申請專利範園: 1. 一種半導體積體電路裝置之製造方法,其係包含以下之 步驟: 在設置於第一熱氧化處理室(chamber)外之第一水分 口成邛中,於第一溫度下使用觸媒,由氧氣與氫氣合 成第一水分; (b)將合成之上述第一水分保持於氣體狀態下,移送至上 述第一熱氧化處理室内; ⑷於經移送上述第一水分之上述第一熱氧化處理室 内,在第一溼式氧化氣體氣氛下,在高於上述第一溫 度之第二溫度下加熱晶圓之第一主面,藉以對上述第 -主面上或其上方所設置之第1部件施一敎 氧化處理; …、 (d)於上述步驟(a)之上述步驟(C)之後,不使用田 Μ文用用以生成 水/刀之觸媒,而由氧氣與氫氣生成第二水分· 0)於第二熱氧化處理室内,在含上 牙一水分之第二、、登 式氧化氣體氣氛下,在高於上述第— 了 下加熱上述晶圓之上述第-主面,藉以對上 =或其上方所設置之㈣部件施行第二熱氧化 2.如申請專利範圍第i項之半導體積體電路 法,其中上述第-濕式氧化氣體氣氛 之製造方 氧氣。 〃組成中含有 之製造 3.如申料利範圍第!項之半導體積體電路裝置 O:\92\92305-940128.DOC 1250583 去,其中上述第二水分係由氧與氫燃燒而生成者。 •如申明專利範圍第2項之半導體積體電路裝置之製造方 法,其中上述第一或第二濕式氧化氣體氣氛係包含4〇% 以下之水蒸汽,其餘為氧氣。 如申巧專利範圍第丨項之半導體積體電路裝置之製造方 去,其中藉由上述第一熱氧化處理,以形成絕緣閘極型 場效電晶體之閉極絕緣膜。 6·如申請專利範圍第5項之半導體積體電路裝置之製造方 法’其中上述絕緣閘極型場效電晶體之上述閘極絕緣膜 的膜厚為5nm以下,閘極長度為〇·25μιη以下。 、 7·如申請專利範圍第丨項之半導體積體電路裝置之製造方 法,其中上述第一溫度為“❹它以下,上述第二及 溫度為800°C以上。
    如申請專利範圍第i項之半導體積體電路裝置之*^^方 法:其中將上述第-濕式氧化氣體氣氛供、给至上 熱氧化處理室内’並一面進行上述第—熱氧化 如申請專利範圍第2項之半導體積體電路裝置 法’其中將上述第一濕式氧化氣體氣氛供給至上述:方 熱乳化處理室内’並一面進行上述第—熱氧化處理。 O:\92\92305-940128.DOC -2-
TW093110289A 1997-03-05 1998-02-27 Manufacturing method for semiconductor integrated circuit device TWI250583B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP5078197 1997-03-05
PCT/JP1998/000892 WO1998039802A1 (fr) 1997-03-05 1998-03-04 Procede de production de circuit integre

Publications (2)

Publication Number Publication Date
TW200415729A TW200415729A (en) 2004-08-16
TWI250583B true TWI250583B (en) 2006-03-01

Family

ID=12868380

Family Applications (11)

Application Number Title Priority Date Filing Date
TW090114047A TW577129B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102374A TWI227530B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW090114046A TW577128B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102375A TWI227531B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW094103535A TWI278932B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW093110289A TWI250583B (en) 1997-03-05 1998-02-27 Manufacturing method for semiconductor integrated circuit device
TW089119829A TW471068B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device with insulation film
TW087102898A TW462093B (en) 1997-03-05 1998-02-27 Method for manufacturing semiconductor integrated circuit device having a thin insulative film
TW096101926A TW200746302A (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW095107658A TWI278933B (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW091102373A TWI233164B (en) 1997-03-05 1998-02-27 Method of making semiconductor integrated circuit device

Family Applications Before (5)

Application Number Title Priority Date Filing Date
TW090114047A TW577129B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102374A TWI227530B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW090114046A TW577128B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device
TW091102375A TWI227531B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device
TW094103535A TWI278932B (en) 1997-03-05 1998-02-27 Manufacturing method of semiconductor integrated circuit device

Family Applications After (5)

Application Number Title Priority Date Filing Date
TW089119829A TW471068B (en) 1997-03-05 1998-02-27 Method for fabricating semiconductor integrated circuit device with insulation film
TW087102898A TW462093B (en) 1997-03-05 1998-02-27 Method for manufacturing semiconductor integrated circuit device having a thin insulative film
TW096101926A TW200746302A (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW095107658A TWI278933B (en) 1997-03-05 1998-02-27 Method of making semiconductor IC device
TW091102373A TWI233164B (en) 1997-03-05 1998-02-27 Method of making semiconductor integrated circuit device

Country Status (6)

Country Link
US (14) US6239041B1 (zh)
EP (1) EP0973191A4 (zh)
KR (5) KR100544260B1 (zh)
CN (8) CN1508861A (zh)
TW (11) TW577129B (zh)
WO (1) WO1998039802A1 (zh)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577129B (en) * 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
JPH10335652A (ja) * 1997-05-30 1998-12-18 Hitachi Ltd 半導体集積回路装置の製造方法
JPH10340909A (ja) 1997-06-06 1998-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
US7923383B2 (en) * 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate
JP2001305368A (ja) * 2000-04-21 2001-10-31 Shin Etsu Chem Co Ltd 光導波路基板の製造方法
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US6554002B2 (en) * 2001-02-21 2003-04-29 United Microelectronics Corp. Method for removing etching residues
US7053459B2 (en) 2001-03-12 2006-05-30 Renesas Technology Corp. Semiconductor integrated circuit device and process for producing the same
US7049187B2 (en) 2001-03-12 2006-05-23 Renesas Technology Corp. Manufacturing method of polymetal gate electrode
US20020197823A1 (en) * 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP2003017595A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体装置
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US6764907B2 (en) * 2002-02-19 2004-07-20 Bart J. Van Zeghbroeck Method of fabricating self-aligned silicon carbide semiconductor devices
US7151048B1 (en) 2002-03-14 2006-12-19 Cypress Semiconductor Corporation Poly/silicide stack and method of forming the same
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6887736B2 (en) * 2002-06-24 2005-05-03 Cermet, Inc. Method of forming a p-type group II-VI semiconductor crystal layer on a substrate
JP3699956B2 (ja) * 2002-11-29 2005-09-28 株式会社東芝 半導体装置の製造方法
US7189652B1 (en) * 2002-12-06 2007-03-13 Cypress Semiconductor Corporation Selective oxidation of gate stack
US6844082B2 (en) * 2003-04-28 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate with anodized alumnium coating
JP3965167B2 (ja) 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
US20050106895A1 (en) * 2003-11-17 2005-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Supercritical water application for oxide formation
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber
US20070111372A1 (en) * 2004-07-20 2007-05-17 Cermet, Inc. Methods of forming a p-type group ii-vi semiconductor crystal layer on a substrate
US7303092B2 (en) * 2004-12-28 2007-12-04 Kimberly-Clark Worldwide, Inc. Wet wipe package
US20060266793A1 (en) * 2005-05-24 2006-11-30 Caterpillar Inc. Purging system having workpiece movement device
KR100648194B1 (ko) * 2005-07-27 2006-11-23 삼성전자주식회사 반도체 장치의 제조 방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7723154B1 (en) 2005-10-19 2010-05-25 North Carolina State University Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
US20070256599A1 (en) * 2005-12-16 2007-11-08 Jack Rigsby Inorganic Composite Material And Manufacturing Process
US20070261329A1 (en) * 2005-12-16 2007-11-15 Jack Rigsby Inorganic Composite Building Panel
EP1801843B1 (de) * 2005-12-22 2013-07-03 Applied Materials GmbH & Co. KG Anlage und Verfahren zur Behandlung von Substraten
DE102005061563A1 (de) * 2005-12-22 2007-07-19 Applied Materials Gmbh & Co. Kg Anlage zur Behandlung von Substraten und Verfahren
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP4620654B2 (ja) * 2006-12-25 2011-01-26 株式会社日立製作所 半導体集積回路装置の製造方法
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8614124B2 (en) 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US20080299780A1 (en) * 2007-06-01 2008-12-04 Uv Tech Systems, Inc. Method and apparatus for laser oxidation and reduction
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2010087475A (ja) * 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び製造装置
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
KR101258630B1 (ko) * 2008-11-21 2013-04-26 고쿠리츠다이가쿠호진 나가오카기쥬츠가가쿠다이가쿠 기판 처리 방법 및 기판 처리 장치
WO2010058812A1 (ja) * 2008-11-21 2010-05-27 国立大学法人長岡技術科学大学 基板処理装置
DE102009003393A1 (de) * 2009-01-27 2010-07-29 Schott Solar Ag Verfahren zur Temperaturbehandlung von Halbleiterbauelementen
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
JP5329294B2 (ja) * 2009-04-30 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN102612736A (zh) * 2009-10-06 2012-07-25 瑞萨电子株式会社 半导体器件及其制造方法
TWI497854B (zh) * 2009-10-08 2015-08-21 Truelight Corp 氧化侷限式面射型雷射製作方法
KR101511076B1 (ko) * 2009-12-08 2015-04-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
KR101835300B1 (ko) 2009-12-08 2018-03-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US8274081B2 (en) * 2010-03-22 2012-09-25 Micron Technology, Inc. Semiconductor constructions
US7829376B1 (en) 2010-04-07 2010-11-09 Lumenz, Inc. Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
WO2012126377A1 (en) 2011-03-22 2012-09-27 Nantong Fujitsu Microelectronics Co., Ltd. System-level packaging methods and structures
US8927363B2 (en) * 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
EA032058B1 (ru) * 2014-12-17 2019-04-30 Открытое акционерное общество "ИНТЕГРАЛ"-управляющая компания холдинга "ИНТЕГРАЛ" Способ термического окисления кремниевых пластин
JP6947914B2 (ja) * 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN108031836B (zh) * 2018-01-22 2019-12-03 北京大学 一种金属-金属氧化物纳米复合材料的制备方法
KR102160552B1 (ko) * 2018-02-28 2020-09-28 최영준 절연막 형성 방법 및 절연막 제조장치
CN108447770B (zh) * 2018-03-08 2020-07-28 清华大学 二氧化硅薄膜的制备方法
JP7278111B2 (ja) 2019-03-08 2023-05-19 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN111785612B (zh) * 2020-08-21 2022-05-17 中电晶华(天津)半导体材料有限公司 一种vdmos功率器件用二氧化硅层的制备方法
US11972942B2 (en) * 2021-09-23 2024-04-30 Texas Instruments Incorporated Gate oxide fabrication and system

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853A (en) * 1849-11-06 Improvement in seed-planters
US9813A (en) * 1853-06-28 Refrigerator for cooling liquids
US24870A (en) * 1859-07-26 Improvement in sewing-machines
US4315A (en) * 1845-12-16 Cylindrical type-setting
US42344A (en) * 1864-04-19 Oeein h
US19419A (en) * 1858-02-23 Cttlinaby ladle
US10975A (en) * 1854-05-30 Improvement in sewing-machines
US3857927A (en) * 1972-05-26 1974-12-31 Rockwell International Corp System and method including a catalyst bed for combining hydrogen and oxygen gases
US4139658A (en) * 1976-06-23 1979-02-13 Rca Corp. Process for manufacturing a radiation hardened oxide
US4119706A (en) * 1976-10-12 1978-10-10 Engelhard Minerals & Chemicals Corporation Method of catalytically recombining radiolytic hydrogen and radiolytic oxygen
US4199706A (en) * 1977-09-02 1980-04-22 Zenith Radio Corporation Spring-loaded resistor terminal
US4139858A (en) 1977-12-12 1979-02-13 Rca Corporation Solar cell with a gallium nitride electrode
JPS553820A (en) 1978-06-22 1980-01-11 Agency Of Ind Science & Technol Oxide catalyst for catalytic combustion of hydrogen
JPS5541805A (en) 1978-09-18 1980-03-24 Tanaka Kikinzoku Kogyo Kk Platinum group oxidation catalyst and preparation thereof
JPS56126650A (en) * 1980-03-07 1981-10-03 Fuji Heavy Ind Ltd Air-fuel ratio controlling apparatus
JPS6234166Y2 (zh) * 1980-07-28 1987-09-01
JPS5749895A (en) 1980-09-10 1982-03-24 Hitachi Ltd Catalyst structure of recombiner
CA1141522A (en) * 1980-11-03 1983-02-22 Karl T. Chuang Method of combining gaseous hydrogen and oxygen
JPS5819599A (ja) 1981-07-28 1983-02-04 株式会社東芝 放射性気体廃棄物処理系の再結合器用加熱装置
US4376796A (en) * 1981-10-27 1983-03-15 Thermco Products Corporation Processing silicon wafers employing processing gas atmospheres of similar molecular weight
JPS59132136A (ja) 1983-01-19 1984-07-30 Hitachi Ltd 半導体装置の製造方法
JPS60107840A (ja) 1983-11-16 1985-06-13 Hitachi Ltd 半導体素子の製造法
JPS60247933A (ja) 1984-05-23 1985-12-07 Oki Electric Ind Co Ltd 半導体製造装置
JPS6124967A (ja) * 1984-07-13 1986-02-03 大同酸素株式会社 高純度窒素ガス製造装置
US4579723A (en) * 1985-03-28 1986-04-01 The Boc Group, Inc. Methods for purifying inert gas streams
JPS62198128A (ja) 1986-02-26 1987-09-01 Toshiba Corp シリコン酸化膜形成方法及び装置
JPS6385630A (ja) 1986-09-30 1988-04-16 Fuji Photo Film Co Ltd ハロゲン化銀カラ−写真感光材料
CH674003A5 (zh) * 1987-03-11 1990-04-30 Bbc Brown Boveri & Cie
JPH01319940A (ja) 1988-06-22 1989-12-26 Kimmon Mfg Co Ltd 外部燃焼酸化装置
WO1990013911A1 (en) * 1989-05-07 1990-11-15 Tadahiro Ohmi Method of forming oxide film
US5296392A (en) * 1990-03-06 1994-03-22 Digital Equipment Corporation Method of forming trench isolated regions with sidewall doping
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
JPH0519746A (ja) 1991-07-12 1993-01-29 Matsushita Electric Ind Co Ltd 画像位置決定装置
US6146135A (en) * 1991-08-19 2000-11-14 Tadahiro Ohmi Oxide film forming method
US5495121A (en) * 1991-09-30 1996-02-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JPH05114740A (ja) 1991-10-23 1993-05-07 Kawasaki Steel Corp 半導体装置の製造方法
EP0614216A4 (en) 1991-11-22 1994-11-30 Tadahiro Ohmi APPARATUS FOR FORMING AN OXIDE FILM, HOT PROCESSING APPARATUS, SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF.
JP3535876B2 (ja) 1991-11-22 2004-06-07 財団法人国際科学振興財団 半導体装置及びその製造方法
JPH05141871A (ja) 1991-11-22 1993-06-08 Tadahiro Omi 熱処理装置
JP3129338B2 (ja) * 1991-11-29 2001-01-29 忠弘 大見 酸化膜形成装置
JPH05144804A (ja) 1991-11-22 1993-06-11 Tadahiro Omi 半導体装置の製造方法
US5244843A (en) * 1991-12-17 1993-09-14 Intel Corporation Process for forming a thin oxide layer
JP3331636B2 (ja) * 1992-10-05 2002-10-07 忠弘 大見 水分発生方法
JP3207943B2 (ja) * 1992-11-17 2001-09-10 忠弘 大見 低温酸化膜形成装置および低温酸化膜形成方法
JPH06163423A (ja) * 1992-11-18 1994-06-10 Fujitsu Ltd 半導体製造装置
JP3310386B2 (ja) * 1993-05-25 2002-08-05 忠弘 大見 絶縁酸化膜の形成方法及び半導体装置
JPH0710935A (ja) 1993-06-25 1995-01-13 Kanegafuchi Chem Ind Co Ltd グラフト共重合体及び該グラフト共重合体を含有してなるポリオレフィン系樹脂組成物
JP3081886B2 (ja) * 1993-06-30 2000-08-28 東京エレクトロン株式会社 成膜方法
JPH0811976B2 (ja) 1993-06-30 1996-02-07 光精工株式会社 円錐摩擦車式変速比連続可変変速機
JPH0710935U (ja) * 1993-07-24 1995-02-14 ヤマハ株式会社 縦型熱処理炉
JPH0786271A (ja) 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
JP3277421B2 (ja) * 1993-10-19 2002-04-22 ソニー株式会社 加熱処理装置および熱処理方法
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JPH07155069A (ja) 1993-12-09 1995-06-20 Kubota Corp 杭打ち装置
JP3256059B2 (ja) 1993-12-27 2002-02-12 株式会社日立製作所 半導体装置の製造方法
JPH07273101A (ja) 1994-03-31 1995-10-20 Tokyo Electron Ltd 枚葉式熱処理装置
JPH07283210A (ja) 1994-04-01 1995-10-27 Sony Corp 絶縁膜形成装置及び絶縁膜形成方法
JPH07297201A (ja) 1994-04-20 1995-11-10 Sony Corp 半導体基板の熱処理方法及び熱処理装置
JPH07297181A (ja) 1994-04-20 1995-11-10 Sony Corp 熱酸化処理方法及び熱酸化処理装置
JPH07321102A (ja) 1994-05-26 1995-12-08 Sony Corp 半導体装置の製造方法
US5880041A (en) * 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JPH0851205A (ja) 1994-08-08 1996-02-20 Ricoh Co Ltd 半導体装置の製造方法
JP3453223B2 (ja) 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JP3805825B2 (ja) * 1995-09-19 2006-08-09 株式会社東芝 絶縁膜の形成方法
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH0990092A (ja) 1995-09-20 1997-04-04 Hitachi Ltd 原子炉格納容器
JP2636817B2 (ja) 1995-10-27 1997-07-30 株式会社日立製作所 枚葉式薄膜形成法および薄膜形成装置
JP3423131B2 (ja) 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
US5629536A (en) * 1995-11-21 1997-05-13 Motorola, Inc. High voltage current limiter and method for making
JPH09153489A (ja) 1995-11-30 1997-06-10 Toshiba Corp 半導体装置の製造方法
JP2910647B2 (ja) * 1995-12-18 1999-06-23 日本電気株式会社 不揮発性半導体記憶装置の製造方法
JPH09172011A (ja) 1995-12-19 1997-06-30 Hitachi Ltd 酸化膜形成方法
JP3110465B2 (ja) * 1996-01-29 2000-11-20 株式会社 フジキン 水分発生用反応炉と水分発生用反応炉の温度制御方法及び白金コーティング触媒層の形成方法
US5686345A (en) * 1996-01-30 1997-11-11 International Business Machines Corporation Trench mask for forming deep trenches in a semiconductor substrate, and method of using same
JPH11186255A (ja) 1996-11-29 1999-07-09 Sony Corp シリコン酸化膜の形成方法
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
TW577129B (en) * 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
JP3393031B2 (ja) 1997-03-26 2003-04-07 忠弘 大見 水分発生用反応炉
JP3644790B2 (ja) * 1997-04-28 2005-05-11 忠弘 大見 水分発生用反応炉
JPH10284484A (ja) 1997-04-04 1998-10-23 Sony Corp シリコン酸化膜の形成方法
US5851892A (en) * 1997-05-07 1998-12-22 Cypress Semiconductor Corp. Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage
JPH10335652A (ja) 1997-05-30 1998-12-18 Hitachi Ltd 半導体集積回路装置の製造方法
JPH10340909A (ja) 1997-06-06 1998-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
JP3808975B2 (ja) * 1997-06-17 2006-08-16 忠弘 大見 半導体製造用水分の発生方法
US5861347A (en) * 1997-07-03 1999-01-19 Motorola Inc. Method for forming a high voltage gate dielectric for use in integrated circuit
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
JP3757566B2 (ja) 1997-08-21 2006-03-22 ソニー株式会社 シリコン酸化膜の形成方法及び酸化膜成膜装置
JPH1174264A (ja) 1997-08-29 1999-03-16 Sony Corp シリコン酸化膜の形成方法
US5935650A (en) * 1997-10-17 1999-08-10 Lerch; Wilfried Method of oxidation of semiconductor wafers in a rapid thermal processing (RTP) system
JPH11135492A (ja) 1997-11-04 1999-05-21 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
US6118167A (en) * 1997-11-13 2000-09-12 National Semiconductor Corporation Polysilicon coated nitride-lined shallow trench
JPH11162970A (ja) 1997-11-25 1999-06-18 Sony Corp 酸化膜の形成方法
JP3588994B2 (ja) 1997-11-27 2004-11-17 ソニー株式会社 酸化膜の形成方法及びp形半導体素子の製造方法
JP3644810B2 (ja) * 1997-12-10 2005-05-11 株式会社フジキン 少流量の水分供給方法
JPH11186248A (ja) 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
JP3563950B2 (ja) * 1998-01-06 2004-09-08 株式会社ルネサステクノロジ 水素含有排ガス処理装置
JPH11204517A (ja) 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
JPH11233508A (ja) 1998-02-13 1999-08-27 Sony Corp 絶縁膜の形成方法
US6291868B1 (en) 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6277765B1 (en) * 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
SE516755C2 (sv) * 1999-12-16 2002-02-26 Inmotion Technologies Ab Drivenhet för elektriska motorer innefattande ett kretskort med kraftdistributionsstavar.
US6579889B2 (en) * 2000-06-22 2003-06-17 Merck & Co., Inc. Substituted isonipecotyl derivatives as inhibitors of cell adhesion

Also Published As

Publication number Publication date
KR100544259B1 (ko) 2006-01-23
KR20000075974A (ko) 2000-12-26
CN1115720C (zh) 2003-07-23
US7250376B2 (en) 2007-07-31
TW200525644A (en) 2005-08-01
CN1249850A (zh) 2000-04-05
TW200625454A (en) 2006-07-16
EP0973191A1 (en) 2000-01-19
TWI233164B (en) 2005-05-21
KR20050103253A (ko) 2005-10-27
US20040157468A1 (en) 2004-08-12
US7008880B2 (en) 2006-03-07
KR100544257B1 (ko) 2006-01-23
TW577129B (en) 2004-02-21
TWI278932B (en) 2007-04-11
US6855642B2 (en) 2005-02-15
EP0973191A4 (en) 2005-07-06
US20040161945A1 (en) 2004-08-19
US6569780B2 (en) 2003-05-27
TWI227530B (en) 2005-02-01
US6596650B2 (en) 2003-07-22
CN1521810A (zh) 2004-08-18
US20010009813A1 (en) 2001-07-26
WO1998039802A1 (fr) 1998-09-11
CN100364056C (zh) 2008-01-23
TWI227531B (en) 2005-02-01
CN1290163C (zh) 2006-12-13
US6518201B1 (en) 2003-02-11
US20010006853A1 (en) 2001-07-05
KR20050103254A (ko) 2005-10-27
US20030219995A1 (en) 2003-11-27
US6528431B2 (en) 2003-03-04
US20020004315A1 (en) 2002-01-10
CN1521815A (zh) 2004-08-18
CN1508854A (zh) 2004-06-30
US20010010975A1 (en) 2001-08-02
CN1495859A (zh) 2004-05-12
CN1317744C (zh) 2007-05-23
US20050208731A1 (en) 2005-09-22
TW200415729A (en) 2004-08-16
CN1508861A (zh) 2004-06-30
TWI347638B (zh) 2011-08-21
US6518202B2 (en) 2003-02-11
US20050227501A1 (en) 2005-10-13
TW577128B (en) 2004-02-21
TWI278933B (en) 2007-04-11
KR20050103255A (ko) 2005-10-27
KR100544260B1 (ko) 2006-01-23
CN1521812A (zh) 2004-08-18
KR20050103252A (ko) 2005-10-27
US20040157467A1 (en) 2004-08-12
CN100533705C (zh) 2009-08-26
US20080045027A1 (en) 2008-02-21
KR100544258B1 (ko) 2006-01-23
TW200746302A (en) 2007-12-16
CN1521825A (zh) 2004-08-18
TW471068B (en) 2002-01-01
US7053007B2 (en) 2006-05-30
US6962881B2 (en) 2005-11-08
KR100551650B1 (ko) 2006-02-13
US6417114B2 (en) 2002-07-09
US7799690B2 (en) 2010-09-21
CN1327489C (zh) 2007-07-18
US6962880B2 (en) 2005-11-08
TW462093B (en) 2001-11-01
US6239041B1 (en) 2001-05-29
US20020009898A1 (en) 2002-01-24

Similar Documents

Publication Publication Date Title
TWI250583B (en) Manufacturing method for semiconductor integrated circuit device
TW506131B (en) Manufacture of semiconductor integrated circuit device and semiconductor integrated circuit device made therefrom
JP4340830B2 (ja) 半導体装置のゲート絶縁膜形成方法
US6784038B2 (en) Process for producing semiconductor integrated circuit device and semiconductor integrated circuit device
KR20090107094A (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
KR20030080239A (ko) 반도체 집적 회로 장치의 제조 방법
WO2002073697A1 (fr) Dispositif a circuit integre a semiconducteur, et procede d'elaboration
US20030047734A1 (en) Bi-layer silicon film and method of fabrication
JP2007299899A (ja) 半導体装置およびその製造方法
JP4550039B2 (ja) 半導体集積回路装置の製造方法
JP2007129240A (ja) 半導体集積回路装置の製造方法
JP4085068B2 (ja) 半導体集積回路装置の製造方法
JP2004221606A (ja) 半導体集積回路装置の製造方法
JP2007096335A (ja) 半導体集積回路装置の製造方法
TW200414354A (en) Semiconductor processing method for selectively forming thin film in low pressure

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent