TW567544B - Method and apparatus for depositing tungsten after surface treatment to improve film characteristics - Google Patents

Method and apparatus for depositing tungsten after surface treatment to improve film characteristics Download PDF

Info

Publication number
TW567544B
TW567544B TW091115883A TW91115883A TW567544B TW 567544 B TW567544 B TW 567544B TW 091115883 A TW091115883 A TW 091115883A TW 91115883 A TW91115883 A TW 91115883A TW 567544 B TW567544 B TW 567544B
Authority
TW
Taiwan
Prior art keywords
layer
tungsten
patent application
scope
item
Prior art date
Application number
TW091115883A
Other languages
English (en)
Inventor
Jeong-Soo Byun
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW567544B publication Critical patent/TW567544B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

567544 A7 B7 五、發明説明() 發明領域: 本發明之實施例與半導體基材的處理有關。更詳而 言之’本發明之實施例係關於半導體基材上沉積耐熱金 屬層製程的改善。 發明背景: 半導體製造業所追求者不外於提升較大基材上沉積 層膜之均勻性的同時得到更高的生產良率,其提升因素 及新材料的提出同樣亦能使基材上單位面積上電路密度 增南。隨著電路密度的增加,在層膜厚度增加時均勻度 及製程控制的精準度亦隨之增加。因此,現已開發出多 種在基材上行較低成本沉積之技術,此等技術同時能維 持對層膜特性控制之能力。CVD為一種與通量相關之沉 積技術’其需對基材溫度及通入處理室之前驅物提供精 準控制,藉以生成均勻厚度層膜。隨著基材的增大(如自 直徑2 0 0毫米提升至直徑3 〇 〇毫米),這些控制要件變得 格外重要,故為維持均句度,處理室設計及氣流技術便 變得更加複雜。 原子層沉積(ALD)是一種C VD技術的變形,其能表 現出優異的步階覆蓋特性。ALD之沉積原理為原子層磊 晶(ALE)技術,其中ALE技術為原先電激光顯示器製造 所用者。ALD利用化學吸收作用而沉積一反應前驅物分 子飽和單層於一基材表面上,其達成方式為使一適用反 應前驅物以間歇形成脈衝量之方式送進一沉積室中,其 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、一占 經濟部智慧財產局員Η消費合作社印製 567544 A7 B7 五、發明說明() 中一反應前驅物的每一次注入為一不活潑氣體清洗氣流 所隔開,藉以在先前沉積完之層膜上供以被吸收原子 層。此動作重覆進行,直至於該基材上均勻層膜達至所 需厚度。不過,ALD技術仍有沉積速率遠低於一般CVD 技術之憾,其間至少相差一數量級。 高逮下沉積層膜、且該膜層需提供良好的步階覆蓋 結果乃是互相衝突的,一般作法通常為犧牲一者而保全 另一者。對於形成介電層間金屬層之内連接接觸洞形成 期間耐熱今屬材料沉積於孔洞之上的製程而言,這種衝 突格別明顯。長久以來,CVD技術用於導電材料(如耐熱 金屬材料)之沉積,藉以利用低成本及快速之方式完成孔 洞之填充。由於半導體電路密度的增加,鎢已因其優異 之步階覆蓋特性而被選用為填充此等高寬高比結構之材 料。因此,鎢之化學氣相沉積得因高產率及良好步階覆 蓋特性而廣泛應用於半導體製造界中。 然而,以傳統CVD法沉積鎢卻有數個缺點。舉例而 言’當沉積溫度低於400°C時,半導體晶圓鎢彼覆層的 沉積相當耗時。此種耗時沉積得因增高沉積溫度而得到 改善’如可增至約500°c至5 5 0°c。然而,於此種高溫下 沉積’製造中積體電路下層部份的結構及操作一致性可 能受損。另則,鎢沉積形成之表面粗糙,這使得製造時 微影步驟的進行變得較複雜,其中鎢所形成之表面的反 射率僅為矽表面者之20%(甚小於20%)。最後,鶴之沉 積均勻度不佳,其膜層厚度差約1 %,其膜層之阻抗控制 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) (請先閲讀背面之注意事項再填寫本頁) 、\π- 經濟部智慧財產局員工消費合竹相却架 567544 A 7 五、發明説明( 能力因此不佳。針對μ、+ … 十對上述缺點’先前已有多種應變措施 的提出。 舉例而言,張蓉人4也 〇 人所擁有之美國專和! 5,028,565即 揭路一種利用改變沉籍仆與 文,儿積化學條件而改善鎢膜均 法,該專利與本發明之爲嘈乂 ▲ 又 月之文讓人為同者。就相關方面言, 該方法包含塊體沉穑较瞭二#上 帛鶴膜刖於中間阻障層上形成一孕核 層之步驟,其中孕核層撂與也# e 層侍棱供成長位置、藉以提升其上 層鎮膜之均勻度,其形成係由丄 珉係由/、鼠化鎢、氧、矽烷及氬 組成之氣體混合物所達成。此 午孩層具與阻障層相 關之優點:舉例而言,芒LV备儿&仏上 ° 右以虱化鈦作為阻障層時,鎢層 的厚度均勻度得提升15%之多以 曰 , 夕1一右以濺鍍鎢或濺鍍鎢 化鈦為阻障材料時’孕核層所帶來的效益仍未有說明。 故而’得提升半導體基材上沉積之奈熱性金屬層特 性之技術確又其提出之必要。 發明目的及概沭: 一種於一基材上形成一耐熱金屬層之方法及系統,其 中包含加入還原劑、並於之後加入含鎢化合物以形成鎢層 之步驟,其中還原劑可為PI或B^6等,而鎢化合物得 為WF0等。本案發明人相信鎢膜特性之提升有助於還原劑 及含鎢化合物間之化學親合性,藉以改善經吸收之化學物 質的表面移動能力,並能提升WF0於鎢膜處於孕核階段時 之還原能力。 該方法可更包含續加入一還原劑及一含鎢化合物以 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) (請先閲讀背面之注意事項再填寫本頁) 、可. 經濟部智慧財產局員工消費合作社印製 567544 A 7
匕積鶴膜之步驟, q層原劑得為叫或b2h6。戶斤 或也可用以拮 丁鬼材鎢層沉積前的孕核 』用以填充孔洞。 層 經濟部智慧財產局員工消費合作社印製 第1圖為本發明一丰導體處 ^ 0 ^ , 牛V體处里糸統一實施例之前視圖。 圖為第1圖之處理室一實A #, 貫%例的剖面示意圖。 第3圖為為— 基材之剖面7"意、圖,其中顯示後續沉積時 基材上一還原劑之可能吸附機制。 Θ為為基材之剖面視意圖,其中顯示該還原劑加 後基材上方之含耐熱金屬化合物的可能能吸附 制。 第5圖為—處理室中存在氣體的濃度圖,其中該處理室 為第2圖所示之處理室。 第6圖顯示本發明+ ALD循環次數及利用後續沉積方 在一基材上形成之一層之厚度間的關係。 第7圖顯示本發明中後續沉積循環次數及利用後續沉積 式在一基材上形成之一層之阻抗間的關係。 第8圖顯示利用後續沉積方式在一基材上形成之一層之沉 積速率與基材溫度間的關係。 第9圖顯示本發明中利用後續沉積方式在一基材上形 一層之阻抗與基材溫度間的關係。 第10圖為本發明中一經圖案化之基材實施例的剖面 圖,其中該基材上以後續沉積技術形成一孕核層 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先間讀背面之法意-#項#瑱·:s本頁) 入 式 方 成之 示意 567544 A7 B7 五、發明説明() 第1 1圖為第1 0圖之一基材實施例的剖面示意圖,其中尚 有一耐熱金屬層以CVD方式形成於孕核層之上。 第1 2圖顯示本發明另一實施例中一處理室中存在之氣體 濃度,其中該處理室得為第2圖所示之處理室。 第1 3圖顯示本發明另一實施例中一處理室中存在之氣體 濃度,其中該處理室得為第2圖所示之處理室。 第1 4圖顯示氟含量與一基材上以ALD技術形成之一耐熱 金屬層深度間的關係圖,其中係以氬或氮為載氣。 第15圖為顯示氟含量與一基材上以ALD技術形成之一耐 熱金屬層深度間的關係圖,其中係以氫為載氣。 第16圖為本發明一實施例中第3圖及第4圖上之一基材 實施例的一剖面示意圖,在該基材上有一層PH3或 B2H6形成於該基材及一鎢層之間。 第1 7圖為本發明一實施例中一處理室存在之氣體的濃度 圖,其中該處理室可為第2圖所示之處理室。 第1 8圖為第3圖及第4圖之一基材實施例的剖面示意圖, 其中一含鈦層夾於一基材及一 PH3或B2H6層之 間,此為本發明所建構者。 圖號對照說明: 12 處理室 14 處理室 16 共同工作區 18 牆 22 控制器 24 監視器 26 監視器 28 光筆 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、V'V7· 經濟部智慧財產局員工消費合作社印製 567544 A7 B7 五、發明説明() 30 機 殼 32 底 部 牆 34 蓋 件 36 側 壁 37 處 理 室 38 座 檯 39 光 筆 39a, ,39b ,39c 處 理 氣體 41 流 量 閥 42 基 材 43 交 流 電 源 供 應 器 44 加 熱 零 件 46 溫 度 感 測 器 48 真 空 幫 浦 60 孕 核 層 61 阻 障 層 63 孔 洞 70 層 膜 72 鎢 層 76 晶 圓 90 中 央 處 理 記 憶 體 92 隨 機 存 取 記 憶 體 (請先閲讀背面之注意事項再填窍本頁) 、ρ· 經濟部智慧財產局員工消費合作社印製 發明詳細說明: 請參閱第1圖。圖中所示為一晶圓處理系統範例,其 中包含一或多處理室12及14,該等處理室12,14位於一 共用工作區1 6中,該共用工作區1 6則為一牆1 8所圍繞。 處理室1 2及14得與一控制器22以資料相通,其中該控 器22與一或多監視器相接,如圖中24及26所示。一般 而言,監視器用以顯示處理室1 2,1 4相關製程的共用資 訊。監視器26之一位於牆18之上,另一監視器24則位 於工作區1 6内。對處理室1 2及14之操作控制得以一光 筆(光筆作用於監視器24,26之相對應一者)為之,即藉光 筆與控器溝通22達控之效。舉例而言,光筆28作用於監 第1〇頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 567544 五、 經濟部智慧財產局員工消費合作社印製 發明説明() 視器24 ’其得經由& 由皿視裔24與控器溝通;光 控制器28與控制器22溝通。 j丄由. 一 圖及第2圖。其中,處理室12及14之每 一者皆包含一機殼3〇、一茗 牛 側壁36,其中機殼 3 0 具有 底 离 7 Ο Jii- ^ Λ Λ /、底部牆32對立,側壁36 則位於機殼3 〇及芸Α 1 /々叫 及盖件34之間。機殼3〇構成一室37,一 座檯38位於處理它 至7中,用以支撐半導體晶圓等基材。 座檯38可受支撐而狡紅μ $从 動於盍件3 4及底部牆3 2之間,移 動者為-位移機構(未顯示)’但其位置一般為固定者。處 理氣體39a’39b及39c之供應器利用一蓮蓬頭與處理室η 以流體流動之方★、、甚,g 分· -本 式溝通,該二處理氣體39a,39b及39〇則 經流量閥41而受調節。 層膜沉積之前,基材得依所行之製程而為座檯38中 一加熱器加熱一所需溫度。舉例而言,座檯38可以阻性 方式加熱,其方式為自交流電源供應器43提供一電流加 …、零件44中。接著’基材42即為座檯38加熱,並可維 持在所需溫度範圍上,如約2〇它至約75 of間。一溫度感 測器46 (如一熱電偶)亦内嵌於晶圓支撐座檯38中,藉以 以傳統方式監視座檯3 8溫度。舉例而言,所測得溫度得 送入回授迴路中,藉以控制電源供應器43送至加熱零件 44中的電流,以使基採溫度得維持或控制於該製程的所需 溫度上。另外,座檯3 8亦可選擇性由轄射熱(未顯示)加 熱。此外,一真空幫浦48用以對處理室37抽氣,並用以 輔助維持正確氣流及處理室3 7内壓力。 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再場寫本頁) # 567544 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 請參閱第1圖及第2圖。圖中,兩處理室12及14之 一得利用後續沉積技術而於基材上沉積耐熱金屬層,其中 後續沉積技術之一異包含原子層沉積(ALD)技術。此處所 言之「基材」包含基材(如半導體基材及玻璃基材)及其上 方層膜(如介電層(即二氧化石夕))及阻障層(即鈦、氮化鈦 等)。 第3圖為一基村的剖面示意圖,其中顯示後續沉積時 一基材上一還原劑之可能吸附機制,其並未考慮及理論。 此處所言「吸附」一詞係包含化學吸附、物理吸附或任何 可工作及/或可提供一基材之一曝出表面之一部份上結 合、反應、黏著或佔據作用之吸引力及/或結合力。在本發 明之後續沉積技術進行當中,一第一處理氣體(在本例 為Aax)於基材42上形成一層,’A”,其中該基材42具有 配位基a表面,該配位基表面暴至處理室3 7環境;而” 層可為一單層、超過一單層或少於一單層。其後, 氣體進入處理室37成為清洗氣體”a心”,其尚未組合形 A層。第4圖為一基材之剖面示意圖,其中顯示還原劑 入後基材上方一含耐熱金屬化合物之可能吸附機制,當 洗氣體”Aax”從處理室37流出之後,一第二處理氣體,,Bb 導入處理室37中。基材表面上存在的”a”配位基與,,b,,配 基及’’B”原子作用而形成分子”ab”及”aa,,等,該等分子移 基材42處,並接著從處理室37中抽除。以此方式為之, 一包含一 B化合物層之表面仍留於基材42之上,並曝至 處理室37環境中,如第4圖所示。b化合物層的組 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 中 A' 一清洗 成 導清 位 離 成可
567544 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 為由 ALD技術形成之一單層或缺少原子之層。在其它實 施例中,每一次可形成之B化合物皆超過一單層。此外, 化合物B層得包含一多原子層(即B原子以外之原子)。此 時,第一及第二處理氣體得包含處理氣體之混合物,且該 兩處理氣體皆有原子與基材4 2黏附。該製程一次一次進 行,直至達到所需厚度。 請參閱第3圖及第4圖。處理氣體得為任意種類,在 本例中還原劑nAax”可至少包含B2H6或PH3 ;而含耐熱金 屬化合物則至少包含WF6。此時以下兩化學反應式(1)及(2) 所示為可能發生的反應: (1) B2H6(g) + WF6(g)---> W(s) + 2BF3(g) (2) PH3(g) + WF6(g) — — > W(s) + PH3(g) 〇 其它副產物包含(但非限定僅包含)氫、氫氟酸及氟。此外, 亦有其它反應發生的可能,如分解反應等。在其它實施例 中,其它還原劑亦得使用之,如SiH4等。同樣地,在其 它實施例中其它含挨氣體可適用之,如W(CO)6等。 經濟部智慧財產局員工消費合作社印製 清洗氣體包含氬、氫、氮、氦其它適用氣體及上述氣 體之混合物。清洗氣體可使用之種類可為一種或一種以 上。第5圖為使用兩種清洗氣體氬及氮時處理處理室中存 在氣體的一實施例,其中每一處理氣體皆由一載氣送入處 理室37中,在本例中該載氣為該等清洗氣體之一者,即 WF6為氬所送入,而B#6為氮氣所送入。不過,當了解 清洗氣體得與載氣為不同者,以下將有更詳細的介紹。本 發明中ALD技術進行的每一次皆包含於時間區間11内流 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 567544 A7 五、發明説明( 入氮清潔氣體至處理室3 7的步驟,复 ,、T時間@ 約〇·〇1至約15秒鐘,且為B2H6流至處理室幻°° a U歷時 時間區間t2時,處理氣體hi流 中別發生。 土 k理室3 7 φ 間歷時約〇 · 01秒至約15秒鐘,其中Β ή 、 ’ t2區 入,在本例中該載氣為氮。在約〇 6 乂載乳伴隨送 1秒至約工5 後’t3區間内Β2Η6停止流入,氮氣則續流動於另2里結束 秒至約15秒鐘區段内,用以清洗處理室中的—約〇·〇1 間區間t4時(其歷時約〇至約3〇秒鐘),产/ 2ΪΪ6。在時 抽氣,以移除全部或大部份的氣體。在處理^至37破加以 後,時間區間t5載氣氬通入,歷時約 至37抽氣之 冰朴 01秒至約15秒妒, 接者,在時間區間t5後的時間區間t6 , 、里, 再與載氣氬一塊通入處理室37中,复由* ;; Wp6 甲時間區間屁。士 約0 · 0 1秒至約1 5秒鐘。當WF & i隹入忐 土寺 田Wh進入處理室37之 止後,氬氣流仍持續流入〇. 〇 1秒至1 5秒鐘 終 間t7内之動作。其後,處理室37被加以:氣此區 或大部份内部氣體抽離。接著,時間 :所有 于間&間t8歷時約
30秒鐘,如此便完成後續沉積步驟 I 俩蜋。該循環之勒 作得持續進行,直至沉積之鎢膜達至所需厚度止 後續沉積技術之使用得有多重益處,复 、 /、甲包含層膜 形成與通量無關,故而沉積均勻度與基材大 、 土 小無關。例 例而言,由相同沉積室下一 200毫米基材及— 3 〇 〇亳乎 基材上所測得之層膜均勻度及厚度的差異是 ' " °』忽略的。 再者’該種技術能在複雜表面上得到較传的本 J罕乂住的步階覆蓋么士 果。 、° 第14頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) f請先閱讀背面之注意事項再填寫本頁}
567544 A7 B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 此外,B層厚度(可參見第4圖)可輕易受到控制、並 同時使其阻抗達最小化,藉由後續沉積技術即可達成 之。請參閱第 6圖。由圖中線50之斜率可知,鎢層 B 厚度與形成其之循環次數成正比。不過,鎢層的阻抗相 對上與層膜厚度較無關,此可由第7圖之線5 2的斜率得 知。因此,只需藉由後續沉積技術,耐熱金屬層之厚度 即可輕易控成為通入處理室中處理氣體之循環次數的函 數,該厚度與阻抗間的關係並可忽略。 第8圖顯示以後續沉積技術於一基材上之層膜形成 速率與該基材溫度之關係,並可知沉積速率與基材42溫 度相關。由線5 4之斜率可知,基材42溫度的增加確增 加了鎢層B之沉積速率。此外,該圖式還指出基材上得 形成少一單層、少於一單層或多於一單層之鑛,端視基 材溫度而定。舉例說明之,在5 6部份,沉積速率在2 5 0 °C時約為2埃/循環次數;在58處,沉積速率在450°C時 為5埃/循環次數。不過,鎢層之阻抗確大致與沉積厚度 無關,此得由第9圖得知。因此,鎢層之沉積速率得控 制為溫度的函數,同時得與鎢層阻抗無關。當然,一般 都能希望耐熱金屬層之整體沉積形成時間。 經濟部智慧財產局員工消費合作社印製 為達該目的,耐熱金屬層之塊體沉積得併入沉積製 程中執行之。一般而言,耐熱金屬層在一般處理室中之 塊體沉積只發生於孕核層形成之後。更詳而言之,界本 範例而言,鎢層之孕核以上述後續沉積技術於處理室1 2 中形成,其中基材42加熱成約200°C至400°C,處理室 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 567544 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 3 7則加壓成約1托爾至10托爾。於是,一孕核層6 0形 成於一經圖案化之基材42上,其厚度約120至約200 埃,如第1 0圖所示。結果更可發現,各種厚度之孕核層 皆得在對深寬比約6 : 1或更大之孔洞的填充時得到良好 的步階覆蓋結果,如約1 00埃或更小厚度者、約5 0埃或 更小厚度者、或約25埃或更小厚度者。由圖可知基材42 包含一阻障層61及一經圖案化之層,其中並有複數個孔 洞6 3,而孕核層係形成於覆蓋孔洞6 3之經圖案化層旁。 由結果可知,利用ALD技術形成之孕核層60得有良好 步階覆蓋結果。在另一實施例中,後續沉積技術可用於 孕核及塊材的沉積上。在另一實施例中,鎢層之全部沉 積時間得以降低,其係以CVD法進行鎢塊材於孕核層60 上的沉積、同時基材42係置於第1圖所示之同一沉積室 1 2上。塊材之沉積得以習用參數及方法進行,如此得行 完整插塞填充之鎢層6 5得形成於經圖案化之層膜上,其 中填充之孔洞的深寬比約為6: 1,如第1 1圖所示。 在另一不同實施例中,一分叉沉積製程得使用之, 其中耐熱金屬層的孕核係發生於其它耐熱金屬層部份形 成之不同處理室中。更詳而言之,本範例中的鎮層孕核 係利用後續沉積技術(如上述之ALD)使發生在處理室12 中,此時得使基材42加熱成約200 °C至約400 °C、並使 加壓成約1托爾至約1 0托爾間之方式為之。 在一實施例中,後續沉積技術用於處理室1 4中置有 基材42(如第1圖所示)、且該基材42進行孕核層60上 第16頁 (請先閱讀背面之注意事項再填寫本頁) ,裝· 、可· # 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 567544 A7 B7 五、發明説明() 塊體沉積時,其中塊體沉積得以本文所揭露之參數為 之。在另一實施例中,上述塊體沉積則係以CVD技術為 之,其中的沉積參數得以該項技術所慣用者為之。不論 所用方式為後續沉積或CVD技術,所得鎢層65皆得在 具深寬比約6 : 1之孔洞的具圖案化層膜上達成完整的充 塞填充效果,如第1 1圖所示。藉執行上述之分叉沉積製 程,較佳特性鎢層的形成時間得以降低,CVD塊體沉積 的使用則能更增產率。 如上所述,本發明一不同實施例之載氣得與清洗氣 體為不同者,如第1.2圖所示。其中,清洗氣體至少包含 氬,並分別在時間區間tl、t3、t5及t7間導入;載氣則 至少包含氮,並在時間區間t2及t6導入。故而,時間 區間t2時,導入處理室之氣體包含B2H6及N2 ;時間區 間t6時,導入者則包含WF6及N2。時間區間t4及t8 時,所用之抽氣方式與第5所述者同。在又另一實施例 中,時間區間t2及t6内的載氣至少包含氫,而此時於 時間區間tl、t3、t5及t7内導入之清洗氣體至少包含氬。 時間區間t4及t8時,抽氣處理與上述者同。故而,時 間區間t2時,通入處理室37之氣體混合物至少包含B2H6 及氫;時間區間t6時,所導入者則至少包含WF6及氫。 以氫為載氣具有提升鎢層B穩定度的效果。更詳而 言之,孕核層60内之氟濃度(如第10圖所示)在以氫為 載氣時顯得相當低,此係與以氮化氬為載氣之時的比 較。 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、τ #· 經濟部智慧財產局員工消費合作社印製 567544 A7 B7 五、發明説明() 請參閱第14圖及第15圖。圖中,曲線66之高點與 低點說明氟濃度可超過1 X 1 021個原子/立方公分,最低則 仍有1 X 1 0 1 9個原子/立方公分;但在曲線6 8中,氟濃度 之高點確低於1 X I 021個原子/立方公分,而其低點顯示氟 濃度確低於1 X 1 〇 17個原子/立方公分。因此,以氫為載氣 確能提供遠較穩定之膜層,即氟擴散至基材或相鄰層之 機率得以降低。此外,因氟濃度增加而生成的金屬氟化 物得以避免之,故耐熱金屬層之阻抗亦得因此降低。故 而,孕核層之穩定度及其阻抗得控制成所用載氣之函 數。當沉積係全以ALD技術為之時(即不使用其它C VD 等技術),該事實亦適用於此處。 此外,若在含鎢化合物通入前吸附PH3或B2H6層(如 第1 6圖所示),所形成之鎢層72得具有較低之氟含量、 較佳之步階覆蓋結果及較佳之阻抗,此項事實可由含鎢 化合物利用後續沉積或標準CVD沉積、並以WF6及SiH4 或WF6及氫氣形成於PH3或B2H6層時獲得印證。此鎢 膜所獲得的較佳特性相信是因PH3或B2H6層及WF6層 間的化學親合性所致,此親合性得提升被吸附化學物質 之表面移動性及有效降低鎢膜於孕核階段之 WF6。此 外,此項特性還被證實有助於鎢層沉積於含鈦黏著層(由 鈦或氮化鈦形成者)之旁。層膜7 0以為一單層為佳,但 在其它實施例中可為少或多於一單層;由第16圖之膜層 堆疊中的層膜70得知鎢層72的形成。可以了解的是, 層膜70可或不可於鎢層72形成之時消耗,複數層70及 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 句· # 經濟部智慧財產局員工消費合作社印製 567544 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 鎢層72可利用沉積而將鎢層形成至一所需厚度。由圖可 知,層膜7 0沉積處之基材7 4包含任何得為半導體處理 材料所形成之晶圓7 6,如矽等;晶圓7 6上可形成之層 膜可為一或多者,如圖中之層膜74;層膜78得為任何 適用材料所形成,包含介電或導電材料,其中層膜78包 含一孔洞8 0,用以暴出晶圓7 6之一區域8 2。 第1 8圖為一基材之詳細剖面圖,其中一含鈦黏著層 形成於一基材及一 Ρ Η 3或B 2 Η 6層間,此係用以在含鈦黏 著層相鄰處形成一鎮層。用在一實施例中,該含鈦黏層 的形成係以後續沉積技術為之,且處理氣體 Aax為自 氫、B2H6、SiH4及NH3組成之群組中選出者,而鈦氣體 則係選自TDMAT、TDEAT及TiCl4所組成的群組中者。 此外,氬及氮清洗氣體亦相對較為適用,不過其它清洗 氣體亦可使用之。 經濟部智慧財產局員工消費合作社印製 請參閱第2圖及第17圖。其中,每一處理氣體都伴 以一載氣送入處理室3 7中,在本範例中該載氣為該等清 洗氣體之一者。不過,當了解的是清洗氣體得不同於載 器,以下將有更完整的說明。本發明中,後續沉積技術 的一循環包含在含鈦氣體流入處理室3 7前、送入一清洗 氣體至處理室中(時間區間11) ^之後,該含鈦處理氣體 被送入處理室3 7中(時間區間t2)。接著,含鈦氣體停止 送入,載氣則持續通入以清洗處理室中的含鈦處理氣體 (時間區間t3)。時間區間t4時,對處理室37抽氣,以 使所有氣體皆移出。處理室3 7經抽氣後,一載氣在時間 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 567544 A7 B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 區間t5時通入。接著,還原處理氣體由載氣伴隨通入處 理室37中(時間區間t6)。流進處理室37之還原處理氣 體流接著停止,但載氣的流入動作仍持續(時間區間 t7)。其後,處理室3 7被抽氣,以將内部所有氣體移除(時 間區間18 ),如此驗完成本發明之後續沉積技術的一整個 循環。為使含鈦層達到其所需厚度,上述循環必須不斷 執行(至所需厚度達成為止)。舉例而言,在氮化鈦層8 4 達成所需後度之後,層膜86(在本範例中係以PH3或B2H6 形成者)便以後續沉積技術形成於其旁(可參閱第 18 圖),如上所述者。其後,一鎢層8 8以後續沉積技術或 標準CVD技術形成於該層86之旁,其中該兩項沉積技 術的進行皆已詳述如前。層膜8 6以為一單層為佳,但在 其它實施例中可為多於或少於一單層。在第18圖中,層 膜堆疊中之層膜86顯示鎢層88的形成,其可在鎢層88 形成時消耗或不消耗,且複數層膜8 6及鎢層6 6得沉積 形成一所需厚度之鎢層。若有沉積金屬銅之必要,此時 銅膜得沉積於該鎢層8 8之上,此時鎢可當作之銅之阻障 層。 經濟部智慧財產局員工消費合作社印製 請再參閱第2圖,其中說明鎢層之沉積製程得以一 電腦程式產品控制,該電腦程式產品為控制器 22所執 行。為達以控制器2 2執行之目的,控制器中包含一中央 處理單元(CPU)90、一揮發性記憶體(如隨機存取記憶體) 及永久儲存媒體(如磁碟片所用之軟碟裝置、或硬碟裝置 94)。電腦程式碼可以各種傳統電腦可讀取程式語言寫 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 567544 A7 B7 五 經濟部智慧財產局員工消費合作社印製 發明説明() 入’如 68000 組合語言、C、C + +、Pascal、Fortran 等。 既撰成之適用程式碼輸進一單一或多個樓案中,即利用 傳統文字編輯器撰成而存於一電腦可讀取媒體中,如硬 碟裝置中。若所輸入的碼文字屬於高階語言,該程式需 先經過編譯,所得到的編譯碼再與W i n d 〇 w s庫常式預先 編澤完成之物件碼連結。當欲執行該經連結及編譯之物 件碼時,系統使用者先喚起物件碼,以使CPU 90將 式竭載進RAM 92,再由CPU 90讀取及執行該程式瑪 藉以執行程式中指定將執行的任務。 以上已利用各實施例進行本發明之說明,然熟習 項技術者仍得對其中的反應條件(即溫度、壓力、膜層 度等)加以改變,此類變更仍屬本發明之範圍。此外, 述說明指出分叉沉積製程係於同一系統中進行,但塊 沉積得於孕核層形成之處理室所在之主沉積系統以外 主沉積系統處理室中進行。最後,所得沉積者除可為 以外’其它耐熱金屬亦得藉由本發明之方式加以沉積 且CVD沉積法得以其它沉積技術代替之。舉例而言, 理氣相沉積(PVD)或CVD與PVD之聯合使用皆為可 者。是以,本發明之範圍不應以上述說明界定,而各 後附包含所有本發明等效者之申請專利範圍所戴者為實 際範圍。 第21頁 程 (請先閱讀背面之注意事項再填寫本頁) 該 厚 上 體 的 嫣 物 行 以 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)

Claims (1)

  1. 567544
    經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 1. 一種對一處理室中一基材上一鎢孕核層進行後續沉積 之方法,其至少包含下列步驟: 導入一還原劑,該還原劑係自PH3及B2H6所組成 之群組中選出者;及 導入一含鎢化合物。 2 ·如申請專利範圍第1項所述之方法,其中該孕核層形 成於一含鈦層上。 3 ·如申請專利範圍第1項所述之方法,其中一鎢塊材層 係形成於該孕核層上。 4 ·如申請專利範圍第3項所述之方法,其中該鎢塊材層 係由後續沉積方式形成。 5 ·如申請專利範圍第3項所述之方法,其中該鎢塊材係 由化學氣相沉積法形成。 6.如申請專利範圍第3項所述之方法,其中該嫣塊材係 由物理氣相沉積法形成。 7 ·如申請專利範圍第3項所述之方法,其中該孕核層及 該鎢塊材層的形成係在同一處理室中完成者。 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 567544 A B CD 六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 8. 如申請專利範圍第3項所述之方法,其中該孕核層及 該鎢塊材能係於不同處理室中形成者。 • ί 9. 如申請專利範圍第2:續所述之方法,其中該含鈦層及 該孕核層係於不同處理室中形成者。 10. —種在一處理室中一基材上沉積以一鎢層之方法,其 至少包含下列步驟:: 吸附一層膜於該基材之上,該層膜至少包含由ΡΗ3 及Β 2 Η 6組成之群組中選出之一化合物;及 導入一含鎢化合物,以形成一鎢層。 1 1 .如申請專利範圍第1 0項所述之方法,其中該導入一 含鎢話合物之步驟至少包含在一後續沉積處理中導入 一含鶴化合物的步驟。 1 2 .如申請專利範圍第1 0項所述之方法,其中該導入一 含鎢化合物之步驟至少包含於一化學氣相沉積處理中 導入一含鎢化合物之步驟。 1 3 .如申請專利範圍第1 0項所述之方法,其中該吸附層 形成於一含鈦層之上。 14.如申請專利範圍第10項所述之方法,其中該吸附一 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 567544 ABCD 六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 層膜及導入一含鎢化合物之步驟係於同一處理室中進 行。 1 5 .如申請專利範圍第1 0項所述之方法,其中該吸附一 層膜及導入一含鎢化合物的步驟係於不同處理室中進 行。 1 6. —種處理一基材之處理系統,其至少包含: 一本體,構成一處理室; 一固定支撐器,位於該處理室之内,用以支撐該基 # ; 一氣體傳送系統,以流體流動之方式與該處理室相 通;及 一控制器,在電性上與該氣體傳送系統相通; 一記憶體,與該控器以資料相通,該記憶體至少包 含一電腦可讀取媒體,該電腦可讀取媒體中具有一電 腦可讀取程式,該電腦可讀取程式包含一組指令還原 劑導入指令及含鎢化合物導入指令,用以形成一孕核 層,其中該原原劑係自ph3及B2H6組成之群組選出 者。 1 7.如申請專利範圍第1 6項所述之處理系統,其中該電 腦可讀取程式包含一第二阻指令,用以在該孕核層上 形成一嫣塊材層。 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)‘ 567544 8 8 8 8 A B CD 六、申請專利範圍 1 8 ·如申請專利範圍第1 6項所述之處理系統,其中更包 含: 一第二本體,構成一第二處理室; 其中該控制器在電性上與該第二本體相通;及 其中該第二組指令控制該鎢塊材層於該第二本體 中的形成。 (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW091115883A 2001-07-16 2002-07-16 Method and apparatus for depositing tungsten after surface treatment to improve film characteristics TW567544B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US30576501P 2001-07-16 2001-07-16

Publications (1)

Publication Number Publication Date
TW567544B true TW567544B (en) 2003-12-21

Family

ID=23182239

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091115883A TW567544B (en) 2001-07-16 2002-07-16 Method and apparatus for depositing tungsten after surface treatment to improve film characteristics

Country Status (4)

Country Link
US (3) US6936538B2 (zh)
JP (1) JP4705325B2 (zh)
TW (1) TW567544B (zh)
WO (1) WO2003009360A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709656B (zh) * 2015-05-27 2020-11-11 美商蘭姆研究公司 具有低氟含量之鎢膜
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040074438A1 (en) * 2002-10-22 2004-04-22 Taiwan Semiconductor Manufacturing Company Novel method to reduce resistivity of atomic layer tungsten chemical vapor depositon
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
CN1777697B (zh) * 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
KR100578221B1 (ko) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 확산방지막을 구비하는 반도체소자의 제조 방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7138337B2 (en) * 2004-11-30 2006-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming tungsten contacts by chemical vapor deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7335594B1 (en) * 2005-04-27 2008-02-26 Spansion Llc Method for manufacturing a memory device having a nanocrystal charge storage region
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8071478B2 (en) * 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
KR101971547B1 (ko) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 반도체 소자의 금속층 형성 방법
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9728584B2 (en) 2013-06-11 2017-08-08 Micron Technology, Inc. Three dimensional memory array with select device
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN109576672A (zh) * 2017-09-28 2019-04-05 北京北方华创微电子装备有限公司 一种原子层沉积方法
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
JP7138518B2 (ja) 2018-08-31 2022-09-16 東京エレクトロン株式会社 成膜方法及び成膜システム
JP7233188B2 (ja) * 2018-09-20 2023-03-06 東京エレクトロン株式会社 成膜方法及び成膜装置
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
TW202124757A (zh) * 2019-10-29 2021-07-01 美商應用材料股份有限公司 生長低電阻率含金屬膜之方法
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
US11798845B2 (en) 2020-10-28 2023-10-24 Applied Materials, Inc. Methods and apparatus for low resistivity and stress tungsten gap fill
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures
WO2023037452A1 (ja) * 2021-09-08 2023-03-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US20230134230A1 (en) * 2021-11-01 2023-05-04 Applied Materials, Inc. Methods and apparatus for tungsten gap fill
CN114958036B (zh) * 2022-06-30 2023-12-01 丰田自动车株式会社 一种珠光颜料及其制备方法

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0666287B2 (ja) 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JPH0474865A (ja) * 1990-07-12 1992-03-10 Fujitsu Ltd 半導体装置の製造方法
JPH05206081A (ja) * 1992-01-28 1993-08-13 Sony Corp ドライエッチング方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11195621A (ja) 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP3511577B2 (ja) 1998-10-06 2004-03-29 株式会社日立製作所 内燃機関のスロットル装置
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
WO2002045167A2 (en) * 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR20020056260A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100447031B1 (ko) * 2001-03-23 2004-09-07 삼성전자주식회사 텅스텐 실리사이드막의 형성방법
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (ja) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP3891817B2 (ja) * 2001-10-19 2007-03-14 本田技研工業株式会社 変速機
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR101104058B1 (ko) 2003-05-27 2012-01-06 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709656B (zh) * 2015-05-27 2020-11-11 美商蘭姆研究公司 具有低氟含量之鎢膜
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
US20050208763A1 (en) 2005-09-22
US7749815B2 (en) 2010-07-06
JP2004536225A (ja) 2004-12-02
WO2003009360A2 (en) 2003-01-30
WO2003009360B1 (en) 2003-12-04
US6936538B2 (en) 2005-08-30
US7238552B2 (en) 2007-07-03
US20080014724A1 (en) 2008-01-17
US20030013300A1 (en) 2003-01-16
JP4705325B2 (ja) 2011-06-22
WO2003009360A3 (en) 2003-10-30

Similar Documents

Publication Publication Date Title
TW567544B (en) Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
JP5021123B2 (ja) 順次堆積技術を使用して耐熱金属層を堆積させ核生成層を形成させる方法及び装置
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
KR100871082B1 (ko) 순차적인 증착 기술을 이용하여 복합 박막 적층을형성하는 시스템 및 방법
JP5210482B2 (ja) 化学吸着技術を用いるホウ化物バリア層の形成
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
TW581822B (en) Formation of composite tungsten films
TW200914630A (en) Atomic layer deposition of tungsten materials
CN111095488A (zh) 三维竖直nand字线的金属填充过程
JP2022510428A (ja) ボイドフリーの低応力充填
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法
JP2008124464A (ja) Ru膜および金属配線構造の形成方法
TW201016880A (en) In-situ chamber treatment and deposition process
WO2003026005A2 (en) Formation of refractory metal nitrides using chemisorption techniques
CN103946957A (zh) 使用铝烷基的前驱物的金属薄膜沉积
TW510014B (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
TW200837813A (en) Method of film deposition and apparatus for treating substrate
Omstead et al. Filling high-AR structures using pulsed nucleation layer deposition.(Deposition)
TW200832557A (en) Integrated substrate processing in a vacuum processing tool

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent