JP2004536225A - 表面処理後にタングステンを堆積して膜特性を改善するための方法及び装置 - Google Patents
表面処理後にタングステンを堆積して膜特性を改善するための方法及び装置 Download PDFInfo
- Publication number
- JP2004536225A JP2004536225A JP2003514605A JP2003514605A JP2004536225A JP 2004536225 A JP2004536225 A JP 2004536225A JP 2003514605 A JP2003514605 A JP 2003514605A JP 2003514605 A JP2003514605 A JP 2003514605A JP 2004536225 A JP2004536225 A JP 2004536225A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- tungsten
- substrate
- processing chamber
- containing compound
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 97
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 97
- 239000010937 tungsten Substances 0.000 title claims abstract description 97
- 238000000034 method Methods 0.000 title claims abstract description 56
- 238000000151 deposition Methods 0.000 title claims description 77
- 238000004381 surface treatment Methods 0.000 title 1
- 239000000758 substrate Substances 0.000 claims abstract description 82
- 230000008021 deposition Effects 0.000 claims abstract description 39
- 230000006911 nucleation Effects 0.000 claims abstract description 39
- 238000010899 nucleation Methods 0.000 claims abstract description 39
- 150000001875 compounds Chemical class 0.000 claims abstract description 25
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 15
- 238000012545 processing Methods 0.000 claims description 91
- 238000005229 chemical vapour deposition Methods 0.000 claims description 23
- 230000008569 process Effects 0.000 claims description 18
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 17
- 239000010936 titanium Substances 0.000 claims description 17
- 229910052719 titanium Inorganic materials 0.000 claims description 17
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- 238000004891 communication Methods 0.000 claims description 8
- 238000005240 physical vapour deposition Methods 0.000 claims description 4
- 239000012530 fluid Substances 0.000 claims description 2
- 239000003795 chemical substances by application Substances 0.000 claims 1
- 239000003870 refractory metal Substances 0.000 abstract description 21
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 abstract description 10
- 229910052731 fluorine Inorganic materials 0.000 abstract description 10
- 239000011737 fluorine Substances 0.000 abstract description 10
- 239000000126 substance Substances 0.000 abstract description 5
- 238000010586 diagram Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 164
- 239000007789 gas Substances 0.000 description 55
- 239000012159 carrier gas Substances 0.000 description 21
- 238000010926 purge Methods 0.000 description 17
- 238000000231 atomic layer deposition Methods 0.000 description 15
- 239000002356 single layer Substances 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 11
- 125000004429 atom Chemical group 0.000 description 10
- 230000004888 barrier function Effects 0.000 description 9
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 6
- 239000012790 adhesive layer Substances 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000003446 ligand Substances 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 239000012713 reactive precursor Substances 0.000 description 3
- 238000011946 reduction process Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000003877 atomic layer epitaxy Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 230000007261 regionalization Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910001512 metal fluoride Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1068—Formation and after-treatment of conductors
- H01L2221/1073—Barrier, adhesion or liner layers
- H01L2221/1084—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L2221/1089—Stacks of seed layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Mechanical Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
【選択図】図5
Description
【0001】
関連出願の説明
[0001]本出願は、2001年7月16日出願の米国仮特許出願第60/305,765号の恩典を請求する。その開示内容は本明細書に援用されている。
発明の背景
発明の分野
[0002]発明の実施形態は、半導体基板の処理に関する。特に、本発明の実施形態は、半導体基板上に耐火金属層を堆積する方法の改良に関する。
関連技術の説明
[0003]半導体処理産業は、表面積の大きい基板上に堆積した層の一様性を高めつつ生産収量を上げる努力を続けている。新しい材料と組み合わせたこれらの同様の要因によっても基板の単位面積当たりの回路の密度が高くなる。回路密度が高くなるにつれて、層の厚さに関する一様性やプロセス制御を高めることが求められるようになる。その結果、層の特性に対する制御を維持しつつコスト効率の良い方法で基板上に層を堆積するために様々な技術が開発されてきた。化学気相堆積(CVD)は、基板上に層を堆積させるために用いられる最も一般的な堆積処理法の一つである。CVDは、一様な厚さの所望の層を得るために処理チャンバ内に導入される基板温度と前駆物質の正確な制御を必要とする流量依存性堆積法である。これらの要求は、基板サイズが大きくなるにつれて(例えば200mm径基板から300mmの基板まで)更に重要になり、十分な一様性を維持するためにチャンバ設計とガスフロー技術において更に複雑な要求が生じる。
【0002】
[0004]CVDと比較して優れたステップカバレージを示すCVDの変形は、原子層堆積(ALD)である。ALDは、元々エレクトロルミネセンスディスプレイを製造するために用いられた原子層エピタキシー(ALE)に基づいている。ALDは、基板表面上に反応性前駆分子の飽和単一層を堆積するために化学吸着を用いる。このことは、堆積チャンバ内に適切な反応性前駆物質のパルスを交互に送ることにより達成される。前もって堆積された層に吸着された原子層を施して基板上に一様な層を形成するために不活性ガスパージによって反応性前駆物質のそれぞれの注入が分けられる。層を所望の厚さに形成するようにサイクルが繰り返される。ALD技術の欠点は、堆積速度が少なくとも一桁程度だけ典型的なCVD技術より遅くなることである。
【0003】
[0005]十分なステップカバレージを与えつつ高堆積速度による薄膜層を形成することは、一方を犠牲にしてもう一方を得ることをしばしば必要とする矛盾する特徴がある。この矛盾は、特に誘導層によって分けられた隣接した金属層を相互接続するコンタクトの形成中にアパーチャ又はバイアを被覆するために耐火金属層を堆積させる場合に言えることである。歴史的に、CVD技術は安価に且つ急速にバイアを充填するために耐火金属のような導電材料を堆積させるために用いられた。半導体回路の密度を上げるために、高アスペクト比構造を充填する、優れたステップカバレージに基づいてタングステンが用いられた。その結果、CVD技術を用いたタングステンの堆積は、プロセスのスループットが高く且つステップカバレージが良好であるために半導体処理において幅広い適用に恵まれている。
【0004】
[0006]しかしながら、従来のCVD方法によってタングステンを堆積することにはいくつかの欠点が伴っている。例えば、半導体ウエハ上のタングステン層のブランケット堆積は400℃より低い温度では時間を要する。タングステンの堆積速度は、約500℃〜550℃に堆積温度を上昇させることができる。しかしながら、このより高い範囲の温度は、形成される集積回路の下地部分の構造的且つ動作的多能性を損なうことがある。タングステンの使用は、また、反射率がシリコン基板の20%以下である比較的粗い表面になるように製造プロセス中のフォトリソグラフィステップが複雑になる。更に、タングステンは一様に堆積させることが難しいことがわかった。1%より大きい膜厚の変動がわかった。これにより層の抵抗率の制御が不十分になる。前述の欠点を克服する試みが幾つか行われている。
【0005】
[0007]例えば、本発明の譲受人に譲渡されるChangらの米国特許第5,028,565号においては、特に、堆積化学を変えることによってタングステン層の一様性を改善することが開示されている。該方法には、適切な部分において、バルク堆積によってタングステン層を堆積させる前に中間バリヤ層の上に核形成層を形成させることが含まれる。核形成層は、六フッ化タングステン、水素、シラン、アルゴンのガス混合物から形成される。核形成層は、タングステン層の一様な堆積を促進させるための成長部位の層を与えると記載されている。核形成層によって得られる利点は、存在するバリヤ層に依存すると記載されている。例えば、窒化チタンから形成されたバリヤ層であれば、タングステン層の厚さの一様性は15%程度改善される。スパッタ付着したタングステン又はスパッタ付着したチタンタングステンからバリヤ層が形成された場合には、核形成層によって得られる利点ははっきりしない。
【0006】
[0008]それ故、半導体基板上に堆積された耐火処理金属層の特性を改善するための方法が求められている。
発明の概要
[0009]基板上に耐火金属層を形成する方法及びシステムには、タングステン層を形成するために、PH3又はB2H6のような還元剤の導入、続いてWF6のようなタングステン含有化合物の導入が含まれる。還元剤はタングステン層のステップカバレージと抵抗率を改善しつつタングステン層のフッ素含有量を減少させると考えられる。タングステン膜の改善された特性は、還元剤とタングステン含有化合物間の化学親和性によると考えられる。化学親和性によって、タングステン層の核形成段階での吸着された化学種の表面移動度が良好になるとともにWF6の還元が良好になる。
【0007】
[0010]本方法には、タングステン層を堆積するためにPH3又はB2H6のような還元剤とタングステン含有化合物の連続導入を更に含むことができる。形成されたタングステン層は核形成層として用い、続いて標準CVD技術を用いてタングステン層をバルク堆積させることができる。または、形成されたタングステン層はアパーチャを充填するために使用し得る。
好適実施形態の詳細な説明
[0029]図1を参照すると、典型的なウエハ処理システムは、壁18にとり囲まれた共通の作業領域16に配置された1以上の処理チャンバ12と14を含んでいる。処理チャンバ12と14は、24と26として示される1以上のモニタに接続されているコントローラ22とデータ通信している。モニタは、典型的には処理チャンバ12及び14と関連付けられたプロセスに関する共通の情報を表示する。モニタ26のうちの一つは壁18に掛けられ、残りのモニタ24は作業領域16に配置されている。処理チャンバ12と14の動作制御は、コントローラ22と連通するために、モニタ24と26のうちの一つと関連付けられたライトペンの使用によって達成させることができる。例えば、ライトペン28はモニタ24と関連付けられ、モニタ24を介してコントローラ22との連通を容易にする。ライトペン39は、モニタ26を介してコントローラ22との連通を容易にする。
【0008】
[0030]図1と図2の双方を参照すると、処理チャンバ12と14のそれぞれは、底壁32と、底壁32の反対に配置されたカバー34と、その間に伸びている側壁36を有するハウジング30を含んでいる。ハウジング30はチャンバ37を画成し、ペデスタル38は半導体ウエハのような基板42を支持するために処理チャンバ37内に配置されている。ペデスタル38は、置換メカニズム(図示せず)を用いてカバー34と底壁32の間を移動するように取り付けられてもよいが、その位置は典型的には固定されている。処理ガスの供給部39a、39b、39cは、シャワーヘッド40を介して処理チャンバ37と流体で連通している。供給部39a、39b、39cからのガス流の制御は、フローバルブ41によって達成する。
【0009】
[0031]個々のプロセスによっては、基板42は、ペデスタル38内に埋め込まれたヒータによって層堆積の前に所望される温度に加熱することができる。例えば、ペデスタル38は、ヒータ素子44にAC電源43から電流を印加することにより抵抗加熱することができる。また、基板42は、ペデスタル38によって加熱され、例えば、約20℃〜約750℃の所望されるプロセス温度範囲内に維持することができる。従来の方法でペデスタル38の温度をモニタするために、熱電対のような温度センサ46もウエハ支持ペデスタル38に埋め込まれている。例えば、具体的なプロセス適用に適した所望温度に基板温度が維持されるように測定した温度が電源43によってヒータ素子44に加えられた電流を制御するためにフィードバックループ内に用いることができる。場合によっては、ペデスタル38は放射熱(図示せず)を用いて加熱することができる。真空ポンプ48は、処理チャンバ37を減圧にするとともに処理チャンバ37の内部に適切なガスフローと圧力の維持を援助するように用いられる。
【0010】
[0032]図1と図2を参照すると、上記処理チャンバ12と14の一方又は双方は、連続堆積法を用いて基板上に耐火金属層を堆積するように作動させることができる。本発明による連続堆積法の一例には、原子層堆積(ALD)が含まれる。本明細書に用いられる“基板”という用語には、半導体基板やガラス基板のような基板、誘電層(即ち、SiO2)やバリヤ層(即ち、チタン、窒化チタン等)のような上に形成された層が含まれる。
【0011】
[0033]理論に束縛されることを望まないが、図3は、連続堆積中に基板上に還元剤の起こりうる一吸着メカニズムを示す基板の断面略図である。本明細書に用いられる“吸着”又は“吸着する”という用語は、化学吸着、物理吸着、又は作動していてもよく、更に/又は基板構造の暴露面の一部の結合、付着、又は占有に寄与していてもよい親和力及び/又は結合力を含むように定義される。本発明による連続堆積法において、最初の処理ガスのバッチは、この場合“Aax”は、リガンド“a”の表面が処理チャンバ37にさらされた基板42の上に堆積されている“A”の層を生じる。層“A”は単一層でも、単一層を超えても、単一層未満でもよい。その後、パージガスは、Aの層に取り込まれなかったガス“Aax”をパージするために処理チャンバ37に入る。図4は、還元剤の導入後に基板上に起こりうる耐火金属含有化合物の一吸着メカニズムを示す基板の断面略図である。処理チャンバ37からガス“Aax”を除去した後、処理ガスの第二バッチ、“Bby”は処理チャンバ37の中に導入される。基板表面上に存在する“a”リガンドは“b”リガンドと“B”原子と反応し、例えば、“ab”や“aA”分子を放出し、基板42から除去され、続いて処理チャンバ37からポンプで流される。この方法において、B化合物層を含む表面は、基板42上に残り、図4の中で示される処理チャンバ37にさらされたままである。B化合物の層の組成は、ALD技術を用いて典型的に形成された原子の単一層以下であってもよい。他の実施形態においては、単一層を超えるB化合物は各サイクル中に形成することができる。また、化合物B層は、多重原子(即ち、Bの原子のほかに他の原子)の層を含むことができる。そのような場合、処理ガスの第一バッチ及び/又は第二バッチはプロセスガスの混合物を含むことができ、その各々が基板42に付着する原子を有する。所望される厚さが得られるまでプロセスはサイクルを次々に進める。
【0012】
[0034]図3と図4の双方を参照すると、いずれのタイプの処理ガスも用いることができるが、本実例においては、還元剤“Aax”はB2H6か又はPH3を含むことができ、耐火金属含有化合物、BbyはWF6を含むことができる。化学反応(1)と化学反応(2)によって起こりうる反応を次に示す。
(1)B2H6(g)+WF6(g)→ W(s)+2BF3(g)
(2)PH3(g)+WF6(g)→ W(s)+PF3(g)
他の副生成物にはH2、HF、F2が含まれるがこれらに限定されない。分解反応のような他の反応も起こりうる。他の実施形態においては、SiH4のような他の還元剤を用いることができる。同様に、他の実施形態においては、W(CO)6のようなタングステン含有ガスを用いることができる。
【0013】
[0035]パージガスには、Ar、He、N2、H2、他の適切なガス、その組合わせが含まれる。1種以上のパージガスを用いることができる。図5は、2種のパージガスArとN2を用いて処理チャンバ内に存在するガスの一実施形態を示すグラフである。それぞれの処理ガスを、この実例においてはパージガスの1種であるキャリアガスとともに処理チャンバ37内に流した。WF6はArと導入され、B2H6はN2と導入される。しかしながら、後に詳述されるパージガスがキャリアガスと異なってもよいことは理解されなければならない。本発明のALD法の一サイクルには、B2H6が処理チャンバ37に流される前の約0.01〜約15秒の時間t1の間に処理チャンバ37へパージガス、N2を流すことが含まれる。時間t2の間、処理ガスB2H6は、この実例においてはN2であるキャリアガスとともに約0.01〜約15秒の範囲にある時間、処理チャンバ37へ流される。約0.01〜約15秒が経過した後、B2H6フローは終了し、N2フローが約0.01〜約15秒の範囲にある追加時間として時間t3の間続き、B2H6の処理チャンバをパージする。約0〜約30秒続く時間t4の間、処理チャンバ37は、全てではないとしてもほとんどのガスを除去するようにポンプで流される。処理チャンバ37をポンプで流した後、キャリアガスArが時間t5の間、0.01〜約15秒の範囲にある時間導入され、その後、プロセスガスWF6が処理チャンバ37にキャリアガスとともに時間t6の間導入される。時間t6は約0.01〜約15秒続く。処理チャンバ37への処理ガスWF6フローは、開始した約0.01〜約15秒後に終了する。処理チャンバ37へのWF6フローが終了した後、Arフローが時間t7の間に0.01〜15秒の範囲にある追加時間続く。その後、処理チャンバ37は、全てでないにしてもほとんどのガスをそこから除去するように時間t8の間ポンプで流される。前のように、時間t8は、約0〜約30秒まで続き、それによって本発明による連続堆積法の一サイクルが終了する。所望される厚さまでタングステン層を堆積するためにそのサイクルを繰り返すことができる。
【0014】
[0036]基板のサイズと無関係に堆積の一様性を与える層形成の流量依存性が含まれる、連続堆積法を用いることの利点は、多重であることである。例えば、同じチャンバ内で堆積した200mmの基板と300mmの基板との間で測定された層一様性と厚さの測定差は無視できる。これは、連続堆積法の自己制限特性によるものである。更に、この手法は複雑なトポグラフィに対してステップカバレージの改善にも寄与する。
【0015】
[0037]更に、図4に示される層Bの厚さは、連続堆積法を用いることによりその抵抗を最小にしつつ容易に制御することができる。図6によって、タングステン層Bの厚さがそれを形成するために用いられるサイクル数に比例することがライン50の傾斜でわかる。しかしながら、図7においてライン52の傾斜によって示されるように、タングステン層の抵抗率は層の厚さに比較的依存しない。従って、連続堆積法を用いると、耐火金属層の厚さは、抵抗率に対して無視できる影響において処理チャンバ内に導入される処理ガスの循環の関数として容易に制御することができる。
【0016】
[0038]図8は、連続堆積法を用いて基板上に形成された層の堆積速度と基板の温度の関係を示すグラフである。堆積速度の制御は、基板42の温度に依存することがわかった。ライン54の傾斜によって示されているように、基板42の温度が上昇すると、タングステン層Bの堆積速度は増加した。単一層未満、単一層、又は単一層を超えるタングステン層が、用いられる基板温度によって形成されることがグラフにより示されている。例えば、56では、堆積速度は約2オングストローム/サイクル、250℃であることが示されている。しかしながら、点58では、堆積速度は450℃の温度で約5オングストローム/サイクルである。しかしながら、図9に示される曲線59の傾斜によって示されるように、タングステン層の抵抗率は層の厚さにほとんど無関係である。その結果、タングステン層の堆積速度はその抵抗率を妥協することなく、温度の関数として制御することができる。しかしながら、耐火金属の全体層を堆積するのに必要な時間を短縮することは望ましいことである。
【0017】
[0039]それを目的として、耐火金属層のバルク堆積は堆積プロセスに含まれることがある。典型的には、核形成層が共通の処理チャンバ内で形成された後、耐火金属のバルク堆積が生じる。特に、本実例においては、タングステン層の核形成は上記連続堆積法を用いてチャンバ12内で生じ、基板は約200℃〜約400℃の範囲に加熱され、処理チャンバ37は約1〜約10トールの範囲に加圧される。約120オングストローム〜約200オングストロームの核形成層60が、図10で示されるパターン形成基板42上に形成される。約100オングストローム以下、約50オングストローム以下、又は約25オングストローム以下の核形成層は、アスペクト比が約6:1以上であるアパーチャ上に良好なステップカバレージを与えるのに有効であることも分かった。示されているように、基板42にはバリヤ層61と、複数のバイア63を有するパターン形成層が含まれている。核形成層は、バイア63を被覆するパターン形成層に隣接して形成される。示されているように、ALD法を用いて核形成層60を形成すると、良好なステップカバレージが得られる。他の実施形態においては、連続堆積法は核形成とバルク堆積の双方に行うことができる。更に他の実施形態においては、タングステンの完全な層を形成するのに要する時間を短縮させるために、核形成層60のタングステンのバルク堆積はCVD技術を用いて行われ、基板42は図1に示される同様の処理チャンバ12内に配置されている。バルク堆積は、当該技術において周知の製法を用いて行うことができる。この方法では、完全なプラグ充填を与えるタングステン層65が、図11に示されるアスペクト比が約6:1のバイアでパターン形成層の上に達成される。
【0018】
[0040]代替的実施形態においては、耐火金属層の核形成が、耐火金属層の残りの部分が形成されるチャンバとは異なるチャンバ内で生じる二つに分かれた堆積処理を行うことができる。特に、本実例においては、上記ALDのような連続堆積法を用いてチャンバ12内でタングステン層の核形成が行われる。それを目的として、基板42は約200℃〜約400℃の範囲に加熱され、チャンバ37は約1〜約10トールの範囲に加圧される。約120〜200オングストロームの核形成層60は、図10で示されるパターン形成基板42上に形成される。約100オングストローム以下、約50オングストローム以下、又は25オングストローム以下の核形成層は、アスペクト比が約6:1以上のアパーチャ上に良好なステップカバレージを与えるのに有効であることがわかった。示されるように、基板42には、バリヤ層61と複数のバイア63を有するパターン形成層が含まれる。核形成層は、バイア63を被覆するパターン形成層に隣接して形成される。示されているように、連続堆積法を用いて核形成層60を形成すると、ステップカバレージが改善される。
【0019】
[0041]一実施形態においては、核形成層60が生じるタングステンのバルク堆積に連続堆積法が用いられ、基板42は図1に示される処理チャンバ14に配置されている。バルク堆積は、本明細書に開示された製法を用いて行うことができる。他の実施形態においては、CVD技術は核形成層60が生じるタングステンのバルク堆積に用いられ、基板42は図1で示される処理チャンバ14内に配置されている。バルク堆積は、当該技術において周知の製法を用いて行うことができる。連続堆積法が用いられるとしてもCVD堆積法が用いられるとしても、完全なプラグ充填を与えるタングステン層65は、図11で示されているアスペクト比が約6:1のバイアを有するパターン形成層上に達成される。上記二つに分かれた堆積処理を行うと、改善された特性を有するタングステン層を形成するのに要する時間を短縮させることができる。バルク堆積にCVD堆積法を用いると、スループットを高めることができる。
【0020】
[0042]上記のように、本発明の他の実施形態においては、図12に示されるように、キャリアガスはパージガスと異なってもよい。時間間隔t1、t3、t5、t7で導入されるパージガスは、Arを含んでいる。時間間隔t2とt6に導入されるキャリアガスは、N2を含んでいる。従って、時間間隔t2で処理チャンバへ導入されたガスは、B2H6とN2の混合物を含み、時間間隔t6のガス混合物は、WF6とN2を含んでいる。時間間隔t4とt8の間のポンププロセスは、上記図5のポンププロセスと同一である。図13に示される他の実施形態においては、時間間隔t2とt6の間のキャリアガスはH2を含み、パージガスはArを含む時間間隔t1、t3、t5、t7で導入される。時間間隔t4とt8のポンププロセスは上記の通りである。その結果、時間間隔t2で処理チャンバ37へ導入されたガス混合物は、B2H6とH2を含み、時間間隔t6ではWF6とH2を含む。
【0021】
[0043]H2キャリアガスを用いることにより実現される利点は、タングステン層Bの安定性を改善することができるということである。特に、図14の曲線66と図15の曲線68を比較することにより、図10に示される核形成層60中のフッ素濃度は、キャリアガスとしてN2又はArの使用と比較したように、H2をキャリアガスとして用いた場合にはかなり低いことがわかる。
【0022】
[0044]図14と図15の双方を参照すると、曲線66の頂点と最下点は、フッ素濃度が1立方センチメートル当たり1×1021原子を超えたレベルと1立方センチメートル当たり1×1019原子よりわずかだけ低いレベルに達することを示している。しかしながら、曲線68は、フッ素濃度が頂点で1立方センチメートル当たり1×1021原子よりかなり下であり、最下点で1立方センチメートル当たり1×1019原子よりかなり下にあることを示している。従って、キャリアガスとしてH2を用いると非常に安定な薄膜を与える。即ち、基板、又は隣接した層へ拡散するフッ素の確率が減少する。このことは、高フッ素濃度から得ることができる金属フッ化物の形成を避けることにより耐火金属層の抵抗を減少させる。従って、核形成層安定性と、その抵抗率は、用いられるキャリアガスの関数として制御することができる。これは、耐火金属層がALD法、即ち、CVDのような他の堆積法を用いずに全体に堆積される場合に言えることである。
【0023】
[0045]更に、タングステン含有化合物の導入前にPH3か又はB2H6の図16に示された層70を吸着させると、フッ素含有量が減少し、ステップカバレージが改善され、抵抗率が改善されたタングステン層72が形成される。このことは、六フッ化タングステン、WF6と、シラン、SiH4、又は六フッ化タングステン、WF6と分子水素、H2化学を用いて連続堆積法又は標準CVD法を用いてPH3又はB2H6の層上に導入される場合であるとわかった。タングステン膜の改善された特性は、PH3又はB2H6層とWF6層との間の化学親和性によると考えられる。これにより、吸着された化学種の表面移動度が良好になるとともにタングステン層の核形成段階でのWF6の還元が良好になる。このことは、チタン、Ti、又は窒化チタン、TiNから形成されたチタン含有接着層に隣接したタングステン層を堆積する場合に有益であった。層70は単一層であることが好ましいが、他の実施形態においては、単一層未満又は単一層を超えてもよい。図16に示される薄膜堆積中の層70は、タングステン層72の形成を示している。層70はタングステン層72の形成中に消費されても消費されなくてもよいことは理解される。複数の層70とタングステン層72が所望の厚さまでタングステン層を形成するように堆積することができることも理解される。示されるように、層70は、シリコンのような半導体処理に適した任意の材料から形成することができるウエハ76を含む基板74上に堆積される。層74として示される1以上の層がウエハ76上に存在することができる。層78は、誘電材料又は導電材料が含まれた適切な材料から形成することができる。層78にボイド80が含まれ、ウエハ76の領域82がさらされる。
【0024】
[0046]図18は、チタン含有接着層に隣接したW層の製造中、基板と、PH3か又はB2H6の層との間にチタン含有接着層が形成されている基板の断面詳述図である。チタン含有接着層は、標準CVD法を用いて形成することができる。一実施形態においては、チタン含有接着層は、連続堆積法を用いて形成される。それを目的として、処理ガスAaxは、H2、B2H6、SiH4及びNH3を含む群より選ばれる。処理ガスBbyは、TDMAT、TDEAT及びTiCl4を含む群より選ばれるチタン含有ガスである。また、ArやN2パージガスを用いることが好ましいが、他のパージガスを用いることもできる。
【0025】
[0047]図2と図17を参照すると、処理ガスのそれぞれは、本実例においてはパージガスの1種であるキャリアガスとともに処理チャンバ37へ流される。しかしながら、パージガスが後に詳述されるキャリアガスと異なってもよいことは理解されなければならない。本発明によれば、連続堆積法の一サイクルは、チタン含有ガスが処理チャンバ37へ流れる前に時間t1の間にパージガスを処理チャンバ37へ流すことを含んでいる。時間t2の間に、チタン含有処理ガスは、キャリアガスとともに処理チャンバ37へ流れる。t2が経過した後、チタン含有ガスが終了し、キャリアガスのフローが時間t3の間に続き、チタン含有プロセスガスの処理チャンバをパージする。時間t4の間、処理チャンバ37は全てのガスを除去するようにポンプで流される。処理チャンバをポンプで流した後、時間t5の間にキャリアガスが導入され、その後、時間t6の間に還元プロセスガスがキャリアガスとともに処理チャンバ37に導入される。還元プロセスガスの処理チャンバ37へのフローが、続いて終了する。還元プロセスガスの処理チャンバ37へのフローが終了した後、時間t7の間キャリアガスフローが続く。その後、時間t8の間、処理チャンバ37はそこにある全てのガスを除去するようにポンプで流され、それにより本発明の連続堆積法の一サイクルが終了する。チタン含有層が所望の厚さに達するまで、上記サイクルは複数回繰り返される。例えば、図18によってTiN層84が所望の厚さに達した後、本実例においてはPH3又はB2H6から形成された層86が上記のように連続堆積法を用いてそこに隣接して堆積される。その後、図18に示されるタングステンの層88は、いずれも上記の連続堆積法又は標準CVD法を用いて層86に隣接して堆積される。層86は単一層であることが好ましいが、他の実施形態においては単一層未満又は単一層を超えてもよい。図18に示される薄膜堆積中の層86は、タングステン層88の形成を示している。層86はタングステン層88の形成中に消費されても消費されなくてもよいことは理解される。また、タングステン層を所望の厚さに形成するために複数の層86とタングステン層66を堆積することができることも理解される。所望される場合には、銅層はタングステン層88の上に堆積されてもよい。この方法で、タングステンはバリヤ層として機能することができる。
【0026】
[0048]図2を再び参照すると、タングステン層を堆積する方法は、コントローラ22によって実行されるコンピュータプログラム製品を用いて制御することができる。それを目的として、コントローラ22には中央処理装置(CPU)90と、ランダムアクセスメモリ(RAM)92のような揮発性メモリと、フロッピーディスケットと用いられるフロッピーディスクドライブ、又はハードディスクドライブ94のような不揮発性記憶媒体とが含まれている。コンピュータプログラムコードは、慣用のコンピュータ読取り可能プログラミング言語;例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン等に書き込むことができる。適切なプログラムコードは、慣用のテキストエディタを用いて単一ファイル、又は複数のファイルに入力され、ハードディスクドライブ94のようなコンピュータ読取り可能媒体に保存又は埋め込まれる。入力されたコードテキストが高水準言語にある場合には、そのコードはコンパイルされ、その結果として生じるコンパイラコードはプリコンパイルされたWindows(登録商標)ライブラリルーチンのオブジェクトコードとリンクする。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザはオブジェクトコードを呼び出し、RAM92中のコードをCPU90がロードさせる。次にCPU90は、コードを読み取り、実行して、プログラムの中で確認したタスクを行なう。
【0027】
[0049]本発明は個々の実施形態によって記載してきたが、反応条件、即ち、温度、圧力、膜厚等に対する様々な変更を置き換えることができ且つ本明細書に含まれることを意味していることを当業者は認識するであろう。更に、二つに分かれた堆積プロセスが共通のシステム内で生じると記載してきたが、バルク堆積は、核形成層を堆積するために用いられる処理チャンバが位置するメインフレーム堆積システムとは異なるメインフレーム堆積システムの処理チャンバで生じてもよい。更に、タングステンに加えて、他の耐火金属を堆積させることができ、CVDの代わりに他の堆積法を用いることができる。例えば、物理気相堆積(PVD)法、又はCVD法とPVD法の双方の組み合わせを用いることができる。発明の範囲は、上記説明に基づくべきではない。むしろ、本発明の範囲は、均等物の全範囲が含まれる、本明細書に記載された特許請求の範囲に基づいて決定されるべきである。
【図面の簡単な説明】
【0028】
【図1】本発明による半導体処理システムの一実施形態の斜視図である。
【図2】図1に示される処理チャンバの一実施形態の断面略図である。
【図3】連続堆積中の基板上の、還元剤の起こりうる一吸着メカニズムを示す基板の断面略図である。
【図4】還元剤の導入後の、基板上の耐火金属含有化合物の起こりうる一吸着メカニズムを示す基板の断面略図である。
【図5】上記図2に示される処理チャンバのような処理チャンバに存在するガスの濃度を示すグラフである。
【図6】本発明による、ALDサイクルの数と連続堆積法を用いた基板上に形成された層の厚さとの関係を示すグラフである。
【図7】本発明による、連続堆積サイクル数と連続堆積法を用いて基板上に形成された層の抵抗率との関係を示すグラフである。
【図8】連続堆積法を用いて基板上に形成された層の堆積速度と基板温度との関係を示すグラフである。
【図9】本発明による、連続堆積法を用いて基板上に形成された層の抵抗率と基板温度との関係を示すグラフである。
【図10】本発明による、連続堆積法を用いてその上に核形成層が形成されたパターン形成基板の一実施形態の断面略図である。
【図11】本発明による、CVDを用いて核形成層の上に耐火金属層が形成された上記図10に示される基板の一実施形態の断面略図である。
【図12】本発明の代替的実施形態による、上記図2に示された処理チャンバのような処理チャンバに存在するガス濃度を示すグラフである。
【図13】本発明の代替的実施形態による、上記図2に示される処理チャンバのような処理チャンバに存在するガス濃度を示すグラフである。
【図14】Arか又はN2がキャリアガスであるALDを用いて基板上に形成された耐火金属層のフッ素含有量と深さを示すグラフである。
【図15】H2がキャリアガスであるALDを用いて基板上に形成された耐火金属層のフッ素含有量と深さを示すグラフである。
【図16】本発明の一実施形態による、基板とタングステン層の間にPH3かB2H6のいずれかの層が配置されている上記図3と図4に示された基板の一実施形態の断面略図である。
【図17】本発明の一実施形態による、上記図2に示される処理チャンバのような処理チャンバ内に存在するガス濃度を示すグラフである。
【図18】本発明による、基板とPH3か又はB2H6の層との間にチタン含有層が堆積されている、上記図3と図4に示される基板の一実施形態の断面略図である。
【符号の説明】
【0029】
12…処理チャンバ、14…処理チャンバ、16…作業領域、18…壁、22…コントローラ、24…モニタ、26…モニタ、28…ライトペン、30…ハウジング、32…底壁、34…カバー、36…側壁、37…チャンバ、38…ペデスタル、39…ライトペン、39a、39b、39c…処理ガス、40…シャワーヘッド、41…フローバブル、42…基板、43…AC電源、44…ヒータ素子、46…温度センサ、48…真空ポンプ、50…ライン、52…点、54…ライン、56…点、58…点、59…曲線、60…核形成層、61…バリヤ層、63…バイア、65…タングステン層、66…曲線、70…層、72…タングステン層、74…基板、76…ウエハ、78…層、80…ボイド、82…領域、84…TiN層、86…層、88…タングステン層、90…中央処理装置、94…ハードディスクドライブ。
Claims (18)
- 処理チャンバ内で基板上にタングステン核形成層を連続堆積させる方法であって、
PH3とB2H6を含む群より選ばれた還元剤を導入するステップと、
タングステン含有化合物を導入するステップとを含む、前記方法。 - 該核形成層がチタン含有層上に形成される、請求項1記載の方法。
- バルクタングステン層が該核形成層上に形成される、請求項1記載の方法。
- 該バルクタングステン層が連続堆積により形成される、請求項3記載の方法。
- 該バルクタングステン層が化学気相堆積によって形成される、請求項3記載の方法。
- 該バルクタングステン層が物理気相堆積によって形成される、請求項3記載の方法。
- 該核形成層と該バルクタングステン層が共通の処理チャンバ内で形成される、請求項3記載の方法。
- 該核形成層と該バルクタングステン層が別個の処理チャンバ内で形成される、請求項3記載の方法。
- 該チタン含有層と該核形成層が別個の処理チャンバ内で形成される、請求項2記載の方法。
- 処理チャンバ内で基板上にタングステン層を堆積させる方法であって、
PH3とB2H6を含む群より選ばれた化合物を含む該基板上に層を吸着させるステップと、
タングステン含有化合物を導入してタングステン層を形成するステップとを含む、前記方法。 - タングステン含有化合物を導入するステップが連続堆積法においてタングステン含有化合物を導入する工程を含む、請求項10記載の方法。
- タングステン含有化合物を導入するステップが化学気相堆積法においてタングステン含有化合物を導入するステップを含む、請求項10記載の方法。
- 吸着した該層がチタン含有層上に形成される、請求項10記載の方法。
- 層を吸着させるステップとタングステン含有化合物を導入するステップが共通の処理チャンバ内で行われる、請求項10記載の方法。
- 層を吸着させるステップとタングステン含有化合物を導入するステップが別個の処理チャンバ内で行われる、請求項10記載の方法。
- 基板用処理システムであって、
処理チャンバを画成している本体と、
該基板を支持するために該処理チャンバ内に配置されたホルダと、
該処理チャンバと流体で連通しているガス分配システムと、
該ガス分配システムと電気的に連通しているコントローラと、
該コントローラとデータで連通しているメモリであって、コンピュータ読取り可能プログラムが埋め込まれたコンピュータ読取り可能媒体を含み、該コンピュータ読取り可能プログラムがPH3とB2H6を含む群より選ばれた還元剤を導入するステップとタングステン含有化合物を導入してタングステン層を形成するステップのための一組の使用説明書を含んでいる、前記メモリとを含む、前記処理システム。 - 該コンピュータ読取り可能プログラムが、該核形成層上にバルクタングステン層を形成するもう一組の使用説明書を含んでいる、請求項16記載の処理システム。
- 第二処理チャンバを画成している第二本体と、
該第二本体と電気的に連通している該コントローラと、
該第二本体内で該バルクタングステン層の形成を制御する該もう一組の使用説明書とを更に含んでいる、請求項16記載の処理システム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US30576501P | 2001-07-16 | 2001-07-16 | |
US60/305,765 | 2001-07-16 | ||
PCT/US2002/022487 WO2003009360A2 (en) | 2001-07-16 | 2002-07-16 | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2004536225A true JP2004536225A (ja) | 2004-12-02 |
JP2004536225A5 JP2004536225A5 (ja) | 2006-01-05 |
JP4705325B2 JP4705325B2 (ja) | 2011-06-22 |
Family
ID=23182239
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003514605A Expired - Fee Related JP4705325B2 (ja) | 2001-07-16 | 2002-07-16 | 表面処理後にタングステンを堆積して膜特性を改善するための方法 |
Country Status (4)
Country | Link |
---|---|
US (3) | US6936538B2 (ja) |
JP (1) | JP4705325B2 (ja) |
TW (1) | TW567544B (ja) |
WO (1) | WO2003009360A2 (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003193233A (ja) * | 2001-08-14 | 2003-07-09 | Tokyo Electron Ltd | タングステン膜の形成方法 |
JP2004273764A (ja) * | 2003-03-07 | 2004-09-30 | Tokyo Electron Ltd | タングステン膜の形成方法 |
JP2007507613A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 |
JP2009024252A (ja) * | 2007-05-15 | 2009-02-05 | Applied Materials Inc | タングステン材料の原子層堆積法 |
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
KR20140089646A (ko) * | 2013-01-03 | 2014-07-16 | 주식회사 원익아이피에스 | 반도체 소자의 금속층 형성 방법 |
KR20200026111A (ko) | 2018-08-31 | 2020-03-10 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 및 성막 시스템 |
JP2020045538A (ja) * | 2018-09-20 | 2020-03-26 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
WO2023037452A1 (ja) * | 2021-09-08 | 2023-03-16 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体 |
Families Citing this family (123)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6596643B2 (en) * | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) * | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7005372B2 (en) * | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7589017B2 (en) * | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040074438A1 (en) * | 2002-10-22 | 2004-04-22 | Taiwan Semiconductor Manufacturing Company | Novel method to reduce resistivity of atomic layer tungsten chemical vapor depositon |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) * | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
EP1616043B1 (en) * | 2003-04-23 | 2020-09-23 | Eugenus Inc. | Transient enhanced atomic layer deposition |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US7754604B2 (en) * | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
KR100528069B1 (ko) * | 2003-09-02 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체 소자 및 그 제조 방법 |
US6924223B2 (en) * | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
KR100578221B1 (ko) * | 2004-05-06 | 2006-05-12 | 주식회사 하이닉스반도체 | 확산방지막을 구비하는 반도체소자의 제조 방법 |
US20050252449A1 (en) * | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US20060019033A1 (en) * | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US8323754B2 (en) * | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US20060153995A1 (en) * | 2004-05-21 | 2006-07-13 | Applied Materials, Inc. | Method for fabricating a dielectric stack |
US20060062917A1 (en) * | 2004-05-21 | 2006-03-23 | Shankar Muthukrishnan | Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane |
KR100602087B1 (ko) * | 2004-07-09 | 2006-07-14 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
US7138337B2 (en) * | 2004-11-30 | 2006-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming tungsten contacts by chemical vapor deposition |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7335594B1 (en) * | 2005-04-27 | 2008-02-26 | Spansion Llc | Method for manufacturing a memory device having a nanocrystal charge storage region |
JP4945937B2 (ja) * | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US20070065578A1 (en) * | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070252299A1 (en) * | 2006-04-27 | 2007-11-01 | Applied Materials, Inc. | Synchronization of precursor pulsing and wafer rotation |
US20070259111A1 (en) * | 2006-05-05 | 2007-11-08 | Singh Kaushal K | Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film |
US7798096B2 (en) * | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
WO2008005892A2 (en) * | 2006-06-30 | 2008-01-10 | Applied Materials, Inc. | Nanocrystal formation |
US7521379B2 (en) * | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
KR100890047B1 (ko) * | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US20090087550A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Sequential flow deposition of a tungsten silicide gate electrode film |
KR100939777B1 (ko) * | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US7772114B2 (en) * | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) * | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
US8058170B2 (en) * | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8551885B2 (en) * | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) * | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
KR101263856B1 (ko) * | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8207062B2 (en) * | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US8859417B2 (en) | 2013-01-03 | 2014-10-14 | Globalfoundries Inc. | Gate electrode(s) and contact structure(s), and methods of fabrication thereof |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9728584B2 (en) * | 2013-06-11 | 2017-08-08 | Micron Technology, Inc. | Three dimensional memory array with select device |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US20170309490A1 (en) * | 2014-09-24 | 2017-10-26 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
KR102397797B1 (ko) * | 2015-05-27 | 2022-05-12 | 램 리써치 코포레이션 | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 |
US9754824B2 (en) * | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9978601B2 (en) | 2015-10-20 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for pre-deposition treatment of a work-function metal layer |
US9972694B2 (en) | 2015-10-20 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition methods and structures thereof |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
CN111095488A (zh) | 2017-08-14 | 2020-05-01 | 朗姆研究公司 | 三维竖直nand字线的金属填充过程 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
CN109576672A (zh) * | 2017-09-28 | 2019-04-05 | 北京北方华创微电子装备有限公司 | 一种原子层沉积方法 |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
SG11202008268RA (en) | 2018-03-19 | 2020-10-29 | Applied Materials Inc | Methods for depositing coatings on aerospace components |
WO2019209401A1 (en) | 2018-04-27 | 2019-10-31 | Applied Materials, Inc. | Protection of components from corrosion |
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
EP3959356A4 (en) | 2019-04-26 | 2023-01-18 | Applied Materials, Inc. | METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
TW202124757A (zh) * | 2019-10-29 | 2021-07-01 | 美商應用材料股份有限公司 | 生長低電阻率含金屬膜之方法 |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
WO2022005696A1 (en) | 2020-07-03 | 2022-01-06 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
US11798845B2 (en) | 2020-10-28 | 2023-10-24 | Applied Materials, Inc. | Methods and apparatus for low resistivity and stress tungsten gap fill |
US11976002B2 (en) | 2021-01-05 | 2024-05-07 | Applied Materials, Inc. | Methods for encapsulating silver mirrors on optical structures |
US20230134230A1 (en) * | 2021-11-01 | 2023-05-04 | Applied Materials, Inc. | Methods and apparatus for tungsten gap fill |
CN114958036B (zh) * | 2022-06-30 | 2023-12-01 | 丰田自动车株式会社 | 一种珠光颜料及其制备方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH05206081A (ja) * | 1992-01-28 | 1993-08-13 | Sony Corp | ドライエッチング方法 |
JPH0689873A (ja) * | 1992-07-24 | 1994-03-29 | Nippon Steel Corp | 化学気相成長による金属薄膜形成方法 |
JPH0794425A (ja) * | 1993-09-24 | 1995-04-07 | Toshiba Corp | 金属薄膜の形成方法および金属薄膜の形成装置 |
JPH08124876A (ja) * | 1994-10-27 | 1996-05-17 | Sony Corp | 高融点金属膜の成膜方法 |
JP2001525889A (ja) * | 1997-05-16 | 2001-12-11 | アプライド マテリアルズ インコーポレイテッド | B▲下2▼h▲下6▼を用いた低抵抗率タングステン |
Family Cites Families (176)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI64878C (fi) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPH0666287B2 (ja) | 1988-07-25 | 1994-08-24 | 富士通株式会社 | 半導体装置の製造方法 |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
JPH0474865A (ja) * | 1990-07-12 | 1992-03-10 | Fujitsu Ltd | 半導体装置の製造方法 |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5526244A (en) | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
KR0167248B1 (ko) | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
US5804488A (en) | 1995-08-24 | 1998-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a tungsten silicide capacitor having a high breakdown voltage |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6335280B1 (en) | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
JPH10308283A (ja) | 1997-03-04 | 1998-11-17 | Denso Corp | El素子およびその製造方法 |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US6348376B2 (en) | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
US6197683B1 (en) | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
JPH11195621A (ja) | 1997-11-05 | 1999-07-21 | Tokyo Electron Ltd | バリアメタル、その形成方法、ゲート電極及びその形成方法 |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
KR100269328B1 (ko) | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
KR100319888B1 (ko) | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
KR100278657B1 (ko) | 1998-06-24 | 2001-02-01 | 윤종용 | 반도체장치의금속배선구조및그제조방법 |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
JP3511577B2 (ja) | 1998-10-06 | 2004-03-29 | 株式会社日立製作所 | 内燃機関のスロットル装置 |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
KR100297719B1 (ko) | 1998-10-16 | 2001-08-07 | 윤종용 | 박막제조방법 |
JP3580159B2 (ja) | 1998-12-18 | 2004-10-20 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6374831B1 (en) | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6218298B1 (en) | 1999-05-19 | 2001-04-17 | Infineon Technologies North America Corp. | Tungsten-filled deep trenches |
US6555183B2 (en) * | 1999-06-11 | 2003-04-29 | Applied Materials, Inc. | Plasma treatment of a titanium nitride film formed by chemical vapor deposition |
US6524952B1 (en) | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6326297B1 (en) | 1999-09-30 | 2001-12-04 | Novellus Systems, Inc. | Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6475276B1 (en) * | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR100304714B1 (ko) | 1999-10-20 | 2001-11-02 | 윤종용 | 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법 |
US6534404B1 (en) | 1999-11-24 | 2003-03-18 | Novellus Systems, Inc. | Method of depositing diffusion barrier for copper interconnect in integrated circuit |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
AU2001245388A1 (en) * | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US6274484B1 (en) | 2000-03-17 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US6482733B2 (en) * | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
KR100403611B1 (ko) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | 금속-절연체-금속 구조의 커패시터 및 그 제조방법 |
KR100647442B1 (ko) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | 원자층 증착법을 이용한 박막 형성방법 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
EP2293322A1 (en) * | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
KR100387255B1 (ko) | 2000-06-20 | 2003-06-11 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
KR100332313B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
KR100444149B1 (ko) | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
KR100630666B1 (ko) | 2000-08-09 | 2006-10-02 | 삼성전자주식회사 | 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법 |
KR100396879B1 (ko) | 2000-08-11 | 2003-09-02 | 삼성전자주식회사 | 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법 |
US6903005B1 (en) | 2000-08-30 | 2005-06-07 | Micron Technology, Inc. | Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US20020197402A1 (en) | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020073924A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076481A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR20020049875A (ko) | 2000-12-20 | 2002-06-26 | 윤종용 | 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법 |
KR20020056260A (ko) | 2000-12-29 | 2002-07-10 | 박종섭 | 반도체 소자의 금속 게이트 형성방법 |
US20020086111A1 (en) | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100400033B1 (ko) | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법 |
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
KR100395766B1 (ko) | 2001-02-12 | 2003-08-25 | 삼성전자주식회사 | 강유전체 기억 소자 및 그 형성 방법 |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
FI109770B (fi) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100447031B1 (ko) * | 2001-03-23 | 2004-09-07 | 삼성전자주식회사 | 텅스텐 실리사이드막의 형성방법 |
US6369430B1 (en) | 2001-04-02 | 2002-04-09 | Motorola, Inc. | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6420189B1 (en) | 2001-04-27 | 2002-07-16 | Advanced Micro Devices, Inc. | Superconducting damascene interconnected for integrated circuit |
US6447933B1 (en) | 2001-04-30 | 2002-09-10 | Advanced Micro Devices, Inc. | Formation of alloy material using alternating depositions of alloy doping element and bulk material |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) * | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6548906B2 (en) | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6806145B2 (en) * | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
WO2003025243A2 (en) * | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
KR20030025494A (ko) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US6960537B2 (en) * | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP3891817B2 (ja) * | 2001-10-19 | 2007-03-14 | 本田技研工業株式会社 | 変速機 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6423619B1 (en) | 2001-11-30 | 2002-07-23 | Motorola, Inc. | Transistor metal gate structure that minimizes non-planarity effects and method of formation |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20030123216A1 (en) * | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6576565B1 (en) * | 2002-02-14 | 2003-06-10 | Infineon Technologies, Ag | RTCVD process and reactor for improved conformality and step-coverage |
US20030157760A1 (en) | 2002-02-20 | 2003-08-21 | Applied Materials, Inc. | Deposition of tungsten films for dynamic random access memory (DRAM) applications |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US20030203616A1 (en) | 2002-04-24 | 2003-10-30 | Applied Materials, Inc. | Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
KR100476926B1 (ko) | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US6955211B2 (en) * | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US7300038B2 (en) | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
JP4188033B2 (ja) * | 2002-08-30 | 2008-11-26 | 本田技研工業株式会社 | 油圧緩衝機の取付構造 |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
JP5342110B2 (ja) | 2003-05-27 | 2013-11-13 | アプライド マテリアルズ インコーポレイテッド | 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法 |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US20070020890A1 (en) | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
-
2002
- 2002-07-15 US US10/196,514 patent/US6936538B2/en not_active Expired - Fee Related
- 2002-07-16 JP JP2003514605A patent/JP4705325B2/ja not_active Expired - Fee Related
- 2002-07-16 WO PCT/US2002/022487 patent/WO2003009360A2/en active Application Filing
- 2002-07-16 TW TW091115883A patent/TW567544B/zh not_active IP Right Cessation
-
2005
- 2005-05-17 US US11/130,515 patent/US7238552B2/en not_active Expired - Lifetime
-
2007
- 2007-06-26 US US11/768,647 patent/US7749815B2/en not_active Expired - Fee Related
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH05206081A (ja) * | 1992-01-28 | 1993-08-13 | Sony Corp | ドライエッチング方法 |
JPH0689873A (ja) * | 1992-07-24 | 1994-03-29 | Nippon Steel Corp | 化学気相成長による金属薄膜形成方法 |
JPH0794425A (ja) * | 1993-09-24 | 1995-04-07 | Toshiba Corp | 金属薄膜の形成方法および金属薄膜の形成装置 |
JPH08124876A (ja) * | 1994-10-27 | 1996-05-17 | Sony Corp | 高融点金属膜の成膜方法 |
JP2001525889A (ja) * | 1997-05-16 | 2001-12-11 | アプライド マテリアルズ インコーポレイテッド | B▲下2▼h▲下6▼を用いた低抵抗率タングステン |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003193233A (ja) * | 2001-08-14 | 2003-07-09 | Tokyo Electron Ltd | タングステン膜の形成方法 |
JP2004273764A (ja) * | 2003-03-07 | 2004-09-30 | Tokyo Electron Ltd | タングステン膜の形成方法 |
JP2007507613A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 |
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
JP2009024252A (ja) * | 2007-05-15 | 2009-02-05 | Applied Materials Inc | タングステン材料の原子層堆積法 |
KR20140089646A (ko) * | 2013-01-03 | 2014-07-16 | 주식회사 원익아이피에스 | 반도체 소자의 금속층 형성 방법 |
KR101971547B1 (ko) * | 2013-01-03 | 2019-04-24 | 주식회사 원익아이피에스 | 반도체 소자의 금속층 형성 방법 |
KR20200026111A (ko) | 2018-08-31 | 2020-03-10 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 및 성막 시스템 |
US11401609B2 (en) | 2018-08-31 | 2022-08-02 | Tokyo Electron Limited | Film forming method and film forming system |
JP2020045538A (ja) * | 2018-09-20 | 2020-03-26 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP7233188B2 (ja) | 2018-09-20 | 2023-03-06 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
WO2023037452A1 (ja) * | 2021-09-08 | 2023-03-16 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体 |
Also Published As
Publication number | Publication date |
---|---|
JP4705325B2 (ja) | 2011-06-22 |
TW567544B (en) | 2003-12-21 |
WO2003009360A3 (en) | 2003-10-30 |
WO2003009360B1 (en) | 2003-12-04 |
US20050208763A1 (en) | 2005-09-22 |
US7749815B2 (en) | 2010-07-06 |
US20030013300A1 (en) | 2003-01-16 |
WO2003009360A2 (en) | 2003-01-30 |
US20080014724A1 (en) | 2008-01-17 |
US7238552B2 (en) | 2007-07-03 |
US6936538B2 (en) | 2005-08-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4705325B2 (ja) | 表面処理後にタングステンを堆積して膜特性を改善するための方法 | |
US6551929B1 (en) | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques | |
JP5021123B2 (ja) | 順次堆積技術を使用して耐熱金属層を堆積させ核生成層を形成させる方法及び装置 | |
US6849545B2 (en) | System and method to form a composite film stack utilizing sequential deposition techniques | |
US7867896B2 (en) | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor | |
KR100487639B1 (ko) | 반도체소자의 금속배선 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050620 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050714 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080924 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081218 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090421 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090716 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100105 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100402 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100803 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100914 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101115 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101209 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20110209 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20110311 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4705325 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |