TW457215B - Apparatus for storing and moving a cassette - Google Patents

Apparatus for storing and moving a cassette Download PDF

Info

Publication number
TW457215B
TW457215B TW088120545A TW88120545A TW457215B TW 457215 B TW457215 B TW 457215B TW 088120545 A TW088120545 A TW 088120545A TW 88120545 A TW88120545 A TW 88120545A TW 457215 B TW457215 B TW 457215B
Authority
TW
Taiwan
Prior art keywords
crystal box
loading
crystal
item
scope
Prior art date
Application number
TW088120545A
Other languages
English (en)
Inventor
Ilya Perlov
Evgueni Gantvarg
Victor Belitsky
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW457215B publication Critical patent/TW457215B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)

Description

4572 1 5 A7 ___B7 經濟部智慧財產局員工消費合#-社印製 五、發明説明() 發明領域 本發明係有關於一種基板處理設備,特別是一種存放 與移動晶盒之設備。 發明背景: 半導體元件係形成於諸如矽晶圓或是玻璃平板之類 的基板上,以供電腦、監視器或其他類似的東西使用。這 些元件係依一序列的製程步驟所形成的,例如绰膜沉積、 氧化或氮化、蝕刻、熱處理以及微影等步驟β雖然可能可 以單一處理機台(station)進行多個製程步驟,但是,至.少 在某些製租步驟中必須將基板轉移至不同的處理機台。 在轉移基板至不同的處理機台時,基板係儲存於—晶 盒(cassette)之中,雖然可以人工攜帶的方式將晶盒轉移至 不同的處理機台,但一般是以自動化的設備將其轉移β例 如,將晶盒自處理機台轉移至一自動導引載具(aut〇matic guide vehicle ; AGV),然後再以一機械手臂將晶盒由自動 導引載具卸載至處理機台的裝載平台上。接著,以另一機 械手臂自晶盒中抽取出一基板,然後將該基板移至一處理 機台的處理腔體中。當此製程步驟完成之後,再將此基板 裝回晶盒中。當所有基板皆處理完畢並裝回晶盒之後,再 以AGV將此晶盒自裝載平台卸下以轉移至另一處理機 台。 為確認該處理設備並未處於閒置狀態,將基板以幾乎 連績的方式供給至該處理設備是吾人想要的。然而,不幸 ------:------ {诗先閲請背面之注意事項再填寫本頁) 張 紙 本 Μ \J* Ns 6 準 標 家 國 國 T 用 通 7 9 2 Α7 Β7 4572 1 5 五、發明説明() (請先閲請背面之注項再填寫本頁) 的是’許多處理機台的載入平台(l〇ading platf〇rm) 一次僅 能裝載一個晶盒,因此,一旦該晶盒中所有的基板皆已處 理完畢之後’就必須迅速地以人工或是AGV將原來的晶 益抽換成含未處理基板的另一晶盒》然而,不幸的是,操 作一即時晶盒存貨系統(cassette inventory system)時,操 作者需要仔細地監督(oversight)或是需要許多的agV,因 此’製造成本也隨之增加了。 因此’亟需一種可連績供給晶盒至處理機台的設備與 方法’以便降低或是減少機台的間置時間(d〇wii time)。 發明目的及概述: 經濟部智慧財產局員工消費合it·社印製. 本發明提供一種可將多個晶盒儲存於一處理機台中 之設備與方法,以幾乎連續的方式供給待處理之.基板,使 處理機台不至於閒置。本發明可將多個晶盒儲存於_處理 機台的前端補給框架上’並且可將其中一晶盒移至裝卸機 σ 於該裝卸機台處將基板抽離或是移入一處理機台。— 自動系統固定或是設置於該框架上,用以於裝卸機台與處 理機台之間移轉晶盒。該設備並不會增加處理機台的底面 積(例如將該設備置於無塵室地板上時所需之底面積)。本 發明之另一目的係在不使用AGV的情沉下於不同處理機 台間移轉晶盒。 在本發明中’所提供之設備包含—前端框架,其具有 一個或多個基板移轉機台以及多個晶盒儲存台。將—自動 移轉組件緊鄰該移轉機台設置是較佳的。並且,將該自動 期耳.. ET E /A. < έ Λ. J- s " Α7 Β7 4572 1 5 五、發明説明() 移轉組件設置於不同機台之間,可在不使用人工或是AGV 的情況下於不同處理機台間移轉晶盒。 本發明之另一目的係提供—種對處理機台連績供給 晶盒之方法。該方法包含:提供至少一個移轉機台以及多 個儲存台,於移轉機台與儲存台之間移轉晶盒,以確保晶 盒係連績地被供給至該處理機台a並且,本發明亦提供一 種不需使用人工或是AGV,而可於不同處理機台間移轉晶 盒使製程連貫之方法。 圖式簡單說明: 第1圖為本發明之處理機台的結構俯視圖。 第2圖為一儲存數個基板之晶盒的結構俯視圖β 第3圖為本發明之晶盒儲架的結構俯視圖。 第4Α圖為第3圖之晶盒儲架的結構前視圖。 第4Β圖為第4Α圖之晶盒儲架的結構前視圖,其中具有複 數個晶盒。 第5 Α圖為第3圖之晶盒儲架的結構侧視囷。 第5B圖為第4A圖之晶盒儲架的結構側視囷,其中具有複 數個晶盒。 第6圖為裝卸機台上之抓取器的結構俯視圖β 第7Α、7Β以及7C圖係用以說明抓取器如何將晶盒舉起 及移動= 第8Α以及8Β圖為晶盒儲架之結構前視圖,用以說明抓取 器將晶盒於載入平台與裝卸機台之間移動的路 本紙張尺度適用中國國家標準(CNS ) Α4规格(210)<297公釐) I--------^.-^-装一^-- (請先閲讀背面之注意事項再填寫本頁) •Ρ 經濟部智慧財產局員工消費合价社印製· A7 B7 4572 1 5 五、發明説明() 徑。 (請先閲讀背面之注意事碩再填寫本頁) 第9圖為裝卸機台與晶盒儲存台藉由一跨機台移轉機構而 連結之結構前視圖β 第10圖為本發明晶盒儲存台的另一實施例。 圖號對照說明: 10 基板 20 半導體處理機台 22 前端裝載區域 24 載入及儲存區域 26 無塵室牆板 28 無塵室 30 灰色區域 32 處理系統 34 載鎖腔體 36 中央轉換腔體 38 處理腔體 39 機械手臂 40 裝卸機台 42 裝卸平台 44 可動門 46 開口 48 晶圓抓取臂 50 晶盒儲架 52 晶盒載入平台 54 支撐卡榫 56 自動晶盒移動裝置 58 掛架 60 框架 62a-62h 支撐擱板 64 支撐卡榫 66 護攔 72 抓取器 74 支撐桿 76 橫向滑塊 78 水平導軌 80 水平螺桿 82 水平驅動馬達 84 .垂直滑塊 86 垂直導軌 87 垂直螺桿 經濟部智慧財產局員工消費合作社印製- 第5育 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 經 濟 部 智 慧 財 產 局 員 工 消 合 社 印 製 A7 B7 五、發明説明 88 #直驅動馬達 90 勾型指狀部件 92 矩形缺口 94 内緣 100 晶索 102 狹槽 103 出入口 104 晶盒門 106 前端平坦面 108 凹痕 110 底面 112 握把 114 側邊 116 凸緣 117 基部 118 上表面 119 底面 120 跨機台移轉裝置 122 頂置支撐橫樑 124 輸送臂 126 滑板 127 導軌 128 抓取器 130 虫累捧 132 水平驅動馬達 134 氣動裝置 132 水平驅動馬達 發明詳細說明: 在下列的描述中,"基板"一詞係泛指任何能在半導體 設備處理系統令進行處埋之物。例如,該"基板"一詞包括 半導體晶圓、平板顯示器、玻璃平板或是媒片,以及塑膝 部件- 第一圖係本發明之半導體處理機台2〇的俯視圖’一 個或多個基板10於該機台處理中進行處理。該處理機台 20具有一前端裝載區域22,並以一無塵室牆板26將該前 端裝載區域22與一載入及儲存區域24隔離。該無塵室牆 本紙張尺度適用中國國家標準(CMS ) A4規格(210X297公资) 广请先Έ读背齒之注意事續真填寫本
經濟部智慧財產局員工消費合作社印製 4572 1 5 A7 B7 ~ ----... ___ 五、發明説明() 板26將無塵室28(載入及儲存區域24位於其中)與灰色區 域(一處理系統32被其所包覆)隔離開來。該載入及儲存區 域24即為將基板之晶盒傳遞、裝載入/卸載出該處理機台 20之處。處理系統32可能包含一個或多個載鎖腔體(i〇ad lock Chamber)34、.中央轉換腔髏(⑽加丨uansfer Chamber)36以及複數個處理腔髏38β在處理腔體38中的 基板會經歷數種製程步驟,例如薄膜沈積、氧化、氮化、 蝕刻、熱處理或是微影等步驟。為簡化起見,描燴於第一 圖之該處理系統及該前瑞裝載區域僅為一示意之表示。該 處理系統可僅為單一的處理腔體,或是一不含任何處理腔 體之裝置’例如化學機械研磨機等等。並且,該處理系統 亦可用以量測,而不是僅能執行製程步驟。 請參閲第2圖,以晶盒100將一個或多個基板1〇攜 至處理機台之該載入及儲存區域24«藉由狹槽(sl〇t ridge)102,基板10可以大致水平平行的方式置於晶盒100 中。基板10可經由一出入口(entry port) 1 03裝入或移出該 晶盒100,並且該出入口 103係位於該晶盒的前端平坦面 106 - —可動式晶盒門1 〇4位於該出入口 103處,以便使 基板於機台之間移動,或置於機台之外時不受外界汙染。 每一晶盒100均包含三個柱狀的凹痕(indentation)108,其 形成於該晶盒1 00之底面1 1 0,但在第2圖中僅以虛線:表 示兩個看得見的凹痕108。當該·晶盒1〇〇置於處理機台中 時,會有三個支撐卡榫與該凹痕.108契合以支撐該晶盒 100。兩個握把112(在此立體圖中僅顯示一個)凸起於該晶 ____Π----- 本紙張尺皮適用中國國家標準(CNS ) A4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁)
4572 1 5 Α7 _ Β7 五、發明説明() 盒100的側邊1M上,用於人工攜帶用。一外觀大致為矩 形之凸緣(flange)116凸起於該晶盒的上表面118,並 且該凸緣具有一 L型之剖面。處理機台之自動晶盒移動裝 置(請參見以下之說明)可藉由該凸緣116操縱該晶盒。 請參閱第1及3-5B圖,處理機台2〇之載入及儲存區 域24包含一晶盒載入平台52、兩個晶盒裝卸機台或是基 板移轉台40(分別位於該載入平台52兩侧)、以及晶盒儲 架或是儲.存台5〇(在第一圖中僅顯示其部份,以至於可看 見基板移轉台)》其中該晶盒儲架係用以儲存處理機台之 晶盒,或疋將晶盒移至/移出該載入平台52及移轉台40。 可以人工或是藉由AGV將晶盒移至或是移出於該载 入平台52。自載入平台52移出之後,該晶盒可移入—個 或多個基板移轉台40’或是晶盒儲架載入平台52與 晶盒有大致相同的週邊外觀。上述三個支撐卡榫54( 圖中僅顯π兩個)垂直地凸起於載入平台52上,其與晶盒 底面之凹痕1⑽契合之後,可支撐晶盒於載入平台52之 上。 為由經無塵宣牆板移轉基板,晶盒1〇〇(第1圖之虚線 部份)被置於一個或兩個裝卸機台4〇上,每一裝卸機台4〇 包含一裝卸平台(docking Platf0rm)42、開口 46、一可動門 44以及一門鎖解除機構。其中,裝卸平台42係用以支撐 該晶盒;開口 46形成於無塵室牆板26中,當未以開口 46 移轉基板或是晶盒未置於裝卸平台42之上時以該可動 門44封閉開口 46 ;門鎖解除機構係用以開啟該晶盒門 t if ^ ( CNS ) Α4^Μ· ( 210 X 291^^ ) -~~ -- (請先閲讀背面之注$項再填寫本頁) •裝· I訂 經濟部智慧財產局員工消費合作社印製. A7 B7 4572 1 5 五、發明説明() 1 04,並且使晶盒門1 04縮入至前端裝載區域22中,以便 使基板可以被取出。關於裝卸機台40之說明可參見申請 號0 9/0123 23之美國專利’其名稱為,,a WAFER CASSETTE LOAD STATION",申請日為1998年1月23日,申請人 為Eric A. Nering ’並且由本案之代理人代理之。此篇專 利之全部揭示已被本案引入作為參考文獻。 晶盒儲架50包含一框架(frame) 60以及一自動晶盒移 動裝置.56。其中,框架60用以支撐一個或多個掛架 (rack) 5 8(第一圖未顯示,所以可看見裝卸機台);自動晶盒 移動裝置56用以使晶盒100於掛架、載入平台以及裝卸 平台之間相互輸送;框架係栓於無塵室28的地板上,並 且/或是牢固於無塵室牆板26上。該框架60至少部份低於 装卸平台42及晶盒載入平台52,以便使該處理機台20 的底面積(footprint)不至增加。 掛架58可包含位於數個裝卸機台40之上並由支撐搁 板(shelve)所構成之垂直柱狀物(column)51例如,每一該柱 狀物包含一個、兩個或三個個別的支撐擱板a因此,第3-5b 圖所示之掛架58可提供一儲放六個晶盒1 00之空間,其 為如第4B圖所示之支撐擱板62a-62h。每一支撐擱板(例 如支撐擱板62 a)可能是一水平方向的托盤,,其與晶盒100 有大致相同的週邊形狀(perimeter shape)。三個‘撑卡榫 64(但僅顯示由第圖之側視所見的兩個)垂直凸起於支 撐擱板上,並且位於晶盒底面可與凹痕1〇8契合之處。以 兩個垂直方向的護欄66使每一支撐擱板62 a-62h的外緣均 本紙張尺度適用中國,國家標準(CNS >A4規格(210X297公釐) ji—---^裝— (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合体社印製 457215 A7 B7 經濟部智慧財產局員工消費合作社印製. 五、發明説明() 固定於框架60上,並且,可將支撐擱板固定於無塵室牆 板上以增加額外的支播。 自動晶盒移動裝置56係用以使晶盒100於支撐搁 板、載入平台以及裝卸平台之間移動。此自動晶盒移動裝 置56包含一可垂直移動之抓取器(end effector)72,其附 於一可水平移動之支撐桿(strut)74。此支轉桿(strut)74使 抓取器72可在平行於無塵室牆板26之平面上任意地水平 移動。支撐桿74的底部固定於一橫向滑塊(slider)76上, 此滑塊76可沿著一水平導軌78水平移動,並且此水平導 轨78係框架60之部份組件或是裝設於框架60之上。此 水平滑塊76可藉由一水平螺桿(lead serew)80的帶動下由 一侧移至另一侧,並且該水平螺桿8 0係由一水平驅動馬 達82所轉動,且該水平驅動馬達82可固定於框架60之 上。同樣地,抓取器72可附設於一垂直滑塊84上,此垂 直滑塊84可沿著一垂直導軌86做垂直方向的滑動,並且 此垂直滑塊84係支撐桿74之部份組件或是裝設於支樓桿 74之上。在垂直螺桿87(以第4A至5B圖之虛線表示)的 帶動下’垂直滑塊84可上移或下移,而該垂直螺桿87則 是被一垂直驅動馬達88所轉動,並且此垂直驅動馬達88 係被該橫向滑塊76所支撐。該水平驅動馬達82以及垂直 驅動馬達8 8受控於一控制系統(未顯示),例如一可程式化 之數位電腦,以其帶動抓取器72的水平及垂直運動。驅 動抓取器72之促動器(actuator)包含數個步進馬達、氣動 裝置以及其他已知可控制促動的裝置。並且,亦可以一皮 笫10頁 (請先閩讀背面之注意事項再填寫本頁) .裝·
11T i- ί -
J
經濟部智慧財產局員工消費合作社印製 五、發明説明() 帶驅動組件以及其他機構驅動滑塊的水平及垂直運動。 請參閲第6圖,抓取器72水平地突出於該支撐桿74 外’並且朝向無塵室牆板26,此抓取器72包含一大致平 直的勾型指狀部件90,其形成一開口朝向抓取器72之一 側的矩形缺口 92。藉由此矩形缺口 92,該抓取器72可與 晶盒的_部份嚜和。 請參閱第7A圖,為移轉晶盒1 〇〇,該抓取器72係位 於凸緣116與晶盒1〇〇之上表面us.間的垂直位置中。請 參閲第7B圖,該抓取器72以一橫向移動使凸緣116的基 部1 1 7卡入該矩形斂口 92之中"最後,請參間第7尤圖’ 抓取器72垂直上移,使其内緣94與凸緣116的底面119 接觸以便將該晶盒舉起。然後移動該抓取器72以將該晶 盒攜至其他支撐擱板或是平台。 請參閲第8A圖,可將晶盒由載入平台52移至一支撐 擱板’例如支撐搁板62c ^藉由載入平台52處的支撐桿 74,可將晶盒1〇〇裝載至載入平台52上,或者是以人工 或是藉由AGV的方式裝載至載入平台52之上。為將該晶 盒自該載入平台52舉起,該抓取器72須位於晶盒之左 側、以及介於晶盒上表面118與凸緣116下表面之間的垂 直高度。接著,該支撐桿74向右移動(如虛線A所示)直 到該抓取器72與凸緣116嚙和。然後,該抓取器72上移 (如虚線B所示)以將晶盒自該載入平台52上舉起。為將 晶盒移至支撐擱板的其中之一上(.例如支撐搁板62c),該 抓取器72繼續將晶盒上升直到晶盒對準支撐搁板62c的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (请先閲讀背面之注意事項再填寫本頁)
45721 5 A7 B7 五、發明説明() I------— ~裝-- (請先閲讀背面之注意事碩再填寫本頁) 上方’並且保有一足夠的垂直空隙使晶盒之底面可水平地 移至支撐卡榫64之上(如虛線c所示)為止。然後,該支 撐桿74再左移使晶盒位於支撐擱板之上。接著,該抓取 器72下降(如虛線D所示)直到該晶盒落於支撐卡榫64之 上為止。此後,為抽回該抓取器72,該抓取器72向左動 移直到脫離凸緣為止’然後’其繼績在凸緣上方與支撐摘 板底部之間向上向右移動(如虛線E所示)。 請參閱第8B圖’將上述步驟以相反順房進行之,即 可將晶盒自支樓摘板62c上移除。特別是,抓取器72在 凸緣上方與支撐擱板62b底部之間左移(如虛線ρ所示), 向下移動’直到抓取.器72位於晶盒上表面與凸緣底面之 間的垂直位置為止(如虛線G所示)。之後,抓取器72向 右移動’直.到抓取器7 2與凸緣嚷和為止(如虛線η所示)。 接著,該抓取器72上升以便將晶盒自支撐擱板上舉起。 然後,該抓取器72右移以便將晶盒攜至掛架之間的垂直 通道(如虛線I所示)。至此位置之後,該晶盒即可上下左 右地移動至另一支撐搁板、裝卸平台或是載入平台Β 經 濟 部 智 憨 財 產 局 員 工 消 費 合 作- 社 印 製 在第7Α-8Β圖所述之實施例中,抓取器72之勾型指 狀部件90係向右勾所以其缺口朝右β當然,若勾型指狀 部件90向左勾則缺口將會朝左’並且相關之横向運動(抓 取器· 72與晶盒之嚙和與脫離)也必須反向。例如,將晶盒 自支撐擱板舉起時’抓取器72必須位於晶盒之右侧並且 向左移動以與該凸緣11和。 在操作時’以人工或是藉由AGV的方式,可輸送一 本紙張尺度適用中國國家標準(CNS ) Α4規格(210ΧΖ97公釐) A7 B7 457215 五、發明説明() 晶盒1 00至處理機台20然後置於載入平台52。該自動晶 盒移動裝置56將晶盒自載入平台52輸送至裝卸機台4〇, 該裝卸機台之可動門44對準晶盒前端平坦面,然後將可 動門44以及晶盒門1〇4縮回,以便使出入口 ι〇3與無塵 室赌板26之開口 46緊密配合。位於前端裝載區域22之 晶圓抓取臂48由.開口 4 6將基板自晶盒中抽出,然後將基 板送入至載鎖腔體(load lock chamber)34。位於中央轉換 腔禮36中之機械手臂39再將基板由載鎖腔體,34移至處 理腔體。當製程步驟完畢之後’晶圓抓取臂48自載鎖腔 體抽回基板’然後由開口 46將基板送回晶盒1〇〇中。一 旦所有的基板皆處理完畢之後’晶盒門即關閉,晶盒被送 回掛架58或疋載入平台52’並且另一個裝有待處理基板 之新晶盒又被載入至裝卸機台。 請參閱第9囷’一跨機台移轉裝置丨2〇可使晶盒於相 鄰之處理機台20’與20”間相互移轉,因此不再需要人工 搬運或是使用數台AGV=該跨機台移轉裝置12〇包含一頂 置支撐橫樑(overhead support beam) 122,其固定於兩相鄰 晶盒儲架50與50”的護攔66’與66"上。一附於滑板126 上之輸送臂124可沿著導軌127水平地移動,並且該導軌 127可為支撐橫樑122的部份組件或是附於其上β該滑板 126以一嫘桿130帶動之,並且該螺桿13〇係被—水平驅 動馬達132所轉動《—抓取器丨28藉由一氣動裝置 (pneumatic actuator) 134連結至該輸送臂! 24,以提供該抓 取器128 —短且垂直之往復行程運動(strike)。 _:___第 13 育____一 本纸張纽適用中@國家擦準(CNS )八4麟(21QX297公釐)~~~ 一 ---------〇裝— (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合$社印製 457215 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 為達成於相鄰處理機台間輸送晶盒之目的’以一自動 晶盒移動裝置56,將晶盒移至位於右手邊上方的支撐擱 板,例如晶盒儲架50'之支撐擱板62e*。抓取器128於凸 緣116上方處水平移動,然後下降至位於凸緣116底部與 晶盒上方之間的垂直位置。接著,抓取器128向右移動直 到其與晶盒凸緣嚆和為止。然後,抓取器128將晶盒自支 撐擱板62e’上舉起,此時水平驅動馬達帶動輸送臂124向 右移動,直到晶盒位於左手邊上方之晶盒儲架50”的支撐 擱板62a”上方為止。最後,該抓取器128將晶盒降下至支 撐擱板62a"上,然後該抓取器128抽回。 該跨機台移轉裝置120可提供一"使晶盒於相鄰數台 處理機台間之相互移轉極度簡化之方法"。當其中—處理 機台為量測機台時,該方法更特別適用,因為藉由該跨機 台移轉裝置120’不須在處理機台中内建一量測機台即可 進行量測’而且不須使用工廠自動化系統。 雖然所示範之晶盒儲架50’與50”係緊鄰於同一無塵 室牆板,但是該跨機台移轉裝置120亦可包含一轉動機 構,該轉動機構使得不同支撐橫樑之抓取器間相互傳遞晶 盒(handoff)成為可能。亦即,處理機台20,與20”可為中心 環繞配置,或是設於無塵室攝板之相反兩侧β 上述之實施例包含兩個位於裝卸機台42上方的掛架 58,以及位於兩裝卸機台42之間的晶盒載入平台52。將 六個支撑搁板62a-62h設置於兩個裝卸機台42之上是於佳 的》在確信以上述配置可在有限空間内提供最高的基板生 第U頁 木紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公兹) f請先閱讀背面之注意事項再填寫本頁) I--^丨訂----
H 1 H V
P 4572 1 5 A7 B7 經 濟 部 智 慧' 財 產 局 五、發明說明( 產力之後’本發明亦可僅包含單一個裝卸機台,其具有 個或多個鄭接該裝卸機台之支撐擱板。第10圖係本發这 之單一個裝卸機台實施例的透視圖,其上具有單一個裳去 機台以及二個支撐摘板62 a· c。為表示僅使用一個支护!糊 板62a,故圖中之支撐擱板62b-c以虛線表示β組成元件 仍沿用與前述實施例相同之圖號。 可將基板載入至該系統,不論是裝卸機台、任—個支 撐擱板或是晶盒載入平台52 〇該晶盒載入平台52可設置 於鄰接一支撐擱板處或是裝卸機台。其他實施例中所述之 基板移動裝置亦使用於此單一裝卸機台的實施例中,並且 除支撐擱板係成單一堆疊配置外,其餘均以相同方式操作 之。 以上所述之每一實施例均對裝卸機台上方的可用空 間加以利用,因此欲增加該系統的儲存能力時,不需增加 底面積(系統所占地板空間的面積)。並且,製程系統可 採用本發明之任—種組態(包含支撐擱板緊鄰於裝卸機台 之組態)。最好晶盒移動裝置亦緊鄰於支撐搁板以及裝 卸機台,以便有效地於於兩者間搬運晶盒。 本發明雖已以數個實施例說明之,然而,本發明並未 限定於上述之實施例,相反地,本發明應以專利申請範園 定義之。 (請先閱讀背面之注意事項再填寫本頁) V*裝 訂V-- 消 作 社 印 製 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐)

Claims (1)

  1. 4572 1 5 A8B8C8D8 六、申請專利範
    1. 一種晶盒儲壤簿匕備,該設備至少包含: 複數個晶搁板;以及 一晶盒移動,用以於該晶盒儲存摘板與一裝卸 機台之間搬運晶盒,該晶盒移動裝置包含—支撐元件以 及一抓取器(end effector),其中該支撐元件係設置於該 晶盒儲存擱板之前,並且該支撐元件可在一平行該晶盒 儲存擱板之路徑上移動,該抓取器係用以與該晶盒嘴 合,並且係以可移動之方式連結於該支撐元件。 2.如申請專利範圍第1項所述之設備’其中上述晶盒儲存 擱板係緊鄰於一無塵.室嫱板設置。 ’3.如申請專利範圍第2項所述之設備’其中上述晶盒儲存 擱板係設置於上述裝卸機台之上° 4.如_請專利範圍第1項所述之設備,更包含一框架 (frame),用以支撑上述晶盒微存擱板以及上述支撐元 件。 (請先閱讀背面之注意事項再填寫本頁) 裝 ----^訂 ί--- Λτ 經濟部智慧財產局員工消費合作社印製 5, 如申請專利範圍第 上係低於上述裝卸 6. 如_請專利範圍第 賓所述之設備’其中上述框架大致
    所述之設備’其中上述抓取器係 以可滑動之方式聯結於上述框孥 笫仂耳... 本紙張尺度適用中國國家標準(CNS)A4規格(21〇x 297公釐) A8B8C8D8 457215 、申請專利範圍 7.如申請專利範圍第6項所述之設備,其中上述抓取器係 連結於一第一滑塊,該第一滑塊係以可潸動之方式與第 一垂直導軌嚙合。 8,如申請專利範圍第7項所述之設備’其中上述支撐尤件 係連結於一第二滑塊,該第二滑塊係以外滑動之方式與 第二水平導軌嚙合,並且該第二水平導軌係連結於上述 框架。 9. 如申請專利範圍第8項所述之設備’更包含/第一螺桿, 該第一螺桿與上述第一滑塊以及一第一驅動馬達嚙合, 該第一驅動馬達係用以轉動該第一螺桿,以及驅動上述 第一滑塊沿著上述第一導軌垂直地移動上述抓取器。 10. 如申請專利範圍第9項所述之設備’更包含一第一螺 桿,該第二螺样與上述第二滑塊以及一第二驅動馬達嚙 合,該第二驅動馬達係用以轉動該第二螺桿,以及驅動 上述第二滑塊沿著上述第二導軌水平地移動上述支撐元 件以及上述抓取器, 11 ·如申請專利範圍第丨項所述之設備,其中上述晶盒儲存 搁板係以成垂直列(column)之方式配置於上述裝P機13 上方。 _第 17頁 一;------— ί適用中國國家標準(CNS)A4規格(210 x297公釐) (請先閲讀背面之注意事項再填寫本頁) i a— n n n l t— n n 經濟部智慧財產局員X消費合作杜印製 4572 V5 A8 B8 C8 D8 六、申請專利範圍 12.如申請專利範園第1項所述之設備,其中上述抓取器包 含一勾型指狀部件,用以與晶盒上表面之凸緣的底面嘴 合。 1 3 ·如申請專利範圍第1項所述之設備,其中上述晶盒儲存 搁板包含複^固卡榫,該卡榫垂直突起於上述晶盒儲存 摘板之上’ 與晶盒底部相對應之凹陷嚙合。 1 4 一種晶盒儲,該設備至少包含 複數値晶盒綱板,該晶盒儲存搁板係緊鄰於一 牆·板’並JL位於複數個裝卸機台之上;以及 一晶盒移動裝置,用以於該晶盒儲存擱板與該裝卸 機台之間搬運晶盒,該晶盒移動裝置包含一支撐元件以 及一抓取器(end effector),其中該支揮元件係設置於該 晶盒儲存搁板之前,並且該支撐元件可在一平行該播板 之路徑上移動,該抓取器係用以與該晶盒嚙合,並且係 以可移動之方式連結於該支撐元件。 I 5 .如申請專利範圍第14項所述之設備,其中上述晶盒儲 存搁板係成直列(column)配置於每一裝卸機台之上。 1 6.如申諳專利範園第14項所述之設備,其中上述相鄰晶 盒儲存搁板所成之直列係被一垂直通道所隔離,旅且上 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝· 1 訂 ί--------^ο·. .經濟部智慧財產局員工消費合作社印製 5 5 4
    申請專利範圍 述晶盒移動裝置藉由該垂直通道垂直地將一晶盒搬運至 —選定之晶盒儲存擱板,以便將該晶盒置於鄰近該選定 之晶盒儲存搁板的上方,然後水平地將該晶盒置於該選 定之晶盒儲存掷板的上方, 1 7. —種製造系統,至少包含: 一第一處埋機台,用以執行第一製程步驟於一基板 上,該第一處理機台包含第一裝卸機台、複數個第一晶 盒儲存搁板以及第一晶盒移動裝置,其中該第一晶盒儲 存搁板係位於該第一裝卸機台之上,該第—晶盒移動裝 置係用以於該第一晶盒儲存搁板與該第一裝卸機台之間 搬運晶盒; 一第二處理機台,用以於該基极上執行第二製程步 驟’該第二處理機台包含第二裝卸機台、複數個第二晶 盒儲存棚板以及第二晶盒移動裝置,〇該帛三晶盒儲 存擱板係位於該第二裝卸機台之上,該第二晶盒移動裝 置係用以於該第二晶盒儲存搁板與該第二裝卸機台之間 搬運晶盒; 一跨機台晶盒移動裝置,用私兮 a . ^ 用以於菡第一晶盒儲存搁 板與該第二晶盒儲存擱板之間i運晶盒。 1 8,如申請專利範圍第1 7項所述 理機台係用以執行第一製程步驟 第19耳 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297^" (請先閱讀背面之注意事項再填寫本頁) -Λ'--·.—--------訂 i.------- 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製
    其中上述第一處 4572 1 5 A8 B8 C8 D8 六 申請專利範圍 1 9 ·如申請專利範圍第1 8項所述\ 理機台係一量測機台
    其中上述第二處 2〇.如申請專利範圍第17項所述左^統,其中上述晶盒儲 存擱板係成直列(column)配置於裝卸機台之上,並且上 述跨機台晶盒移動裝置係於該複數個第一晶盒儲存搁板 之最上層擱板與該複數個第二晶盒儲存搁板之最上層擱 板間搬運晶盒。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 杜 印 製 (請先閱讀背面之注意事項再填寫本頁)
    支撐元件以及 21·如申請專利範園第17項所述:其中上述跨機台 晶盒移動裝置包含一晶盒移動 抓取器(end effector),其中該支@件係設置於該晶盒 儲存擱板之前,並JL該支撐元件可在一平行該牆板之路 徑上移動,該抓取器係用以與該晶盒嚙合,並且該抓取 器可以一相位垂直於該支撐元件之方式移動。 / . 22.—種半導體處理機台,至少包含: —處理系統,用以執行第一製程步驟於一基板上 牆板介面,用以使該處理系統與一無塵室隔離 —裝卸機台,位於該無塵室中,用以支撐一晶盒 一開口,位於該牆板介面上; 一基板輸送臂’經由讀開口將基板於該處理系統與該 裝卸機台之間相互轉移; 一載入平台’位於該無塵室中且鄰近該裝卸機台;及 本紙張尺度適用中國國家標準(CNS)A4規格(210^7¾ 裝!----^訂 i------- 4572 1 5 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 一晶盒儲架’位於該無塵室中,該晶盒儲架至少包 含: i)複數個搁板(s h e 1 v e s),以成一直列的方式設 置於該裝卸機台之上;及 Π) —晶盒移動裝置,用以於該搁板、該載入平台 與該裝卸機台之間搬運晶盒,該晶盒移動裝置包含一支 撐元件以及一抓取器(end effector),其中該支撐元件係 設置於該擱板之前,並且該支撐元件可在一平行該摘板 之路徑上移動’該抓取器係用以與該晶盒嚙合,並且該 抓取器係以一可滑動之方式與該支撐元件連結。 23‘一種操作處理機台之方法,該方法至少包含下列步驟: 儲存複數個晶盒於複數個晶盒儲存擱板上,該晶盒館 存擱板鄰近於一無塵室牆板且位於一裝卸機台上方; 以一晶盒移動裝置將晶盒攜至該裝卸機台; 將一基板自該晶盒移出;且 以該晶盒移動裝置將該晶盒送回該晶盒儲存擱 24.—種儲存晶盒之設備,至少包含: —個或多個裝卸機台,該裝卸機台鄰近於一無塵室 牆板;及 一個或多個晶盒儲存擱板’該晶盒儲存擱板係鄰 於該無塵室牆板以及該裝卸機台設置β 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱了 (請先閱讀背面之注意事項再填寫本頁) -ο裝 訂------- 六、申請專利範圍 3 5.如申請專&範圍第24項所述工設備1其中上述晶盒儲 存擱板係設置該裝卸機台上方。 26.如申請專利範圍第25項所述之設備,更包含一晶盒移 動裝置,該晶盒移動裝置係鄰近於該裝卸機台以及該晶 盒儲存擱板設置。 2 7.如申請專利範圍第2 6項所述之設備,其中上述晶盒移 動裝置包含一垂直滑塊以及一橫向滑塊° 28. 如申請專利範圍第27項所述之設備,更包含一載入平 台,該載入平台係鄰近於該装卸機台設置。 29. 如申請專利範圍第28項所述之設備,更包含一圍牆圍 繞於該裝卸機台、該載入乎台以及該晶盒儲存擱板的四 周。 (請先閱讀背面之注$項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW088120545A 1998-12-01 1999-11-24 Apparatus for storing and moving a cassette TW457215B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/201,737 US6283692B1 (en) 1998-12-01 1998-12-01 Apparatus for storing and moving a cassette

Publications (1)

Publication Number Publication Date
TW457215B true TW457215B (en) 2001-10-01

Family

ID=22747069

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088120545A TW457215B (en) 1998-12-01 1999-11-24 Apparatus for storing and moving a cassette

Country Status (6)

Country Link
US (3) US6283692B1 (zh)
EP (1) EP1135793A2 (zh)
JP (1) JP2002531941A (zh)
KR (1) KR20010080658A (zh)
TW (1) TW457215B (zh)
WO (1) WO2000033355A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI584398B (zh) * 2015-05-29 2017-05-21 Temporary platform automatic correction device and method thereof

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0735573B1 (de) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
US6471460B1 (en) * 1996-07-15 2002-10-29 Semitool, Inc. Apparatus for processing a microelectronic workpiece including a workpiece cassette inventory assembly
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
KR100510066B1 (ko) * 1999-06-30 2005-08-26 주식회사 하이닉스반도체 반도체 생산라인의 스토커 오류 감시 방법
US6811369B2 (en) 1999-09-02 2004-11-02 Canon Kabushiki Kaisha Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
DE60033056D1 (de) * 1999-12-02 2007-03-08 Asyst Technologies Wafer fördersystem
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
EP1217646A1 (en) * 2000-12-22 2002-06-26 Semiconductor300 GmbH & Co KG Semiconductor product container and equipment for handling a semiconductor product container
US6887026B1 (en) 2000-12-22 2005-05-03 Infineon Technologie Sc300 Gmbh & Co. Kg Semiconductor product container and system for handling a semiconductor product container
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6739820B2 (en) * 2001-01-16 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus with increased input/output capacity
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US6745908B2 (en) * 2001-06-30 2004-06-08 Applied Materials, Inc. Shelf module adapted to store substrate carriers
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
JP4182521B2 (ja) * 2001-12-04 2008-11-19 ローツェ株式会社 容器の一時的搬入、留置、搬出用装置
DE10164529C1 (de) * 2001-12-18 2003-10-09 Jenoptik Laser Optik Sys Gmbh Einrichtung zur Aufbewahrung und zum Transport von mindestens einem optischen Bauelement
US6726429B2 (en) 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US6886423B2 (en) * 2002-03-27 2005-05-03 Rudolph Technologies, Inc. Scalable, automated metrology system and method of making the system
CA2683043C (en) * 2002-05-14 2011-07-26 Jeffrey P. Williams System and method for dispensing prescriptions
JP4831521B2 (ja) 2002-06-19 2011-12-07 村田機械株式会社 縦型輪状コンベヤ及びオーバーヘッドホイストを基にした半導体製造のためのマテリアルの自動化処理システム
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7506746B2 (en) 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
DE60324746D1 (de) 2002-08-31 2009-01-02 Applied Materials Inc Vorrichtung zum Transportieren und Bearbeiten von Substraten
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7243003B2 (en) 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US20050095110A1 (en) * 2002-08-31 2005-05-05 Lowrance Robert B. Method and apparatus for unloading substrate carriers from substrate carrier transport system
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7258520B2 (en) * 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
KR101510614B1 (ko) 2002-10-11 2015-04-10 무라다기카이가부시끼가이샤 오버헤드 호이스트를 탑재한 오버헤드 호이스트 수송 차량
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
JP4124449B2 (ja) * 2003-03-28 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US20050008467A1 (en) * 2003-07-11 2005-01-13 Rich Huang Load port transfer device
US20050069399A1 (en) * 2003-08-12 2005-03-31 Chih-Ming Hsieh Apparatus and method for dry-loading of substrates in scrubber cleaner
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7433756B2 (en) * 2003-11-13 2008-10-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
JP4045451B2 (ja) * 2003-12-26 2008-02-13 村田機械株式会社 天井走行車システム
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
JP5183861B2 (ja) * 2004-06-10 2013-04-17 アプライド マテリアルズ インコーポレイテッド 小ロットサイズ基板キャリアを使用する方法および半導体デバイス製造施設
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
JP2008511178A (ja) * 2004-08-23 2008-04-10 ブルックス オートメーション インコーポレイテッド エレベータベースのツールローディング及びバッファリングシステム
WO2006024035A2 (en) * 2004-08-24 2006-03-02 Brooks Automation, Inc. Transportation system
JP2006090758A (ja) * 2004-09-21 2006-04-06 Fuji Photo Film Co Ltd 全反射減衰を利用した測定装置
KR100965525B1 (ko) * 2005-05-16 2010-06-23 무라텍 오토메이션 가부시키가이샤 고처리량 amhs용 모듈식 터미널
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
SG163587A1 (en) * 2005-07-08 2010-08-30 Muratec Automation Co Ltd Stocker
US7577487B2 (en) * 2005-09-14 2009-08-18 Applied Materials, Inc. Methods and apparatus for a band to band transfer module
US7661919B2 (en) * 2005-09-28 2010-02-16 Muratec Automation Co., Ltd. Discontinuous conveyor system
DE102005048379B4 (de) * 2005-10-10 2009-08-20 Hänel & Co. Lagerregal mit einer Vielzahl von Regaleinheiten
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
US20100310351A1 (en) * 2006-03-30 2010-12-09 Tokyo Electron Limited Method for handling and transferring a wafer case, and holding part used therefor
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
KR101841753B1 (ko) * 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP5003292B2 (ja) * 2006-11-07 2012-08-15 シンフォニアテクノロジー株式会社 搬送システム
US9834378B2 (en) * 2006-12-22 2017-12-05 Brooks Automation, Inc. Loader and buffer for reduced lot size
JP2008172062A (ja) * 2007-01-12 2008-07-24 Murata Mach Ltd 物品供給装置
JP4893425B2 (ja) * 2007-03-30 2012-03-07 東京エレクトロン株式会社 枚葉式の基板処理装置、枚葉式の基板処理装置の運転方法及び記憶媒体
US20080310939A1 (en) * 2007-06-15 2008-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for use in a lithography tool
JP4887332B2 (ja) * 2007-09-20 2012-02-29 東京エレクトロン株式会社 基板の処理装置
US8043039B2 (en) * 2007-09-20 2011-10-25 Tokyo Electron Limited Substrate treatment apparatus
JP5369419B2 (ja) * 2007-10-18 2013-12-18 村田機械株式会社 保管庫、保管庫セット及び保管庫付き搬送システム
CN101835698B (zh) 2007-10-22 2015-04-15 应用材料公司 运送基板承载件的方法与设备
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
US8070410B2 (en) * 2008-02-05 2011-12-06 Lutz Rebstock Scalable stocker with automatic handling buffer
US9633881B2 (en) 2008-02-05 2017-04-25 Brooks Automation, Inc. Automatic handling buffer for bare stocker
KR101015225B1 (ko) * 2008-07-07 2011-02-18 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
EP2313873A1 (en) * 2008-07-11 2011-04-27 MEI, Inc. Automated document handling system
JP2010062322A (ja) * 2008-09-03 2010-03-18 Ryusyo Industrial Co Ltd 半導体ウェハ搬送システム
JP5083221B2 (ja) * 2009-01-05 2012-11-28 パナソニック株式会社 パレット自動交換装置
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
TWI496732B (zh) * 2009-07-31 2015-08-21 Murata Machinery Ltd 供工具利用之緩衝儲存和運輸裝置
JP5318005B2 (ja) * 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
CN103250238B (zh) * 2010-12-24 2016-08-10 川崎重工业株式会社 搬运机器人、其基板搬运方法、以及基板搬运中转装置
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103917466B (zh) * 2011-09-14 2019-01-04 布鲁克斯自动化公司 装载工位
TWI447059B (zh) * 2012-01-10 2014-08-01 Inotera Memories Inc 晶圓倉儲系統
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2018060823A (ja) * 2015-02-17 2018-04-12 東京エレクトロン株式会社 キャリア搬送装置及びキャリア搬送方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN204937899U (zh) * 2015-09-10 2016-01-06 合肥京东方光电科技有限公司 一种基板卡匣
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10170147B2 (en) * 2016-11-22 2019-01-01 International Business Machines Corporation Moving cartridges within a shuttle complex
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI674231B (zh) * 2017-06-01 2019-10-11 孫建忠 基板貯送系統
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7018779B2 (ja) * 2018-02-13 2022-02-14 東京エレクトロン株式会社 基板搬送装置および基板処理システム
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
CN112930312B (zh) * 2018-11-06 2022-08-30 村田机械株式会社 桥式输送车
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP2020141118A (ja) * 2019-02-27 2020-09-03 東京エレクトロン株式会社 基板処理装置、基板処理システム及び載置台を位置合わせする方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
WO2021016389A1 (en) 2019-07-22 2021-01-28 Rorze Automation, Inc. Systems and methods for horizontal wafer packaging
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3923096A1 (de) * 2020-06-09 2021-12-15 SW Automation GmbH Speichereinheit für eine be- und entladevorrichtung sowie be- und entladevorrichtung zum be- und entladenb mindestens einer maschine
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US187419A (en) 1877-02-13 Improvement in door-sills
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS6467932A (en) * 1987-09-08 1989-03-14 Mitsubishi Electric Corp Semiconductor wafer cassette conveyor
US4986715A (en) 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JPH0797564B2 (ja) * 1990-02-21 1995-10-18 国際電気株式会社 縦型半導体製造装置
JP2565786B2 (ja) * 1990-03-09 1996-12-18 三菱電機株式会社 自動搬送装置及び方法
JPH04158508A (ja) * 1990-10-22 1992-06-01 Mitsubishi Electric Corp 半導体ウェハ搬送システム
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
EP0552756A1 (en) 1992-01-21 1993-07-28 Shinko Electric Co. Ltd. Article storage house in a clean room
DE69205573T2 (de) * 1992-08-04 1996-06-13 Ibm Fertigungsstrasse Architektur mit vollautomatisierten und rechnergesteuerten Fördereinrichtungen geeignet für abdichtbaren tragbaren unter Druck stehenden Behältern.
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JP3163884B2 (ja) * 1994-02-18 2001-05-08 株式会社ダイフク 荷保管設備
JP3331746B2 (ja) 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
KR0167881B1 (ko) * 1994-11-28 1999-02-01 김주용 웨이퍼 반송 시스템 및 그 제어방법
JP3319916B2 (ja) * 1995-07-04 2002-09-03 株式会社アサカ 記憶媒体自動交換装置
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
JP2968742B2 (ja) 1997-01-24 1999-11-02 山形日本電気株式会社 自動保管棚及び自動保管方法
US6224313B1 (en) 1997-04-01 2001-05-01 Murata Kikai Kabushiki Kaisha Automatic warehouse
US5980183A (en) 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6390754B2 (en) 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1159829A (ja) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6183186B1 (en) * 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6481558B1 (en) 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US20030031538A1 (en) 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US20030110649A1 (en) 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7243003B2 (en) 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7230702B2 (en) 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
US7168553B2 (en) 2003-11-13 2007-01-30 Applied Materials, Inc. Dynamically balanced substrate carrier handler
TW200524073A (en) 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7433756B2 (en) 2003-11-13 2008-10-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US7409263B2 (en) 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20070258796A1 (en) 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI584398B (zh) * 2015-05-29 2017-05-21 Temporary platform automatic correction device and method thereof

Also Published As

Publication number Publication date
US6283692B1 (en) 2001-09-04
WO2000033355A3 (en) 2001-01-04
WO2000033355A2 (en) 2000-06-08
KR20010080658A (ko) 2001-08-22
US7637707B2 (en) 2009-12-29
US20060072986A1 (en) 2006-04-06
US20010043849A1 (en) 2001-11-22
EP1135793A2 (en) 2001-09-26
JP2002531941A (ja) 2002-09-24

Similar Documents

Publication Publication Date Title
TW457215B (en) Apparatus for storing and moving a cassette
JP4919539B2 (ja) カセット保管装置並びに半導体処理ステーション及びその操作方法
US10593583B2 (en) Integrated systems for interfacing with substrate container storage systems
US8851820B2 (en) Substrate container storage system
JP4270434B2 (ja) 基板移載装置並びに基板の取り出し方法および基板の収納方法
TW318258B (zh)
JP5506979B2 (ja) ロットサイズ減少のためのバッファ付きローダ
JP2009514235A (ja) 水平方向配列ストッカ
TW200915470A (en) Substrate processing apparatus
EP2433300A2 (en) Integrated systems for interfacing with substrate container storage systems
JP2004059116A (ja) ディスプレイ用基板収納用トレイ及びディスプレイ用基板の取り出し機構並びにディスプレイ用基板の取り出し方法
JPH1179388A (ja) ガラス類枚葉処理装置
JP2021077691A (ja) 基板処理装置及び基板収納容器保管方法
JP5493314B2 (ja) 被収容物移替システム
JP2531983B2 (ja) プロセス装置間の搬送設備
CN117508957A (zh) 一种8英寸晶圆盒传输存储系统

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees