TW201719755A - 特徵尺寸縮減技術(二) - Google Patents

特徵尺寸縮減技術(二) Download PDF

Info

Publication number
TW201719755A
TW201719755A TW105130848A TW105130848A TW201719755A TW 201719755 A TW201719755 A TW 201719755A TW 105130848 A TW105130848 A TW 105130848A TW 105130848 A TW105130848 A TW 105130848A TW 201719755 A TW201719755 A TW 201719755A
Authority
TW
Taiwan
Prior art keywords
features
pitch
integrated circuit
circuit structure
feature
Prior art date
Application number
TW105130848A
Other languages
English (en)
Other versions
TWI628715B (zh
Inventor
艾略特N 譚
麥可K 哈波
Original Assignee
英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾公司 filed Critical 英特爾公司
Publication of TW201719755A publication Critical patent/TW201719755A/zh
Application granted granted Critical
Publication of TWI628715B publication Critical patent/TWI628715B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用於半導體裝置製造的方法被提供。特徵利用間隔物而生成。方法包括在基板表面上生成包含至少二第一特徵的一圖案,在該至少二第一特徵上沈積第一共形層,在該第一共形層上沈積第二共形層,部分地移除該第二共形層以部分地曝光該第一共形層,及自該等第一特徵與該第二共形層中間部分地移除該第一共形層,從而生成至少二第二特徵。可選擇地,在該第二共形薄膜被沈積之前,第一共形薄膜被部分地回蝕。

Description

特徵尺寸縮減技術(二)
發明領域
本發明之實施例大體有關於半導體加工及製造、積體電路,及用以在積體電路中生成裝置的間隔物。
發明背景
為推展更小的積體電路(IC)對用以構建IC裝置的技術及材料寄予極大的性能要求。一般而言,一積體電路晶片也被稱作微晶片、矽晶片,或一晶片。IC晶片存在於各種常見裝置,諸如計算機、汽車、電視、CD播放器,及蜂巢式電話中的微處理器中。複數IC晶片典型地建立在一矽晶圓(直徑為,例如300mm的一薄矽碟)上,且在加工後,晶圓被切成小方塊以產生個別晶片。特徵尺寸在大約65nm左右的一1cm2 IC晶片可包含數億組件。現有技術推展小於45nm的特徵尺寸。
發明概要
依據本發明之一實施例,係特地提出一種積體電路結構,其包含:一基板;以及複數個特徵在該基板中,該複 數個特徵具有一間距,其中該間距是被使用來製造該積體電路結構之一最小微影術間距的三分之一,其中該複數個特徵之該間距係在5奈米及30奈米之間。
105、205、305、405‧‧‧基板
110‧‧‧第一特徵
115‧‧‧第一共形間隔物薄膜/共形間隔物薄膜/非晶碳
120‧‧‧第二共形間隔物薄膜/第二間隔物薄膜
124、325、425、426‧‧‧特徵
210、310、311、410、411‧‧‧第一特徵/特徵
215‧‧‧第一共形間隔物薄膜
220‧‧‧第二共形間隔物薄膜/第二共形薄膜/特徵/第二間隔物薄膜
315、415‧‧‧第一共形薄膜
320‧‧‧第二共形薄膜/第二間隔物薄膜
420‧‧‧第二共形薄膜/第二間隔物薄膜
第1A-E圖繪示一可用於積體電路裝置製造,使用雙間隔物來生成特徵的製程。
第2A-F圖繪示一可用於積體電路裝置製造,使用雙間隔物來生成特徵的另外一製程。
第3A-C圖提供一可用於積體電路裝置製造,使用雙間隔物來生成可變線路間距特徵的又一製程。
第4A-C圖繪示一可用於積體電路裝置製造,使用雙間隔物來生成可變線路間距特徵的另外一製程。
較佳實施例之詳細說明
有各種不同製程用以製造構成一積體電路晶片的裝置,諸如,鋪設材料的沈積製程,及移除選定區域中之材料的微影術及蝕刻製程。半導體晶片之持續小型化需要以45nm尺度及低於45nm尺度界定特徵。用以生成特徵的一常見製程為光蝕刻(微影術)。微影術典型地涉及沈積一層光阻劑在晶圓表面欲被圖案化的材料上,以電磁輻射(典型地為深紫外線)使光阻劑圖案化,及移除圖案化(或未圖案化,視光阻劑類型而定)區域中的光阻劑。在已曝光之光阻劑之下的層接著在一典型的製程中被蝕刻。以電磁輻射來使光阻劑圖案化例如可藉透過一遮罩來曝光光阻劑來完 成。於是,遮罩圖案被轉移至光阻劑。諸如浸沒及EUV(極紫外線)微影術之技術允許製造更小的特徵。然而,光學微影術上存在由光學系統之成像波長及數值孔徑所決定的解析度限制。
其上建立有構成IC電路晶片之裝置的基板例如是矽晶圓或絕緣體上覆矽基板。矽晶圓是典型地用在半導體加工業中的基板,惟本發明之實施例並不依賴於所用基板類型。該基板也可包含例如,鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、碲化鎵,及或單獨或與矽或二氧化矽或其他絕緣材料組合的其他III-V族材料。構成晶片的IC裝置建立在基板表面上。裝置選擇性地分佈在基板表面上及或彼此上下堆疊。
本發明之實施例提供在一基板,諸如矽晶圓上圖案化特徵使其具有一緊密圖案密度之方法。間隔物用以生成具有小於可藉由微影術所獲得者之間距的特徵,同時還使生成特徵所需的製程數目最小化。一般而言,一特徵之間距是重複特徵單元之間的距離,且在量測中包括一特徵尺寸(例如特徵寬度)。
第1A-E圖繪示用以使用雙間隔物來生成特徵的一製程。一般而言,一間隔物是在一裝置製程期間生成的一特徵,該間隔物可在製程期間全部或部分移除。在第1A圖中,一基板105具有第一特徵110。第一特徵110透過一沈積、微影圖案化,及蝕刻製程製造在基板105上,例如,藉由沈積一層材料使特徵由該材料被製成在一基板 表面上,以一光阻劑塗覆該材料,微影圖案化該光阻劑,依據圖案選擇性地移除光阻劑,且蝕刻光阻劑已被移除之區域中的材料,以及移除剩餘的光阻劑。特徵110包含,例如氮化矽(SiN)。使用具有一3x間距的特徵110(如同第1圖中一般)產生具有一1x間距之特徵的一裝置。在第1圖中,特徵110之寬度為0.5x。在第1圖中,初始的邊緣到邊緣特徵到特徵110距離為2.5x。在本發明之實施例中,1x是欲達成的最終間距,且3x是藉由微影術而圖案化的起始間距。在實施例中,x是5nm與30nm之間的一數字。特徵110之高度(h)典型地在10nm到100nm的範圍內。因此,依據本發明之實施例,一種技術,諸如提供具有一60nm間距之特徵的EUV微影術,可用以製造具有一20nm間距之特徵,且一種技術,諸如提供一80nm間距之特徵的浸沒微影術,可用以製造具有一27nm間距之特徵。在此實施例中,特徵110之寬度(在第1A圖中為0.5x),和欲生成之特徵與特徵110間的距離(在第1A圖中為2.5x)之比為1:5。然而,為了簡化,在第1A-E圖中所示之實施例中,該等特徵被繪示為一光柵,該等特徵之幾何形狀、佈局及數目並不限於此實施例中所示之幾何形狀、佈局及數目。允許半導體裝置製作的(複數)特徵之其他幾何形狀、佈局及數目是可能的。
在第1B圖中,第一共形間隔物薄膜115已沈積在特徵110及基板105之表面上。在此實施例中,共形間隔物薄膜115具有0.5x的厚度。第一共形間隔物薄膜115 包含例如非晶碳,且可使用例如化學氣相沈積來沈積。在第1C圖中,第二共形間隔物薄膜120已沈積並覆蓋第一共形間隔物薄膜115。在此實施例中,第二共形間隔物薄膜120具有0.5x的厚度。第二共形間隔物薄膜120包含例如氮化矽,且可使用例如化學氣相沈積或原子層沈積來沈積。在第1D圖中,該第二共形間隔物薄膜被部分回蝕,移去第一共形間隔物薄膜115之覆蓋物。蝕刻例如使用反應性離子電漿來完成。
在第1E圖中,第一共形間隔物薄膜115被選擇性地蝕刻掉,生成特徵124,特徵124部分包含第二共形間隔物薄膜120。蝕刻例如使用一乾式蝕刻以一反應性離子電漿來完成,且就非晶碳115而言,利用一氧電漿。所生成的特徵110及124具有一1x間距,0.5x之寬度,及0.5x的一邊緣到邊緣特徵距離。依據本發明之實施例,一組具有一30nm間距之特徵可使用具有一90nm間距的一起始光柵而生成,且一組具有一20nm間距的特徵可使用具有一60nm間距的一起始光柵來生成。本發明之實施例有利地允許特徵尺寸縮減同時還使用一相對較少數目的製程步驟。
第2A-F圖繪示用以使用半導體加工技術及間隔物來生成特徵的另一製程。在第2A圖中,一基板205具有第一特徵210。第一特徵210透過一沈積、微影圖案化,及蝕刻製程,例如藉由沈積特徵從中被製造的一層材料在一基板表面上,以一光阻劑塗覆該材料,微影圖案化該光阻劑,依據圖案選擇性地移除光阻劑,且蝕刻光阻劑 已被移除之區域中的材料,以及移除剩餘的光阻劑,且第一特徵210例如包含氮化矽。使用具有一3x間距的特徵210造成具有一1x間距之特徵的一裝置。特徵210之寬度為0.5x。在第2圖中,邊緣到邊緣特徵距離為2.5x。在本發明之實施例中,x是5nm與30nm之間的一數字。特徵210之高度(h)典型地在10nm到100nm的範圍內。在此實施例中,特徵210之寬度(在第2A圖中為0.5x)和欲生成之特徵與特徵間距離(在第2A圖中為2.5x)之比為1:5。然而,為了簡化,在第2A-E圖中所示之實施例中,該等特徵被繪示為一光柵,該等特徵之幾何形狀、佈局及數目並不限於此實施例中所示之幾何形狀、佈局及數目。允許半導體裝置製成的(複數)特徵之其他尺寸、幾何形狀、佈局、相對方位,及數目是可能的。
在第2B圖中,第一共形間隔物薄膜215覆蓋特徵210及基板205之表面。在此實施例中,第一共形間隔物薄膜215具有0.5x的厚度。第一共形間隔物薄膜215由例如氧化矽所構成,且例如可使用化學氣相沈積或原子層沈積來沈積。在第2C圖中,第一共形間隔物薄膜215已被部分回蝕,部份地曝光基板且部分地曝光特徵210。自第2B圖之裝置生成第2C圖之裝置的蝕刻例如藉由反應性離子電漿來完成。
在第2D圖中,第二共形間隔物薄膜220已被沈積並覆蓋第一共形間隔物薄膜215。在此實施例中,第二共形薄膜220具有0.5x的厚度。第二共形薄膜220是由例 如非晶碳所構成且可使用例如化學氣相沈積或原子層沈積來沈積。在第2E圖中,第二共形薄膜220被部分回蝕,部分地移去第一共形間隔物薄膜115之覆蓋物,且部分地移去基板205表面之覆蓋物。部分蝕刻例如是使用反應性離子電漿而完成。
在第2F圖中,第一共形間隔物薄膜215被移除,顯露出特徵210及220。第一氧化矽共形間隔物薄膜215例如透過濕式蝕刻使用含水HF被移除。所生成的特徵210及220具有一1x間距,0.5x之寬度,及0.5x的一邊緣到邊緣特徵距離。
第3A-C圖繪示用於半導體裝置特徵製造,其中所生成之特徵間距改變的一製程。第3A-C圖中所示之實施例例如與第1A-E及2A-F圖之方法一起使用。亦可組合第3A-C圖與第4A-C圖之元件。在第3A圖中,基板305具有第一特徵310及311。在第3A-C圖之實施例中,特徵尺寸藉由改變第一特徵310及311之尺寸而改變,且可看出,第一特徵310具有0.5x之寬度,且第一特徵311具有1.5x之寬度。具有其他寬度的第一特徵也是可能的,且特定值之選擇視例如所製造之裝置類型而定。同樣地,第一特徵310與311之間的邊緣到邊緣距離被改變且距離2.5x及3x被繪示於第3A圖中。其他邊緣到邊緣特徵距離也是可能的,且特定值之選擇視例如所製造之裝置類型而定。在第3B圖中,繪示第一共形薄膜315已被回蝕(依據第2A-F圖之實施例)的一實施例,但是如先前所提到者,也可不回蝕 第一共形薄膜315(依據第1A-E圖之實施例)。第二共形薄膜320已被沈積並覆蓋特徵310及311,及剩餘之第一共形薄膜315。在第二共形薄膜320已被部分回蝕且第一共形薄膜自第一特徵310與311之間被蝕刻之後,獲得第3C圖之裝置。從第3C圖中可見,特徵310、311及325具有1.5x及0.5x的尺寸。特徵310、311與325之間的邊緣到邊緣距離在0.5x與1x之間變化。使用特徵310、311,及325作為一遮罩,由特徵310、311,及325所生成的圖案可選擇性地被蝕刻到基板305中。在基板被蝕刻之後,特徵310、311及325被選擇性地移除。
第4A-C圖繪示用於半導體裝置特徵製造,其中所生成之特徵之間距改變的製程。第4A-C圖中所示之實施例例如與第1A-E及2A-F圖之方法一起使用。亦可組合第4A-C圖與第3A-C圖之元件。在第4A圖中,基板405具有第一特徵410及411。在第3A-C圖之實施例中,特徵尺寸藉由改變第一特徵410及411之尺寸而改變,且可看出,第一特徵410具有0.5x之寬度,且第一特徵411具有1x之寬度。具有其他寬度的第一特徵410及411也是可能的且特定值之選擇視例如所製造之裝置類型而定。同樣地,第一特徵410與411之間的邊緣到邊緣距離被改變且距離2x及3x被繪示於第4A圖中。其他邊緣到邊緣特徵距離也是可能的且特定值之選擇視例如所製造之裝置類型而定。在第4B圖中,繪示第一共形薄膜415已被回蝕(依據第2A-F圖之實施例)的一實施例,但是如先前所提到者,也可不回蝕第一共 形薄膜415(依據第1A-E圖之實施例)。第二共形薄膜420已被沈積並覆蓋特徵410及411,及剩餘之第一共形薄膜415,並填充二第一特徵410與411之間之間隙。在第二共形薄膜420已被部分回蝕而曝光第一共形薄膜415,且第一共形薄膜自第一特徵410與411之間被蝕刻之後,獲得第4C圖之裝置。從第4C圖中可見,特徵410及425具有0.5x的尺寸且特徵411及426具有1x的尺寸。特徵410、411、425與426之間的邊緣到邊緣距離在0.5x與1x之間變化。使用特徵410、411、425,及426作為一遮罩,由特徵410、411、425及426所生成的圖案可選擇性地被蝕刻到基板305中。在基板被蝕刻之後,特徵410、411、425及426被選擇性地移除。
可選擇地,依據本發明之實施例,藉由所揭露之方法所生成之特徵可用作一遮罩,以將特徵轉移到下面的基板中。在一實施例中,其上配置有特徵的基板表面是矽,且使用,例如,乾式蝕刻,將該等特徵轉移到矽基板之表面中。該等特徵接著使用例如濕式蝕刻被選擇性地移除。
進一步可選擇地,一硬遮罩用以協助將藉由所揭露方法生成之特徵轉移至下面的基板中。在一硬遮罩被使用的實施例中,一硬遮罩在第一特徵(即110、210、310、311、410及411)被製作在基板上前被提供給基板,使得該硬遮罩位在基板與第一特徵與共形間隔物薄膜之間。在這些實施例中,所生成之圖案被轉移至硬遮罩(即硬 遮罩在曝光區域中被蝕刻),該等特徵被移除,且曝光基板被蝕刻,生成特徵於基板中。
當然,可以使用其他材料作為第一特徵及第一與第二共形間隔物。一般而言,材料被選擇成使得一蝕刻化學作用能夠區分主幹(即第一特徵110、210、310、311、410,及411)與第二間隔物薄膜(即120、220、320,及420),使得第一間隔物薄膜可被選擇性地蝕刻掉,留下第一及第二(間隔物)特徵。表格1提供可用在相關於例如第1-4圖所描述之本發明之實施例中的另外示範性材料。在表格1中,SiN是氮化矽,a-C是非晶碳,PLY-Si是多晶矽,a-Si是非晶矽,SiO是二氧化矽,TiN是氮化鈦,且CHM是一碳硬遮罩。
依據表1,一選項,諸如選項3,包括使用多晶矽做第一特徵,二氧化矽做第一間隔物,及非晶矽做第二特徵。一可選擇的硬遮罩由氮化矽組成。
熟習相關技藝人士了解,在整體揭露以及所示與所述的各種組件的組合與替代品中,修改及變更是可能的。此說明書中提及「一個實施例」或「一實施例」意指結合該實施例所描述的一特定特徵、結構、材料或特性 被包括在本發明之至少一實施例中,但並不一定表示它們存在於每一實施例中。此外,特定特徵、結構、材料,或特性可以在一或更多個實施例中以任何適合的方式被組合。各種附加層及/或結構可被納入其他實施例且/或所述特徵可在其他實施例中被省略。
105‧‧‧基板
110‧‧‧第一特徵
124‧‧‧特徵

Claims (19)

  1. 一種積體電路結構,其包含:一基板;以及複數個特徵在該基板中,該複數個特徵具有一間距,其中該間距是被使用來製造該積體電路結構之一最小微影術間距的三分之一,其中該複數個特徵之該間距係在5奈米及30奈米之間。
  2. 如請求項1之積體電路結構,其中該複數個特徵之各者具有一寬度,該寬度大約該複數個特徵之該間距的一半。
  3. 如請求項1之積體電路結構,其中該複數個特徵之各者係藉由一間隔來將一鄰近的特徵隔開,該間隔大約該複數個特徵之該間距的一半。
  4. 如請求項1之積體電路結構,其中該複數個特徵之該間距係大約20奈米,且該最小微影術間距係大約60奈米。
  5. 如請求項4之積體電路結構,其中該最小微影術間距係一最小EUV微影術間距。
  6. 如請求項1之積體電路結構,其中該複數個特徵之該間距係大約27奈米,且該最小微影術間距係大約80奈米。
  7. 如請求項6之積體電路結構,其中該最小微影術間距係一最小浸沒微影術間距。
  8. 一種積體電路結構,其包含: 一包含矽之基板;以及複數個矽特徵在該基板中,該複數個矽特徵具有一間距,其中該間距是被使用來製造該積體電路結構之一最小微影術間距的三分之一間距,其中該複數個矽特徵之該間距係在5奈米及30奈米之間。
  9. 如請求項8之積體電路結構,其中該複數個矽特徵之各者具有一寬度,該寬度大約該複數個矽特徵之該間距的一半。
  10. 如請求項8之積體電路結構,其中該複數個矽特徵之各者係藉由一間隔來將一鄰近的矽特徵隔開,該間隔大約該複數個矽特徵之該間距的一半。
  11. 如請求項8之積體電路結構,其中該複數個矽特徵之該間距係大約20奈米,且該最小微影術間距係大約60奈米。
  12. 如請求項11之積體電路結構,其中該最小微影術間距係一最小EUV微影術間距。
  13. 如請求項8之積體電路結構,其中該複數個矽特徵之該間距係大約27奈米,且該最小微影術間距係大約80奈米。
  14. 如請求項13之積體電路結構,其中該最小微影術間距係一最小浸沒微影術間距。
  15. 一種積體電路結構,其包含:一包含矽之基板;以及複數個矽特徵在該基板中,該複數個矽特徵具有一間 距,其中該間距是被使用來製造該積體電路結構之一最小微影術間距的三分之一間距,其中該複數個矽特徵之各者具有一寬度,該寬度大約該複數個矽特徵之該間距的一半,其中該複數個矽特徵之各者係藉由一間隔來將一鄰近的矽特徵隔開,該間隔大約該複數個矽特徵之該間距的一半,以及其中該複數個矽特徵之該間距係在5奈米及30奈米之間。
  16. 如請求項15之積體電路結構,其中該複數個矽特徵之該間距係大約20奈米,且該最小微影術間距係大約60奈米。
  17. 如請求項16之積體電路結構,其中該最小微影術間距係一最小EUV微影術間距。
  18. 如請求項15之積體電路結構,其中該複數個矽特徵之該間距係大約27奈米,且該最小微影術間距係大約80奈米。
  19. 如請求項18之積體電路結構,其中該最小微影術間距係一最小浸沒微影術間距。
TW105130848A 2010-12-23 2011-12-22 特徵尺寸縮減技術(二) TWI628715B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/978,160 2010-12-23
US12/978,160 US8314034B2 (en) 2010-12-23 2010-12-23 Feature size reduction

Publications (2)

Publication Number Publication Date
TW201719755A true TW201719755A (zh) 2017-06-01
TWI628715B TWI628715B (zh) 2018-07-01

Family

ID=46317713

Family Applications (3)

Application Number Title Priority Date Filing Date
TW100147957A TWI562235B (en) 2010-12-23 2011-12-22 Feature size reduction
TW105130848A TWI628715B (zh) 2010-12-23 2011-12-22 特徵尺寸縮減技術(二)
TW107113347A TWI651809B (zh) 2010-12-23 2011-12-22 特徵尺寸縮減技術(三)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100147957A TWI562235B (en) 2010-12-23 2011-12-22 Feature size reduction

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107113347A TWI651809B (zh) 2010-12-23 2011-12-22 特徵尺寸縮減技術(三)

Country Status (2)

Country Link
US (1) US8314034B2 (zh)
TW (3) TWI562235B (zh)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8895453B2 (en) 2013-04-12 2014-11-25 Infineon Technologies Ag Semiconductor device with an insulation layer having a varying thickness
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9318412B2 (en) * 2013-07-26 2016-04-19 Nanya Technology Corporation Method for semiconductor self-aligned patterning
US20150031207A1 (en) * 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9691868B2 (en) * 2013-11-22 2017-06-27 Qualcomm Incorporated Merging lithography processes for gate patterning
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
TWI640042B (zh) 2015-03-09 2018-11-01 聯華電子股份有限公司 半導體裝置之圖案化結構的製作方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN105403941B (zh) * 2015-12-23 2017-11-24 中国科学技术大学 一种变间距光栅的近场全息‑离子束刻蚀制备方法
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN115831969A (zh) 2017-11-30 2023-03-21 英特尔公司 用于高级集成电路结构制造的鳍状物图案化
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US20060000796A1 (en) 2004-06-30 2006-01-05 Elliot Tan Method for controlling critical dimensions and etch bias
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7572557B2 (en) 2005-12-07 2009-08-11 Intel Corporation Non-collinear end-to-end structures with sub-resolution assist features
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7521157B2 (en) 2006-02-09 2009-04-21 Intel Corporation Cross-shaped sub-resolution assist feature
US7648803B2 (en) 2006-03-27 2010-01-19 Intel Corporation Diagonal corner-to-corner sub-resolution assist features for photolithography
KR100781542B1 (ko) * 2006-06-08 2007-12-03 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100910042B1 (ko) * 2006-09-20 2009-07-30 삼성전자주식회사 이중 패터닝 기술을 이용한 플래시 메모리 소자 및 그 제조방법
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR100790999B1 (ko) * 2006-10-17 2008-01-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100817088B1 (ko) * 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
US20090124084A1 (en) 2007-11-14 2009-05-14 Elliot Tan Fabrication of sub-resolution features for an integrated circuit
US7977248B2 (en) 2007-12-31 2011-07-12 Intel Corporation Double patterning with single hard mask
US20090263751A1 (en) 2008-04-22 2009-10-22 Swaminathan Sivakumar Methods for double patterning photoresist
US7915171B2 (en) 2008-04-29 2011-03-29 Intel Corporation Double patterning techniques and structures
US7820550B2 (en) 2008-09-05 2010-10-26 Intel Corporation Negative tone double patterning method

Also Published As

Publication number Publication date
US20120164837A1 (en) 2012-06-28
US8314034B2 (en) 2012-11-20
TW201234482A (en) 2012-08-16
TW201839914A (zh) 2018-11-01
TWI651809B (zh) 2019-02-21
TWI562235B (en) 2016-12-11
TWI628715B (zh) 2018-07-01

Similar Documents

Publication Publication Date Title
TWI628715B (zh) 特徵尺寸縮減技術(二)
CN104733291B (zh) 用于集成电路图案化的方法
US9129906B2 (en) Self-aligned double spacer patterning process
US8309463B2 (en) Method for forming fine pattern in semiconductor device
US9437447B2 (en) Method for patterning a substrate for planarization
US7659208B2 (en) Method for forming high density patterns
TWI356446B (en) Methods to reduce the critical dimension of semico
CN104658892B (zh) 用于集成电路图案化的方法
KR20170042056A (ko) 반도체 소자의 패턴 형성 방법
KR101576335B1 (ko) 집적 회로 패터닝 방법
US20130217233A1 (en) Methods for Controlling Line Dimensions in Spacer Alignment Double Patterning Semiconductor Processing
CN108292591A (zh) 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US8951918B2 (en) Method for fabricating patterned structure of semiconductor device
WO2012128843A2 (en) Improved sidewall image transfer process
US9034762B2 (en) Triple patterning method
JP6370139B2 (ja) Finfet構造のドーパント注入方法
US20140220493A1 (en) Self Aligned Patterning With Multiple Resist Layers
CN110021518B (zh) 自对准双重图案方法
US10734284B2 (en) Method of self-aligned double patterning
US7910289B2 (en) Use of dual mask processing of different composition such as inorganic/organic to enable a single poly etch using a two-print-two-etch approach
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
TW201537620A (zh) 半導體元件的製作方法
JP2009094379A (ja) 半導体装置の製造方法
US8524608B1 (en) Method for fabricating a patterned structure of a semiconductor device
KR100940275B1 (ko) 반도체 소자의 게이트 패턴 형성방법