TW201539611A - 用於改良式晶圓處理之微結構 - Google Patents

用於改良式晶圓處理之微結構 Download PDF

Info

Publication number
TW201539611A
TW201539611A TW103144695A TW103144695A TW201539611A TW 201539611 A TW201539611 A TW 201539611A TW 103144695 A TW103144695 A TW 103144695A TW 103144695 A TW103144695 A TW 103144695A TW 201539611 A TW201539611 A TW 201539611A
Authority
TW
Taiwan
Prior art keywords
end effector
contact pad
contact
substrate
structures
Prior art date
Application number
TW103144695A
Other languages
English (en)
Inventor
Matthew J Rodnick
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201539611A publication Critical patent/TW201539611A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)

Abstract

本文中提供用以傳送基板包含半導體晶圓之具有高摩擦係數的接觸表面。在某些實施例中,接觸表面包含微結構,微結構在基板傳送期間能利用分子間表面力以增加黏附與在x-y方向上的摩擦並能在z方向上輕易卸載基板而使基板不傾斜。亦提供包含接觸表面之機器人末端效應器以及相關的高產量傳送系統與方法。

Description

用於改良式晶圓處理之微結構
本發明係關於用於改良式晶圓處理之微結構。
在半導體裝置的製造期間使用不同類型的設備來進行數百道的處理操作。此些操作中的大多者皆在處理室中於極低壓力下進行,意即在真空或部分真空下進行。此類處理室可繞著中央中繼站(hub)設置,且中繼站與處理室可被維持在實質上相同的極低壓力。晶圓可被機械耦合至處理室及/或中央中繼站的晶圓處理系統導入處理室。晶圓處理系統 將晶圓自工廠樓板傳送至處理室。晶圓處理系統可包含加載互鎖裝置將晶圓從大氣條件移至極低壓力條件並回到大氣條件以及機器人將晶圓傳送至各種位置。晶圓處理系統可使用於真空環境外部操作的機器人如在環境工廠樓板環境中操作的機器人以及在處理室與中央中繼站極低壓力環境內操作的機器人。產量(即一段時間內所處理之晶圓的數目)會受到處理時間、單次處理的晶圓數目及將晶圓導入真空處理室所需的時間影響。
文中所述之本發明的一態樣可以末端效應器用的接觸墊實施之。接觸墊可包含排列於接觸墊座上的複數結構,每一結構包含一可撓性構件及連接至該可撓性構件的複數接觸構件,該可撓性構件在基板應用上係用以偏移而該接觸構件係用以藉由凡得瓦黏附力而黏附基板。根據不同的實施例,該結構係以各種配置方式排列。例如,該結構可以繞著接觸墊座之中心之圓周列配置。在某些實施例中,該結構係配置於複數個區域中俾使基板自該接觸墊卸載的動作依區域進行。在某些實施例中,在該接觸墊中各處該複數結構的高度及/或有效彈簧常數可變化。高度及/或有效彈簧常數的變化可沿著一點對稱。例如,該變化可為圓對稱的。
可撓性構件可具有各種尺寸。在某些實施例中,一可撓性構件的最大尺寸係小於1 mm。在相同或其他實施例中,一可撓性構件的最小尺寸可小於100 微米。可撓性構件的材料實例可包含碳如碳奈米管網路及聚合物材料。接觸構件亦可具有各種尺寸。接觸構件的尺寸可變化。在某些實施例中,一接觸構件的最小尺寸係小於1微米或小於500 nm。在某些實施例中,每一接觸構件可包含分支成複數自由末端的基底。
文中所述之本發明的另一態樣可以末端效應器用的接觸墊實施之,此接觸墊包含排列於接觸墊座上的複數結構,該複數結構中的每一者皆具有有效彈簧常數與高度,該複數結構之該有效彈簧常數與該高度中的至少一者會在該接觸墊中各處變化。在某些實施例中,該高度或該有效彈簧常數的變化可為圓對稱的。
文中所述之本發明的另一態樣可以如文中所述之具有一或多個末端效應器接觸墊的末端效應器實施之。
文中所述之本發明的另一態樣可以具有下列者的機器人實施之:一或多個臂;一馬達,用以移動該一或多個臂;及連接至該一或多個臂的一或多個末端效應器。該一或多個末端效應器可藉由被動接觸以在x-y方向上至少約0.5 g的加速度傳送一半導體基板而不使其滑動,並可藉著施加正向力以非致動方式卸載該半導體基板而不使其傾斜。在某些實施例中,該一或多個末端效應器可操作以在超過400o C 的溫度下支撐該半導體基板。在某些實施例中,該一或多個末端效應器可用以移動直徑至少300 mm或直徑至少450 mm的一半導體基板。
文中所述之本發明的另一態樣可以半導體處理設備實施之。該處理設備可包含一傳送模組,該傳送模組係用以連接至一或多個處理模組並用以將複數半導體基板傳送至該一或多個傳送模組或自該一或多個傳送模組傳送該複數半導體基板。該傳送模組包含具有文中所述之一或多個末端效應器接觸墊的一機器人。在某些實施例中,該處理設備更包含連接至該傳送模組的一或多個處理模組。在某些實施例中,該傳送模組係用以連接至一加載互鎖裝置或基板儲存位置並將複數半導體基板傳送至該加載互鎖裝置或基板儲存位置或自該加載互鎖裝置或基板儲存位置傳送該複數半導體基板。該傳送模組可為真空或大氣傳送模組。該處理設備更可包含一控制器,該控制器包含機器可讀指令以用至少0.5 g、至少1 g或更高的加速度在x-y方向上移動末端效應器。
文中所述之本發明的另一態樣可以自末端效應器卸載基板的方法實施之。該方法可包含:提供藉由末端效應器以被動接觸支撐的基板,該基板係藉由該基板與該末端效應器上之複數凡得瓦結構之間的凡得瓦黏附力支撐;及施加垂直於該基板的力以自該末端效應器舉升該基板而造成該凡得瓦黏附力失去銜合,俾使該基板在整個去銜合期間維持不傾斜。在某些實施例中,卸載係以該正向力小於該黏附力的方式進行。
下面將參考附圖更進一步地說明文中所述之標的的此些與其他態樣。
在隨附的圖示中顯示了各種實施例的實例並在下面對其更進一步地說明。應瞭解,文中的討論意不在限制文中所述之特定實施例的申請專利範圍。相反地,其意在涵蓋可被包含於隨附申請專利範圍所定義之本發明精神與範疇內的各種替代方案、變化與等效物。在下列的說明中,陳述了許多特定細節以提供對本發明的全面瞭解。本發明可在毋需部分或全部此些特定細節的情況下實施。在其他的情況中,不詳細說明已知的處理操作以免不必要地模糊本發明。
本文中提供基板傳送的設備、系統及方法。雖然所述之設備、系統與方法可用於半導體製造,但應瞭解,其亦可用於需要傳送基板尤其是大尺寸基板如顯示器技術用之玻璃面板的任何處理或產業。雖然為了討論的目的,下面的敘述主要指涉半導體晶圓,但當能瞭解如何實施傳送其他類型之基板與工作件之方法、系統及設備。
在積體電路的製造期間通常會藉由傳送設備將半導體晶圓導入至處理站或模組。在許多的積體電路製造處理中,晶圓係於高溫下受到處理 – 晶圓進入到晶圓傳送設備時為「冷的」通常介於室溫但離開處理模組時為「熱的」如介於約30o C–500o C。例如,介電或導電層的蝕刻可在介於約30o C–250o C的晶圓溫度下進行。在另一實例中,介電層的電漿增強化學汽相沈積可在約300o C–500o C的晶圓溫度下進行。
機器人可將冷晶圓冷晶圓自第一位置如加載互鎖裝置或儲存位置傳送至處理用的處理模組,並可將已處理過的熱晶圓自處理模組傳送回第一位置或傳送至另一位置。在許多應用中,處理係利用真空傳送模組而於真空環境中操作,該真空傳送模組係用以將晶圓傳送至處理模組並自處理模組傳送晶圓。真空傳送模組內的真空傳送模組機器人可將冷晶圓自加載互鎖裝置或其他位置傳送至處理用的處理模組並且將已處理過的熱晶圓自處理模組傳送回加載互鎖裝置或另一位置如連接至真空傳送模組的第二處理模組。
末端效應器為連接至機器人手臂之末端的一裝置或設備如葉片、槳或叉。本文中所用之末端效應器一詞係指實質接觸晶圓或其他基板以移動晶圓或其他基板的任何支撐件或裝置。末端效應器通常包含晶圓能被支撐於其上的平的支撐件如葉片、槳或叉以及能維持晶圓於定位的裝置如抓取裝置。在本文所述之晶圓傳送的某些實施例中,晶圓被支撐於末端效應器的兩或更多凸起墊上。產量(每小時可處理的晶圓數)可取決於能多快速地在不同位置之間傳送晶圓。可決定產量的因素包含機器人馬達的極限以及承載晶圓之末端效應器在不滑動晶圓的情況下可獲得的最大加速度與減速度。後面的因素係由傳送期間期間載有晶圓於其上之末端效應器墊或其他表面的靜摩擦係數所決定。使用具有高擦係數的材料能夠得到更大的加速度,減少傳送晶圓所需的時間。例如,全氟彈性體(PFEs)對於矽晶圓具有約1的靜摩擦係數。這可對比於陶瓷約0.3的係數。因此,針對PFE末端效應器上之晶圓可獲得的加速度係高於針對陶瓷末端效應器上之晶圓可獲得的加速度。
圖1顯示在基板傳送期間作用於被支撐於末端效應器12之末端效應器接觸墊14上之基板10如450 mm半導體晶圓之力之簡化示意圖的實例。低粒子要求可排除與基板10之前側15接觸的前側抓取裝置或其他裝置的使用。在圖1的實例中,與基板的接觸是被動的且限制在與基板10的背側接觸,因此無抓取力且Fz 抓取 為零。在無或可忽略之振動力與空氣動力的情況下,Fxy 加速 係取決於末端效應器接觸墊14的靜摩擦係數(Cf)以及基板的質量與重力。在半導體處理的文義下,300 mm的裸矽晶圓具有約0.128 kg的質量,450 mm裸矽晶圓具有約0.342 kg的質量。PFE與其他彈性體具有均質材料中最高的Cf 約0.75–1.5,但在約150o C–300o C的溫度下會機械退化。更多彈性體的Cf會隨著溫度增加而降低,例如從室溫下的1.5降低為高溫下的0.2。可耐受更高溫度的陶瓷具有較低的Cf約0.2-0.5。使用陶瓷材料會大幅降低可被允許的最大加速與減速,因而降低產量。
本文中所述的設備、系統與方法包含具有高溫度耐受力的高Cf接觸表面。根據各種實施例,接觸表面包含利用了增加黏附用之分子間表面力以及在x-y方向上之靜摩擦(static friction)的微結構。又,接觸表面可用以在x-y-方向上提供高靜摩擦並在z-方向上提供極低或無靜摩擦。
在某些實施例中,微結構為層級結構的一部分,層級結構係用以使得晶圓或其他大面積基板可自末端效應器被移除但不會滑動或自末端效應器剝離。又,在某些實施例中,在裝載及/或卸載期間與末端效應器的接觸為被動接觸,因此毋需機械、電、真空或其他類型的致動。
在不同的實施例中,本文中所述之末端效應器的接觸墊或其他接觸表面包含具有兩或更多層級的層級結構。多層級結構可包含包含了微米級或奈米級複數接觸構件的接觸結構,複數接觸構件用以因此些構件與基板表面間的凡得瓦力而黏附至基板。該多層級結構更可包含一可撓性構件,此可撓性構件直接或間接將該接觸結構連接至一末端效應器或一接觸墊座。在某些實施例中,參考壁虎趾的層級結構,接觸結構可被特徵化為後續會更一步討論的合成剛毛及/或合成小鏟。
微結構一詞係用以指涉具有至少一尺寸小於1000 微米的結構。例如,微結構之長度、寬度、高度或直徑中的最小者可小於1000 微米。如後續會更進一步討論的,在某些實施例中,微結構可具有微米級及/或奈米級的尺寸。在某些實施例中,本文中所述之微結構的兩或更多尺寸或所有尺寸可為微米級及/或奈米級。在某些實施例中,微結構可包含層級結構,此層級結構包含連接至奈米級層級的微米級層級。本文中所用的微米級係指介於1微米至1000微米之間的尺寸,奈米級係指大於或等於1奈米的次微米尺寸。
壁虎趾包含超過10個皮瓣(lamellae),每一皮瓣包含超過千根剛毛的陣列。托考伊壁虎的剛毛可具有約100微米的長度及4微米的直徑。每一剛毛分支成100至1000個小鏟(spatulae),小鏟包含鏟莖與鏟尖且可具有約100 nm的直徑。雖然仿製此多層級結構可得到接近壁虎之黏附力的黏附力(10 N/cm2 ),但本文中所述的末端效應器可使用較簡單的層級結構,仰賴接觸結構之微結構與基板表面之間的凡得瓦力作為黏附力。兩個表面(如末端效應器與基板)之間的分子間力係與接觸點的數目強相關。根據各種實施例並取決於欲移動之基板的質量與期望的可允許的加速度,文中所述的末端效應器可具有幾千、幾萬、幾十萬、幾百萬或更多的獨立接觸。例如,1 cm的壁虎足能夠支撐約1 kg且Cf 接近3,此Cf值幾乎是室溫彈性體之Cf值的兩倍。
根據各種實施例,本文中所述的末端效應器包含下列特徵的一或多者:1)一可撓性結構,在裝載與卸載基板期間相對於施加正向力及/或卸載力用以橫向移動俾使結構例如沿著基板滑移;2)多層級結構,包含基於凡得瓦力的接觸結構及將基於凡得瓦力的接觸結構連接至接觸墊座的可撓性構件;及3)複數微結構,以三維陣列配置以得到受到控制的銜合與卸載。下面將更詳細地說明此些特徵中的每一者。
回到圖2a–2d,顯示基於凡得瓦力的可撓性結構。文中所用之基於凡得瓦力的結構係指一結構,在此結構中大部分或實質上所有的結構黏附力或摩擦力皆由結構與基板間的分子間力所提供。圖2a顯示在卸載狀態下之基於凡得瓦力的可撓性微結構18的實例,其包含連接至接觸結構28的可撓性構件20。在圖2a的實例中,可撓性構件20可被特徵化為連接至末端效應器墊座16的彈簧懸臂。在圖2d的插圖A中顯示了接觸結構28的細節,其包含排列於支持層32上的複數奈米髮30,支持層係連接至可撓性構件20的橫向部20A。奈米髮30係用以在裝載狀態下極靠近基板,在末端效應器墊之複數基於凡得瓦力的微結構18處遍佈著大量且充分靠近的奈米髮30以提供大於彈性體之摩擦力的更大摩擦力。雖然圖2a與2d顯示可撓性構件與包含奈米纖維之接觸結構之可能配置實例,但應瞭解,尚有許多其他配置方式。例如,在某些實施例中,奈米髮30可自可撓性構件20直接延伸而毋需中間支持層32;在圖3a中顯示了此類實施例之一實例的概圖。在另一實例中,可撓性構件20可不包含橫向部20A,使彈簧懸臂直接連接至末端效應器墊座16而連接至接觸結構28;圖3b中顯示了此類實例的概圖。圖3b中的結構係顯示在基板裝載期間的結構,以下會針對圖2b更詳細地說明。
已顯示壁虎剛毛陣列的滑動能提供有效的黏附與分離(Tian等人, Scientific Reports 3:1382 (2012),包含於此作為參考)。在某些實施例中,如圖2b與2c之概圖所示,圖2a–2c中之基於凡得瓦力的微結構18讓接觸結構28能在裝載負載或卸除負載時毋需致動而被動滑動。在圖2b中,使基板10與基於凡得瓦力的微結構18相接觸。可撓性構件20開始偏移,讓接觸結構28在基板10各處滑動。不限於特定的理論,黏附可涉及Tian針對剛毛陣列所論述的下列機制:正向與摩擦力會壓縮奈米髮30,導致較低的傾斜角度以及此些力的增加。這種現象會持續,直到奈米髮30到達擁擠的狀態。見圖3c,其中接觸結構28的奈米髮30在裝載階段40a與40b期間受到壓縮,直到奈米纖維達到已裝載(基板未顯示)時的擁擠狀態40c。圖2c顯示在對應之已裝載狀態下之基於凡得瓦力的微結構18。可撓性構件20讓基於凡得瓦力的微結構18能靠著被固定在x-y方向上的基板10滑動。對於卸載而言(例如從圖2c至圖2b)這亦為真,使得基板不會剝離但能在不傾斜的情況下於z-方向上被舉升。這與使用只會簡單站立但不會滑動之微纖維陣列的末端效應器有很大的差異。雖然該些末端效應器可上下顛倒地支撐住晶圓抵抗重力,但卸載晶圓會涉及將晶圓傾斜一角度而使晶圓自末端效應器剝離。
根據各種實施例,接觸構件(如上述實例中的奈米髮30)可為微米級或奈米級,例如橫向尺寸(如直徑或寬度)介於數十奈米至數十微米。
在某些實施例中,至少接觸構件的自由末端為次微米且可具有小於500 nm、小於100 nm、小於50 nm或小於20 nm的橫向尺寸(如直徑或寬度)。接觸構件可具有大致上固定或變動的橫剖面。在某些實施例中,接觸構件可自固定端朝向自由端漸縮。又,在某些實施例中,接觸構件的座可分支為複數自由端。例如,在某些實施例中,接觸構件可為或可模仿壁虎趾的剛毛與皮瓣。托考伊壁虎的剛毛約為4.2微米,例如,每一剛毛的尖端分支成數百根直徑約100 nm的鏟莖與鏟。
由於黏附力、可需允許的加速度及受限於摩擦力的產量係與接觸點的數目相關聯,在某些實施例中,至少使接觸構件的自由末端為次微米級是有利於達到更多數目的接觸構件。又,更小的接觸構件可減少總接觸面積,這有利於粒子控制。然而,在某些實施例中,接觸構件的自由末端可介於1 至100 微米或介於1至10微米。Liu證明,使用5微米直徑之纖維之陣列的標準末端效應器可增加晶圓傳送時的加速度。(Liu等人, Industrial robot 39/1 (2012) 79­-91)。接觸構件的長度例如可介於約1至500微米,但亦可使用其他適當的長度。
可撓性構件之懸臂的例示性長度(見例如圖2a之長度L)可介於約1微米至1000微米如10微米至500微米之間。在某些實施例中,可撓性構件可以是或模仿壁虎趾的皮瓣。在某些實施例中,可撓性構件可具有纖維或棒形式並沿著懸臂長度大致上只於一個維度延伸。在某些實施例中,可撓性構件可沿著垂直於偏移方向的第二維度延伸。圖3d中顯示一實例,其顯示了三個沿著垂直於長度L之寬度W方向延伸的可撓性構件20。每一可撓性構件20係沿著一側22而錨定至末端效應器墊座(未顯示)。在圖3d所示的實例中,寬度W係大於長度L,但在其他實施例中,長度可大於或約等於寬度。寬度例如包含1微米至1000微米。在某些實施例中,可撓性構件可具有些許曲率,以例如繞著末端效應器墊座的中央至少部分地延伸。在某些實施例中,可撓性構件可以是或仿壁虎腳趾的剛毛。在此類實施例中長度例如可以是在1微米至10微米的等級。在此類實施例中,長度可在1微米至10微米的等級。在此類實施例中,接觸構件可為次微米等級。
上述的實例指涉具有雙或多層級之基於凡得瓦力的結構。例如,在500微米寬之可撓性構件上之5微米直徑接觸構件的陣列可被特徵化為雙層級結構。5微米接觸構件更分支為複數500 nm自由端的實施例可被特徵化為三層級結構。在某些實施例中,文中所述的結構可包含額外的層級,例如,其可包含四個層級,其中結構的自由末端係用於與直接或間接連接至可撓性構件之基板產生凡得瓦交互作用,可撓性構件係用以在施加負載時偏移。又,在某些實施例中,可使用單一層級,其中可撓性構件的複數自由末端係用於與基板的凡得瓦交互作用。在此方式下,可撓性構件與接觸構件皆可以是微米結構或奈米結構。
在某些實施例中,以三維方式排列的複數微結構能達到受控制的銜合與卸載。圖4a顯示包含複數區域48之末端效應器接觸墊14之上視圖的概略實例。每一區域48可包含一或多個列之基於凡得瓦力的微結構,使得基板自末端效應器之卸載會依區發生。在此方式下,能大幅降低用以卸載基板的力,使基板不會驟離或不必要地產生粒子。在圖4a的實例中,區域48繞著墊之中央46的圓周延伸。圖4b顯示沿著圖4a之裁切線A所取之圖4a之最內三個區域48的概略橫剖面圖。在圖4b中,此些區域被標示為48a、48b與48c。在圖3b的概略實例中,此些區域中的每一者都包含三列基於凡得瓦力的結構。基於凡得瓦力的結構之有效彈簧常數與高度中的一者或兩者在不同區域48a–48c中係不同,俾使基板的卸載分階段發生。在圖4b的實例中,區域48a具有高度Ha、區域48b具有高度Hb、區域48c具有高度Hc,Hc > Hb > Ha。所示的高度係自末端效應器墊座16的底部量測至接觸結構28的最高點,但其可自平行於末端效應器表面之平面或已裝載未傾斜之基板的任何共同的參考平面量測。
區域48a–48c之接觸結構28會根據區域高度而接觸放置在末端效應器墊座16上的基板,最高的區域(圖4b中的區域48c)先接觸基板而接著才是依高度順序的其他區域依序接觸基板。複數高度可使得任一時間舉升基板的力F僅需克服單一區域之凡得瓦力。在某些實施例中,高度的差異使得一旦一個區域淨空後下一個區域便開始卸載。例如,在圖4b中的差異在於,接續區域的高度可以是已傾斜之接觸結構28的高度。在特定的實例中,可有數百或數千的區域,每一區域的高度僅差數奈米。
圖5a–5e顯示自已裝載之末端效應器12之末端效應器墊座16卸載基板。為了簡化說明,在圖4b中只顯示三個區域,但根據各種實施例,末端效應器墊可具有數十、數百、數千、數萬或更多的區域。又,為了簡化說明,每一區域被顯示成具有三列之基於凡得瓦力的微結構,但根據各種實施例,每一區域可包含自1至數百、數千或更多的列。先看圖5a,末端效應器12包含支撐基板10之末端效應器墊座16與基於凡得瓦力的微結構18。在圖5a所示之加載狀態中,藉由末端效應器12基板10可以最大加速度沿著x-y方向移動,其中最大加速度係受限於基板10與基於凡得瓦力的微結構18之間的最大摩擦力。與基板10之間的最大摩擦力係與基於凡得瓦力的微結構18的數目相關。基於凡得瓦力的微結構18在已裝載時會呈現擁擠狀態。
圖5b–5d顯示處於卸載狀態之基於凡得瓦力的結構。首先在圖5b中,當區域48b與48c尚與基板10維持銜合時,最內的區域48a係處於卸載的狀態。一旦負載基板10被卸載,區域48a中基於凡得瓦力的微結構會處於鬆懈、未受壓縮且未延伸的狀態。如圖5c中所示,當基板10持續地被舉升時,下個區域48b之基於凡得瓦力的微結構會剝離。在圖5d中,當持續將基板10舉離末端效應器12時,區域48c之基於凡得瓦力的微結構如圖所示會滑動或剝離基板10。區域48c之基於凡得瓦力的微結構的彈簧懸臂並不會完全鬆懈,接觸結構會部分卸載。圖5d中顯示基板10自末端效應器卸載,區域48a-48c中之基於凡得瓦力的結構回復到不擁擠的鬆懈、未壓縮與未延伸狀態。
在圖5a–5e之實例中用以舉升基板10的力F係足以克服在單一區域中基板10與基於凡得瓦力之微結構之間的黏著力,相較於若同時剝離所有基於凡得瓦力的結構,能使用遠遠較小的力。
在圖5a的實例中,基於凡得瓦力的微結構18具有連接至如圖2a-3d所述之接觸構件的可撓性構件,使得基板100在被舉升時微結構可用以在基板10各處滑動。在其他的實施例中,基於凡得瓦力的微結構可不用以在基板10各處滑動;例如,區域48a–48c 可包含奈米纖維的垂直陣列,奈米纖維的高度在依區域變化以達到階段移動。相較於非階段性卸載處理欲移除基板所用的力,可以較小的力便自奈米纖維剝離或以其他方式移除基板。
基於凡得瓦力的結構之階段卸載可取決於每一區域中之結構的有效彈簧常數,以致於在不同區域中基於凡得瓦力的結構的高度可維持固定(或變化)而彈簧常數隨著區域變化。在圖6a–6d中顯示了一實例。
圖6a顯示末端效應器墊座16上之三個區域48a、48b與48c之基於凡得瓦力的結構的概略橫剖面圖。為了簡化說明,只顯示三個區域,但根據各種實施例,末端效應器墊可具有數十、數百、數千、數萬或更多的區域。在圖6a的概略實例中,此些區域中的每一者皆包含一列基於凡得瓦力的微結構。基於凡得瓦力的結構的有效彈簧常數隨著區域 48a–48c而不同,使得基板的卸載分階段發生。在圖6a的實例中,區域48a具有有效彈簧常數ka、區域48b具有有效彈簧常數kb、區域48c具有有效彈簧常數kc,ka > kb > kc。本文中所用之基於凡得瓦力的微結構的有效彈簧常數一詞係指微結構整體的彈簧常數,其可被特徵化為微結構的剛度以及其如何反抗凡得瓦黏附力。
圖6b–6d顯示基板自包含圖6a中所示之結構的末端效應器墊卸載。在圖6b中,末端效應器12之末端效應器墊座16上之基於凡得瓦力的微結構18支撐基板10。在圖6b所示的已裝載狀態中,藉由末端效應器12基板10可以最大加速度在x-y方向上移動,最大加速度係受限於基板10與基於凡得瓦力的結構 18之間的最大摩擦力。
在圖6c中,最內的區域48a係已卸載但區域48c仍與基板10銜合,區域48b之基於凡得瓦力的結構滑動或剝離基板10。在圖6d中,顯示基板10已自末端效應器卸載,其中區域48a–48c中基於凡得瓦力的微結構已回復到鬆懈、未壓縮與未延伸狀態。
基於凡得瓦力的微結構的有效彈簧常數可被特徵化為,藉著自結構之鬆懈狀態偏移以壓縮或延伸結構的力。有效彈簧常數可取決於各種因素,包含材料的彈性模數以及結構之長度與形狀。以末端具有負載之懸臂樑來近似基於凡得瓦力的結構,則偏移可被近似為FL3/3EI,其中F為凡得瓦力、L為橫樑長度、E為彈性模數、I為慣性面積矩。假設在末端效應器墊之所有微結構之間固定力、彈性模數與慣性矩,在某些實施例中,可依區域變化基於凡得瓦力的結構的長度。在某些實施例中,除了長度之外可變化其他因素中的任一者或可變化其他因素中的任一者來取代長度。例如,可在不同區域中使用不同材料以變化彈性模數,可在不同區域中變化結構的幾何特徵以變化慣性矩,且可在不同區域中變化結構上之接觸構件的數目以變化力。
在圖5a–5e及6a–6d的實例中,區域係用以使階段性卸載徑向地向外發生。在其他實施例中,區域可用以使階段性卸載徑向地向內發生,例如,基於凡得瓦力的結構的長度朝向墊的中央增加。在其他的實施例中,非相鄰之區域接續卸載可以是有利的;例如,靠近基板中央的區域可先卸載,接著卸載靠近基板外圓周的區域等。
根據各種實施例,區域不必要是環形的,區域可由曲線或直線代表並以任何適合的方式配置。例如,接觸表面可以是方形的且由數千個區域所構成,每一區域係以直線方式配置。
在某些實施例中,當基板舉升離開末端效應器墊時,基於凡得瓦力的微結構可用以相對於基板傾斜以自基板剝離。傾斜與剝離的行為(讓基板能被舉離但不會傾斜或剝離基板)可以是高度、有效彈簧常數、墊座角度與基於凡得瓦力的結構如何配置的結果。圖7A顯示根據特定實施例可變化之角度之概略圖的實例。此些角度中的一或多者可以隨著基於凡得瓦力的結構的長度與彈性模數變化,以控制在卸載期間基於凡得瓦力的結構的剝離與其他特徵。角度α1 控制末端效應器墊座16的斜率,其範圍可介於自0o (平的墊座)至超過45o 。在某些實施例中,墊座較淺例如α1 係介於0o 至15o 。角度α2 為可撓性構件20自接觸墊座16突伸的角度,角度α3 為橫向部20A(若存在)自剩除之可撓性構件20突伸的角度。α2 之值的範圍例如介於20o 至160o 之間。應注意,在α2 為銳角的實施例中,接觸結構28可逕向地面向外。α3 之值的範圍例如介於20o 至160o 之間,搭配α2 與α3 的值俾使基於凡得瓦力的結構能在裝載期間接觸基板。角度α4 為接觸結構28相對於基板10的傾斜角度。α4 的值的範圍例如介於0o (相對於基板10是平的)至80o 之間,較大的值例如介於約40o 至80o 之間以提供較大的傾斜。在某些實施例中,角度α4 是或可近似為基於凡得瓦力的結構自基板10剝離的角度。在某些實施例中,角度α4 可被特徵化為接觸構件的底部(如支撐平面32或可撓性構件20)與一特定平面之間的角度,接觸構件係自該接觸構件的底部突伸,該特定平面係平行於表面29且位於接觸結構28正上方。此為尚未與接觸結構28銜合之前未傾斜之基板的平面;在圖7A的實例中,基板10的平面。末端效應器墊座16的表面29可為用以附著至末端效應器的表面。角度α5 為可撓性構件20相對於基板10傾斜的角度。在某些實施例中,角度α5 是或可近似為基於凡得瓦力的結構自基板10剝離的剝離角度。α5 的值的範圍例如介於20o 至160o 之間。在某些實施例中,角度α5 可被特徵化為可撓性構件20與一特定平面之間的角度,此特定平面平行於表面29且如上所述位於接觸結構28的正上方。角度α6 可為接續區域銜合與去銜合之速度的影響因素。α6 之值的範圍例如介於1o 至20o 之間,較低的值能提供較平順的銜合與去銜合。在某些實施例中,角度α6 可被特徵化為連接複數結構之頂端之線(例如圖7A中的線31)與一特定平面之間的角度,該特定平面(例如圖7A中基板10的平面)係平行表面29且位於此些結構上方。圖7B顯示在支持平面32或可撓性構件20上具有奈米髮30的接觸結構28的實例。角度α8 為奈米髮30相對於支撐平面32/可撓性構件20傾斜的角度。
如上所討論,本文中所述之末端效應器墊包含基於凡得瓦力的結構,凡得瓦力的結構可以是可撓性的且係用以藉由分子間的凡得瓦勢能黏附至半導體晶圓或其他基板。根據各種實施例,基於凡得瓦力的基材可為多層級結構或單層級結構。基於凡得瓦力的微結構的材料可包含碳系材料,包含奈米碳管(CNT)與石墨烯。材料亦可包含聚合物材料如各種熱塑性材料如聚醯亞胺與聚對苯二甲酸乙二酯、可撓性金屬材料及金屬結晶混合物、及半導體材料如矽。基於凡得瓦力的微結構的某些實施例為可撓性與彈性的,其具有大到足以支撐負載並遭受重覆偏移而不斷裂的強度。由於碳極強、可撓且具有高耐熱性,因此碳為期望的材料。碳結構(管、棒、線等的形式)可為上述之微米級及/或奈米級的。聚合物材料對於毋需耐受高溫的應用而言是有利的,因為其具有可撓性且容易製成各種結構。
對於多層級結構而言,不同層級可具有相同或不同的材料。在某些實施例中,所有層級(例如包含可撓性構件與接觸構件)皆可使用碳系材料。
根據各種實施例,可撓性構件可藉由化學汽相沈積(CVD)成長於基板上、可利用遮罩材料蝕刻、且可藉由微米或奈米壓印或其他模鑄處理所形成。奈米髮或其他接觸構件可成長如藉由催化之化學汽相沈積法成長於可撓性構件的上部上,或其可以後製方式接合至可撓性構件。墊座可為能讓可撓性構件成長或印刷於其上或讓後製之可撓性構件可接合於其上的任何材料。實例包含金屬與矽。聚合物結構可由各種方法形成,包含奈米壓印、嵌段共聚物材料的自我組裝、及微影製程。金屬結構可由汽相沈積技術所形成,包含化學汽相沈積(CVD)、物理汽相沈積(PVD)與原子層沈積(ALD)。矽結構(包含矽奈米線)可由各種方法所形成,如催化化學汽相沈積或矽基材的蝕刻。
微米級與奈米級碳結構包含碳奈米管的汽相沈積可涉及含碳氣體如乙烯之催化劑起始的熱分解。在某些實施例中,藉著控制催化劑的放置、沈積至犧牲模板中等可控制成長。在某些實施例中,可在已製造之末端效應器墊中結構欲附著於其上的表面上進行沈積,例如,直接在末端效應器墊座、可撓性構件或多層級結構中的其他層級上進行沈積。在一些其他的實施例中,可自沈積表面移除碳奈米管或其他結構,然後將其黏附至末端效應器墊座、可撓性構件等。
如上所述,在某些實施例中,本文中所述之微米級與奈米級可傾向末端效應器墊座或基於凡得瓦力的結構的其他部件傾斜。被包含於此作為參考之Zhou等人的Carbon 50 (2012) 5372-5379揭露了形成傾斜結構。在Zhou中,於矽基材上以催化CVD製造定義良好的多壁碳奈米管(MWCNT)陣列。MWCNT陣列的特徵在於10-15 nm的MWCNT直徑、約400微米的長度及1010 至1011 MWCNT/cm2 的密度。利用一靜電吸附薄使MWCNT陣列剝離矽基材,然後利用一滑動壓縮設備壓縮陣列,使奈米管傾斜。除了包含雙壁碳奈米管的MWCNT(DWCNT)外,可使用單壁碳奈米管(SWCNT)。以此方式,可使用碳奈米管來形成在鬆懈狀態下具有特定傾斜角度的可撓性構件及/或接觸構件。
可將碳奈米管纖維用於包含可撓性構件及/或接觸構件的各種層級。被包含於此作為參考之Behabtu等人的Science 339, 182 (2013)揭露了具有高張力強度、模數與伸長量之碳奈米管纖維的製造。
在奈米結構並非直接成長於末端效應器墊之另一元件的實施例中,可使用各種轉移法。此些方法包含利用吸附剝離奈米結構陣列然後利用彈性體轉移印刷。例如,可將金屬層沈積至單壁碳奈米管上,使用聚二甲基矽氧烷(PDMS)將該金屬/單壁碳奈米管轉移至期望的表面,然後使用化學蝕刻劑移除金屬層。
被包含於此作為參考之Lee等人的Nano Lett. 2011, 11, 3435–3439揭露一種轉移印刷法,其利用水貫穿奈米結構與施予基材之間的界面的現象,使得奈米結構自施予基材剝離並黏附至目標表面。除了CVD沈積外,可使用技術如印刷來形成本文中所述的結構。例如,被包含於此作為參考之Park等人的Nanoscale, 2013, 5, 1727–1752揭露單壁奈米管(SWNT)的噴墨印刷與溶膠印刷。
除了使用各種方法分別製造多層級結構的不同層級外,可施行多層級的共製造技術。下面將說明此類方法的實例。被包含於此作為參考之Ge等人的Proc. Natl. Acad. Sci. 2007, 104, 10792–10795揭露將碳奈米管束成複數柱,此些柱雖然具有獨立的裸露尖端但會共同形變。以此方式,可形成分支成複數鏟(裸露尖端)之類似於壁虎剛毛的結構(成束的柱)。接著,例如可將此類柱連接至或嵌入一底座如可撓性構件或末端效應器墊座中。
共製造不同層級的額外方法可包含雷射圖案化、微影製程及使用各種材料的壓印。類似於壁虎皮瓣的可撓性構件可藉由薄膜的雷射圖案化來加以製造;被包含於此作為參考之Lee等人的Langmuir 2009, 25(21), 12449–12453揭露利用高密度聚乙烯(HDPE)在類皮瓣結構上形成奈米纖維陣列之合成多層結構的方法。皮瓣的厚度、寬度與長度分別為15 微米、0.8 mm與1.3 mm,皮瓣結構上共製造的奈米纖維陣列具有600 nm的直徑與18微米的長度。這些方法可延伸至其他尺寸與材料。
被包含於此作為參考之Murphy等人的Applied Materials and Interfaces Vol. 1, No. 4, 849–855 2009揭露具有經控制之尖端形狀(包含類似於壁虎之鏟尖端,具有擴大之形狀)之多層級結構的形成方法。將具有蘑菇狀尖端的基本纖維浸入液體聚合物中,然後放到具有陰刻成的期望尖端的經蝕刻的模具上。基底纖維上的液體聚合物被抽到模具中的陰刻圖案中然後受到固化,固化後蝕刻移除模具。可將碳奈米管嵌入尖端中以製造更進一步之層級。
在某些實施例中,可撓性構件可自碳微結構所形成。被包含於此作為參考之Daicho等人的Optical Materials Express, Vol. 3, Issue 6, pp. 875-883 (2013)闡述製造複雜碳微結構的技術,包含直接雷射寫入及微移轉鑄造技術。被包含於此作為參考之Wang等人的ACS Macro Lett. 2012, 1, 1176−1179闡述自碳奈米管網路形成微結構的技術,其中微結構具有可調之機械特性。
雖然上面的技術提供了製造方法的實例,但亦可使用熟知此項技藝者已知的其他技術。
一旦墊形成後,可將墊黏附至末端效應器以供使用。圖8顯示包含了四個末端效應器接觸墊14之末端效應器12的實例。概略地顯示了末端效應器接觸墊14上的複數個環形區域,然而,區域通常為微觀等級太小而無法被看見。根據各種實施例,末端效應器接觸墊14在使用過特定時間後為可移除且可被置換的。
末端效應器接觸墊14的數目與尺寸可取決於基板尺寸以及期望的最大加速度。 在一實例中,一接觸構件之一100 nm寬的尖端可具有0.4 µN的凡得瓦吸引力。一墊上25百萬個尖端或四個墊中每一者上6.25百萬個尖端能提供10 N的總力或約1 kg。這能為450 mm 0.342 kg的半導體晶圓提供幾乎3的等效Cf。
本發明亦提供一種高產量的真空傳送模組以及包含高產量真空傳送模組的半導體設備,真空傳送模組包含文中所述之基於凡得瓦力的末端效應器。圖顯示包含高產量真空傳送模組之半導體處理設備的一實例。圖9中所示之半導體處理設備52可用以處理半導體基板如任何尺寸之半導體晶圓包含直徑300 mm的半導體晶圓與直徑450的半導體晶圓。真空傳送模組58係連接至一加載互鎖裝置56一處理模組54。雖然只有繪示出一個處理模組,但根據各種實施例,可具有任何數目之連接至真空傳送模組58的處理模組。處理模組的實例可包含沈積室、蝕刻室等。真空傳送模組58包含一真空傳送模組(VTM)機器人,真空傳送模組機器人包含兩個機器人手臂66以及連接至每個機器人手臂66的兩個基於凡得瓦力的末端效應器12。顯示被半導體晶圓10覆蓋的兩個末端效應器12。處理模組54包含多個晶圓支撐件70。圖9中的真空傳送模組58係用以在加載互鎖裝置56與處理模組54間傳送基板。加載互鎖裝置56可連接至包含一大氣(ATM)機器人的大氣傳送模組(未顯示),大氣傳送模組可連接至晶圓儲存位置如一或多個前端開口萬用盒(FOUPs)。下面提供了自FOUP至欲進行處理之處理模組54中之晶圓的路徑實例: 1 – ATM 機器人FOUP提取 2 – ATM 機器人 移動至加載互鎖裝置 3 – ATM 機器人 加載互鎖裝置 放置 4 – 加載互鎖裝置 泵抽 5 – VTM 機器人 加載互鎖裝置 提取 6 – VTM 機器人 移動至處理模組 7 – VTM 機器人 處理模組 放置 下面提供自處理模組54至FOUP之已處理晶圓的路徑實例: 1’ – VTM 機器人 處理模組 提取 2’ – VTM 機器人 移動至加載互鎖裝置 3’ – VTM 機器人 加載互鎖裝置 放置 4’ – 加載互鎖裝置 排放/冷卻 5’ – ATM 機器人 加載互鎖裝置 提取 6’ – ATM 機器人 移動至FOUP 7’ – ATM 機器人 FOUP 放置
移動步驟2、2’、6與6’之每一者的時間點係受到最大摩擦係數的限制。例如,可利用一安全因子5基於末端效應器的摩擦係數將最大加速度與減速度限制至一值,使多個步驟中的最大加速度不高於1/5之最大允許加速度。雖然真空致動的末端效應器可增加ATM機器人步驟2與6’的加速度,但真空致動無法被用於步驟2’與6的VTM機器人。本文中所述之基於凡得瓦力的末端效應器能使此些步驟獲得遠遠更高的加速度。又,本文中所述之基於凡得瓦力的末端效應器可利用一無致動的被動系統來致能ATM 機器人步驟2與6’的高加速度。在某些實施例中,可使用至少0.5 g、至少1 g、至少2 g或至少3 g的加速度。
半導體處理設備52亦可包含用以控制半導體處理設備52之處理條件與硬體狀態的控制器。圖10顯示控制系統之實施例的方塊圖。應瞭解,可使用其他方案與配置。在某些實施例中,控制器53(其可包含一或多個實質或邏輯的控制器)控制處理設備的某些或全部操作。在一處理器上執行用以施行適當控制操作的指令。此些指令可被儲存在與控制器相關的記憶體裝置上或此些指令可藉由網路所提供。在某些實施例中,控制器執行系統控制軟體。
例如,控制器可控制自設備外部接收晶圓、拿取與放置晶圓及/或將晶圓自一位置傳送至另一位置。控制器53可包含一或多個儲存裝置57及一或多個處理器59。處理器59可包含一CPU或電腦、邏輯及/或數位輸入/輸出連接器、步進馬達控制器板等。
在某些實施例中,控制器53控制真空傳送模組58的所有活動。在某些實施例中,控制器53為控制處理設備52之所有活動的系統控制器。在某些實施例中,控制器53執行儲存在儲存裝置57中並在處理器59執行的機器可讀控制軟體59,使得設備進行根據本發明實施例的方法。或者,可將控制邏輯硬體編碼(hard coded)至控制器中。為了此些目的可使用應用特定積體電路、可編程之邏輯裝置(如現場可程式化閘極陣列或FPGAs)等。在下面的討論中若用到「軟體」,可使用功能相匹配的硬體編碼邏輯代替之。「碼」一詞可指軟體或硬體編碼邏輯。
控制軟體59可包含用以控制下列者的指令:提取與放置動作之時間點、閥件與門開口、機器人動作包含旋轉動作、機器人手臂動作包含垂直、旋轉與x-y方向的移動、機器人手臂加速與減速、夾頭及/或支撐件的位置、及半導體處理設備之特定傳送處理使用的參數。可以任何適當的方式來配置控制軟體。例如,可將各種處理設備元件的副程式或控制物件寫至用以進行各種處理設備處理之處理設備元件的控制操作。可以任何適合的電腦可讀程式語言來編碼控制軟體59。
在某些實施例中,控制軟體59可包含輸入/輸出控制(IOC)序列指令以控制上述的各種參數。例如,傳送處理的每個階段可包含一或多個由控制器53所執行的指令。在某些實施例中可使用被儲存在與控制器53相關之大量儲存裝置57上的其他電腦軟體及/或程式。為此目的之程式或程式區段的實例包含基板定位程式、機器人控制程式、處理模組門或閥時序程式以及加載互鎖裝置門或閥時序程式。
在圖10所示的實例中,控制器53可藉由開關68發送指令至用以控制處理模組PM1與PM2的處理模組控制器63與64、真空傳送模組控制器65及真空傳送模組機器人61(處理控制器可控制之半導體處理設備的其他部件係未顯示於此圖中)。圖10中所示的每一模組可具有複數個輸入/輸出控制器(IOCs)以連接至每個模組的獨立閥、感測器等。控制器可實體位於設備的各處;例如可位於模組內或遠離模組處。真空傳送機器人61可自真空傳送控制器65接收直接指令以取代自控制器53接收指令或除了自控制器53接收指令外亦自真空傳送控制器65接收直接指令。
基板定位程式可包含用於下列者的程式碼:使基板沿著垂直路徑移動、偵測基板位置、及基於已偵測到的基板位置來校正基板位置。
機器人控制程式可包含用於下列者的程式碼:使機器人與機器人手臂沿著z方向、x-y方向與沿著一或多個垂直路徑的旋轉方向移動。機器人控制程式可包含用於下列者的程式碼:適當地開始與停止一或多個機器人馬達、控制機器人手臂的加速與減速。機器人控制程式可包含用以在各個位置處提取與放置基板的程式碼。處理模組或加載互鎖裝置門或閥的時序程序可包含用以開啟與關閉門或閥以允許機器人接取的程式碼。
在某些實施例中,可具有與控制器53相關的使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件的圖形軟體顯示以及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
藉由系統控制器53之類比及/或邏輯輸入連接點可提供來自各個處理設備感測器的監測訊號。可在處理設備52的類比與數位輸出連接點上輸出用以控制處理的訊號。可被監測之處理設備感測器的非限制性實例包含基板位置偵測器、溫度計、機器人馬達轉矩感測器等。適當程式化的回饋與控制演算法可與來自此些感測器的數據一起使用以維持條件。
上文中所述的設備/方法可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LEDs、光伏面板等的微影圖案化設備或處理。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件即基板上;(2)利用熱板、爐管或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。本文中所述的機器人可用以將基板自一設備傳送至另一設備,是以輔助製造處理。
當瞭解,除非明確地指出特定實施例之任一者中的特徵彼此不匹配或文義暗示基於互補及/或支持的角度其彼此互斥且無法輕易組合,否則本發明的整體認為且預見可選擇性地組合該些互補實施例的特定特徵以得到一或多個可理解但稍微不同的技術方案。因此,更應瞭解,上述說明僅提供實例,在本發明的範疇內可對細節進行修改。
10‧‧‧基板
12‧‧‧末端效應器
14‧‧‧末端效應器接觸墊
15‧‧‧前側
16‧‧‧末端效應器墊座
18‧‧‧可撓性微結構
20‧‧‧可撓性構件
20A‧‧‧橫向部
22‧‧‧一側
28‧‧‧接觸結構
29‧‧‧表面
30‧‧‧奈米髮
31‧‧‧線
32‧‧‧支持層
46‧‧‧中央
48‧‧‧區域
48a‧‧‧區域
48b‧‧‧區域
48c‧‧‧區域
52‧‧‧半導體處理設備
53‧‧‧控制器
54‧‧‧處理模組
56‧‧‧加載互鎖裝置
57‧‧‧儲存裝置
58‧‧‧真空傳送模組
59‧‧‧處理器
59‧‧‧控制軟體
61‧‧‧真空傳送模組機器人
63‧‧‧處理模組控制器
64‧‧‧處理模組控制器
65‧‧‧真空傳送模組控制器
66‧‧‧機器人手臂
68‧‧‧開關
70‧‧‧晶圓支撐件
E‧‧‧彈性模數
F‧‧‧凡得瓦力
Ha‧‧‧高度
Hb‧‧‧高度
Hc‧‧‧高度
I‧‧‧慣性面積矩
ka‧‧‧有效彈簧常數
kb‧‧‧有效彈簧常數
kc‧‧‧有效彈簧常數
L‧‧‧長度
W‧‧‧寬度
α1‧‧‧角度
α2‧‧‧角度
α3‧‧‧角度
α4‧‧‧角度
α5‧‧‧角度
α6‧‧‧角度
α8‧‧‧角度
圖1顯示在基板傳送期間作用於基板上之力的簡單概圖的實例,該基板係被支撐於機器人末端效應器的末端效應器接觸墊上。
圖2a顯示在卸載狀態下之可撓性之基於凡得瓦力的微結構之實例的概圖,該微結構包含連接至接觸結構的可撓性構件。
圖2b顯示其上裝載有一基板之圖2a之可撓性之基於凡得瓦力的微結構。
圖2c顯示在裝載狀態下之圖2a之可撓性之基於凡得瓦力的微結構。
圖2d顯示圖2a中所示之接觸結構之實例的放大圖。
圖3a顯示連接至基於凡得瓦力的微結構之可撓性構件的接觸結構的實例的概圖。
圖3b顯示其上裝載有基板期間可撓性之基於凡得瓦力的微結構之實例的概圖,該微結構包含連接至接觸結構的可撓性構件。
圖3c顯示在裝載期間基於凡得瓦力的微結構之接觸結構之奈米結構之實例的概圖。
圖3d顯示基於凡得瓦力的結構的可撓性構件的實例的概圖。
圖4a顯示末端效應器接觸墊之俯視圖之實例的概圖,該接觸墊包含基於凡得瓦力的微結構的複數區域。
圖4b顯示沿著圖4a之裁切線A所取之圖4a中之末端效應器接觸墊之最外三個區域的概略橫剖面圖。
圖5a–5e顯示自已裝載之末端效應器之末端效應器墊座卸載位於定位的基板,該末端效應器所包含的接觸墊具有配置在不同高度之區域中的基於凡得瓦力的微結構。
圖6a–6d顯示自已裝載之末端效應器之末端效應器墊座卸載位於定位的基板,該末端效應器所包含的接觸墊具有配置在不同彈簧常數之區域中的基於凡得瓦力的微結構。
圖7A與7B顯示根據特定實施例基於凡得瓦力的微結構之角度可變化的實例的示意圖。
圖8顯示包含四末端效應器接觸墊之末端效應器之實例,該接觸墊包含基於凡得瓦力的微結構。
圖9顯示包含高產量真空傳送模組之半導體處理設備的實例,該真空傳送模組具有包含基於凡得瓦力的微結構的末端效應器。
圖10顯示可用以控制半導體處理設備之控制系統之實例的方塊圖。
10‧‧‧基板
12‧‧‧末端效應器
16‧‧‧末端效應器墊座
48a‧‧‧區域
48b‧‧‧區域
48c‧‧‧區域
F‧‧‧凡得瓦力

Claims (35)

  1. 一種末端效應器用的接觸墊,包含: 一接觸墊座;及 排列於該接觸墊座上的複數結構,該複數結構中的每一者包含一可撓性構件與連接至該可撓性構件的複數接觸構件,該可撓性構件在基板應用上係用以偏移;而該複數接觸構件係用以藉由凡得瓦黏附力而黏附基板。
  2. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該複數結構係以繞著該接觸墊座之中心延伸之圓周列配置。
  3. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該複數結構係配置於複數個區域中俾使基板自該接觸墊卸載的動作依區域進行。
  4. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該複數結構的每一者具有一高度,且其中該複數結構的複數高度在該接觸墊中各處變化。
  5. 如申請專利範圍第4 項之末端效應器用的接觸墊,其中該高度的該變化具有圓對稱性。
  6. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該複數結構之每一者具有一有效彈簧常數,且其中該複數結構之該複數有效彈簧常數在該接觸墊中各處變化。
  7. 如申請專利範圍第6項之末端效應器用的接觸墊,其中該有效彈簧常數的該變化具有圓對稱性。
  8. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該可撓性構件為微米級結構。
  9. 如申請專利範圍第1項之末端效應器用的接觸墊,其中每一該接觸構件包含分支成複數自由末端的一基底。
  10. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該可撓性構件包含碳微結構。
  11. 如申請專利範圍第1項之末端效應器用的接觸墊,其中該可撓性構件包含碳奈米管網路。
  12. 如申請專利範圍第1項之末端效應器用的接觸墊,其中一該可撓性構件的最大尺寸係小於1 mm。
  13. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中一該可撓性構件的最小尺寸係小於100 微米。
  14. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中一該接觸構件之最小尺寸係小於1 微米。
  15. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中一該接觸構件的最小尺寸係小於500奈米。
  16. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中該可撓性構件包含一聚合物。
  17. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,且其中該複數結構中的每一者更包含一接觸構件底部且該接觸構件係自該接觸構件底部突伸,其中該接觸構件底部相對於平行該第一表面且在該結構上方的一平面係以第一角度傾斜,該第一角度之值係介於0o 至80o 之間。
  18. 如申請專利範圍第17項之末端效應器用的接觸墊,其中該第一角度之該值係介於40o 與80o 之間。
  19. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,且其中該複數結構中之每一者的該可撓性構件相對於平行該第一表面且在該結構上方的一平面係以一角度傾斜,該角度之值係介於20o 至160o 之間。
  20. 如申請專利範圍第1-12項中任一項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,且其中介於該複數結構上方平行該第一表面之一平面與連接複數該結構之該等接觸構件之線之間的一角度係介於約0o 與20o 之間。
  21. 一種末端效應器用的接觸墊,包含: 一接觸墊座;及 排列於該接觸墊座上的複數結構,其中該複數結構中的每一者皆具有一有效彈簧常數與一高度,且其中該複數結構之該有效彈簧常數與該高度中的至少一者係於該接觸墊中各處變化。
  22. 如申請專利範圍第21項之末端效應器用的接觸墊,其中該高度或該有效彈簧常數的該變化具有圓對稱性。
  23. 如申請專利範圍第21項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,其中該複數結構中之每一者更包含一接觸構件底部且該接觸構件係自該接觸構件底部突伸,且其中該接觸構件底部相對於平行該第一表面且在該結構上方的一平面係以第一角度傾斜,該第一角度之值係介於0o 至80o 之間。
  24. 如申請專利範圍第23項之末端效應器用的接觸墊,其中該第一角度的該值係介於40o 至80o 之間。
  25. 如申請專利範圍第21項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,且其中該複數結構中的每一者相對於平行該第一表面且在該結構上方的一平面係以一角度傾斜,該角度之值係介於20o 至160o 之間。
  26. 如申請專利範圍第21項之末端效應器用的接觸墊,其中該接觸墊座包含用以與一末端效應器接觸的一第一表面,其中該複數結構中的每一者更包含一接觸構件底部且該接觸構件係自該接觸構件底部突伸,且其中介於該複數結構上方平行該第一表面之一平面與連接複數該結構之該等接觸構件之線之間的一角度係介於約0o 與20o 之間。
  27. 一種用以連接至機器人手臂的末端效應器,包含如申請專利範圍第1-26項中任一項之一或多個末端效應器用的接觸墊。
  28. 一種機器人,包含: 一或多個臂; 一馬達,用以移動該一或多個臂;及 一或多個末端效應器,用以連接至該一或多個臂,其中該一或多個末端效應器係用以藉由被動接觸以在x-y方向上至少約0.5 g的加速度傳送一半導體基板而不使其滑動,並藉著施加正向力以非致動方式卸載該半導體基板而不使其傾斜。
  29. 如申請專利範圍第28項之機器人,其中該一或多個效應器在高於400o C的溫度下可操作以支撐半導體基板。
  30. 如申請專利範圍第28項之機器人,其中每一該末端效應器包含一接觸墊,該接觸墊具有: 一接觸墊座;及 排列於該接觸墊座上的複數結構,該複數結構中的每一者包含一可撓性構件與連接至該可撓性構件的複數接觸構件,該可撓性構件在基板應用上係用以偏移;而該複數接觸構件係用以藉由凡得瓦黏附力而黏附基板。
  31. 一種半導體處理設備,包含: 一或多個處理模組; 連接至該一或多個處理模組的一傳送模組,用以將半導體基板傳送至該一或多個處理模組及自該一或多個處理模組傳送該複數半導體基板,該傳送模組包含如申請專利範圍第28-30項中任一項之機器人。
  32. 如申請專利範圍第31項之半導體處理設備,其中該傳送模組係用以將半導體基板傳送至一加載互鎖裝置或基板儲存位置及自該加載互鎖裝置或基板儲存位置傳送該複數半導體基板。
  33. 如申請專利範圍第31項之半導體處理設備,其中該傳送模組為一真空傳送模組。
  34. 如申請專利範圍第31項之半導體處理設備,更包含一控制器,該控制器具有機器可讀指令以用至少1 g的加速度在x-y方向上移動該末端效應器。
  35. 一種自末端效應器卸載基板的方法,包含: 提供藉由一末端效應器以被動接觸支撐的一基板,其中該基板係藉由該基板與該末端效應器上之複數凡得瓦結構之間的凡得瓦黏附力支撐;及 施加垂直於該基板的力以自該末端效應器舉升該基板而造成該凡得瓦黏附力失去銜合,俾使該基板在整個去銜合期間維持不傾斜。
TW103144695A 2013-12-23 2014-12-22 用於改良式晶圓處理之微結構 TW201539611A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/139,522 US9698035B2 (en) 2013-12-23 2013-12-23 Microstructures for improved wafer handling

Publications (1)

Publication Number Publication Date
TW201539611A true TW201539611A (zh) 2015-10-16

Family

ID=53399073

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144695A TW201539611A (zh) 2013-12-23 2014-12-22 用於改良式晶圓處理之微結構

Country Status (6)

Country Link
US (1) US9698035B2 (zh)
JP (1) JP2015135963A (zh)
KR (1) KR20150073873A (zh)
CN (1) CN104733354A (zh)
SG (1) SG10201408517YA (zh)
TW (1) TW201539611A (zh)

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9440359B2 (en) * 2014-08-19 2016-09-13 GM Global Technology Operations LLC Conformable dry adhesive holding device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6918770B2 (ja) * 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040205A1 (en) * 2015-08-05 2017-02-09 Lam Research Corporation High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6616194B2 (ja) * 2016-01-15 2019-12-04 日東電工株式会社 載置部材
JP6914386B2 (ja) * 2016-01-15 2021-08-04 日東電工株式会社 載置部材
JP2017126695A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105923599B (zh) * 2016-06-07 2017-04-19 西安交通大学 一种基于气致动的干粘附复合结构及制造工艺
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR20180064505A (ko) * 2016-11-07 2018-06-14 어플라이드 머티어리얼스, 인코포레이티드 기판을 홀딩하기 위한 캐리어, 프로세싱 시스템에서의 캐리어의 사용, 캐리어를 이용하는 프로세싱 시스템, 및 기판의 온도를 제어하기 위한 방법
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6855298B2 (ja) * 2017-03-23 2021-04-07 日東電工株式会社 搬送固定治具
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019042565A1 (en) * 2017-09-01 2019-03-07 Applied Materials, Inc. RETENTION ARRANGEMENT FOR HOLDING A SUBSTRATE, MEDIUM COMPRISING THE RETENTION ARRANGEMENT, PROCESSING SYSTEM USING THE MEDIUM, METHOD FOR RETAINING A SUBSTRATE, AND METHOD FOR RELEASING A SUBSTRATE FROM A RETENTION ARRANGEMENT
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) * 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
JP7144149B2 (ja) * 2018-02-06 2022-09-29 日東電工株式会社 カーボンナノチューブ集合体
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11062935B2 (en) * 2018-08-06 2021-07-13 Kla Corporation Low profile wafer manipulator
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102078309B1 (ko) 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP6960386B2 (ja) * 2018-10-31 2021-11-05 日立造船株式会社 カーボンナノチューブ成形体の製造方法およびカーボンナノチューブ成形体製造装置
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US20220220627A1 (en) * 2019-05-17 2022-07-14 Lam Research Corporation Substrate sticking and breakage mitigation
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7364371B2 (ja) * 2019-06-28 2023-10-18 川崎重工業株式会社 基板搬送ロボット及び基板搬送ロボットの制御方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
JP7365800B2 (ja) * 2019-07-09 2023-10-20 日清紡マイクロデバイス株式会社 ステージ装置
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102104487B1 (ko) 2019-12-23 2020-04-24 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR102432439B1 (ko) 2019-12-23 2022-08-16 주식회사 아이에스케이 기판 이송 모듈용 슬립 방지 척
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR102382452B1 (ko) * 2020-02-06 2022-04-05 주식회사 글린트머티리얼즈 컨벡스, 컨케이브 및 플랫 웨이퍼 이송 로봇암에 장착되는 미끄럼 방지 패드
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11942878B2 (en) * 2020-04-01 2024-03-26 Bvw Holding Ag Microstructured field effect device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP7256773B2 (ja) * 2020-04-24 2023-04-12 信越化学工業株式会社 平坦性制御方法、塗膜の形成方法、平坦性制御装置、及び塗膜形成装置
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081216A (ko) * 2020-12-08 2022-06-15 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4220302A1 (en) * 2022-01-27 2023-08-02 ASML Netherlands B.V. System for holding an object in a semiconductor manufacturing process, lithographic apparatus provided with said system and method
WO2023150732A2 (en) * 2022-02-03 2023-08-10 Greene, Tweed Technologies, Inc. End effectors and end effector pads having crosslinked polymers for semiconductor applications to provide improved manufacturing speed and methods of making and using the same
WO2024010711A1 (en) * 2022-07-05 2024-01-11 Lam Research Corporation End effector
WO2024122025A1 (ja) * 2022-12-08 2024-06-13 株式会社日立ハイテク ウェハ搬送用ハンド、ウェハ交換装置、荷電粒子線装置および真空装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223252A (ja) 2000-02-07 2001-08-17 Assist Japan Kk ロボットの吸着レスハンド
US6896304B2 (en) * 2002-09-03 2005-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic sensing wafer blade and method for using
JP2005183784A (ja) 2003-12-22 2005-07-07 Optrex Corp 基板搬送装置
TWI353395B (en) * 2003-12-31 2011-12-01 Microfabrica Inc Method and apparatus for maintaining parallelism o
WO2005068137A1 (en) * 2004-01-05 2005-07-28 Lewis & Clark College Self-cleaning adhesive structure and methods
GB2435719A (en) * 2006-03-03 2007-09-05 Darrell Lee Mann Gripping device with a multitude of small fibres using van der Waals forces
US20070221335A1 (en) 2006-03-23 2007-09-27 Recif Technologies Device for contact by adhesion to a glass or semiconductor plate (wafer) surface or the like and system for gripping such a plate comprising such a device
US20080025822A1 (en) * 2006-04-17 2008-01-31 Sangbae Kim Device and method for handling an object of interest using a directional adhesive structure
FR2935537B1 (fr) * 2008-08-28 2010-10-22 Soitec Silicon On Insulator Procede d'initiation d'adhesion moleculaire
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
JP6282980B2 (ja) * 2011-12-16 2018-02-21 ブルックス オートメーション インコーポレイテッド 搬送装置
WO2013096730A1 (en) * 2011-12-22 2013-06-27 Carnegie Mellon University Methods, apparatuses, and systems for micromanipulation with adhesive fibrillar structures

Also Published As

Publication number Publication date
US9698035B2 (en) 2017-07-04
JP2015135963A (ja) 2015-07-27
US20150174768A1 (en) 2015-06-25
KR20150073873A (ko) 2015-07-01
SG10201408517YA (en) 2015-07-30
CN104733354A (zh) 2015-06-24

Similar Documents

Publication Publication Date Title
TW201539611A (zh) 用於改良式晶圓處理之微結構
Li et al. Recent developments in gecko-inspired dry adhesive surfaces from fabrication to application
US10919158B2 (en) Three-dimensional electromechanical adhesive devices and related systems and methods
JP5701331B2 (ja) エラストマースタンプへの接着の動的コントロールによるパターン転送印刷
US8535791B2 (en) Aligned carbon nanotube-polymer materials, systems and methods
US9630849B2 (en) Method for transferring carbon nanotube array and method for forming carbon nanotube structure
TWI420237B (zh) 藉由對彈性印模之黏著性動力控制之圖案轉印印刷
Kustandi et al. Self‐assembled nanoparticles based fabrication of gecko foot‐hair‐inspired polymer nanofibers
Sameoto et al. Recent advances in the fabrication and adhesion testing of biomimetic dry adhesives
CN107818931B (zh) 半导体微元件的转移方法及转移装置
US9862170B2 (en) Method for transferring carbon nanotube array and method for forming carbon nanotube structure
Yoo et al. Elastomeric angled microflaps with reversible adhesion for transfer-printing semiconductor membranes onto dry surfaces
US20140272272A1 (en) Electrostatic dry adhesives
US9776872B2 (en) Method for transferring carbon nanotube array and method for forming carbon nanotube structure
CA2678158A1 (en) Aligned carbon nanotube-polymer materials, systems and methods
US9394176B2 (en) Method for making carbon nanotube film
KR20090038885A (ko) 클리닝 부재, 클리닝 기능 부착 반송 부재, 및 기판 처리장치의 클리닝 방법
US20140369802A1 (en) Methods, apparatuses, and systems for micromanipulation with adhesive fibrillar structures
US9643848B2 (en) Method for transferring carbon nanotube array and method for forming carbon nanotube structure
Cagliani et al. Manipulation and in situ transmission electron microscope characterization of sub-100 nm nanostructures using a microfabricated nanogripper
Boutilier et al. Limiting mechanisms and scaling of electrostatically controlled adhesion of soft nanocomposite surfaces for robotic gripping
Nguyen et al. Deterministic assembly of arrays of lithographically defined WS2 and MoS2 monolayer features directly from multilayer sources into van der Waals heterostructures
Li et al. Load sharing design of a multi-legged adaptable gripper with Gecko-inspired controllable adhesion
US11535006B2 (en) Nanocomposite surfaces with electrically switchable adhesion
JP4684570B2 (ja) 基板上に規則配列した触媒金属微粒子を利用したカーボンナノチューブの形成法