CN104733354A - 用于改善的晶片装卸的微结构 - Google Patents

用于改善的晶片装卸的微结构 Download PDF

Info

Publication number
CN104733354A
CN104733354A CN201410831638.XA CN201410831638A CN104733354A CN 104733354 A CN104733354 A CN 104733354A CN 201410831638 A CN201410831638 A CN 201410831638A CN 104733354 A CN104733354 A CN 104733354A
Authority
CN
China
Prior art keywords
contact
substrate
base portion
end effector
contact pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410831638.XA
Other languages
English (en)
Inventor
马修·J·罗德里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104733354A publication Critical patent/CN104733354A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)

Abstract

本文所提供的是用于改善的晶片装卸的微结构,具体涉及用于传送包括半导体晶片在内的衬底的高摩擦系数的接触表面。在某些实施方式中,接触表面包括利用分子间表面力以增加在衬底传送过程中在x-y方向上粘附性和摩擦的微结构,同时允许在z方向容易释放而不倾斜衬底。还提供的是包括接触表面的机械手端部执行器以及相关的高吞吐量传送系统和方法。

Description

用于改善的晶片装卸的微结构
技术领域
本发明总体上涉及半导体处理领域,具体涉及用于改善的晶片装卸的微结构。
背景技术
在半导体器件的制造过程中不同类型的工具被用于执行数百个处理操作。这些操作大部分在处于非常低的压强下(即在真空或部分真空下)的处理室中执行。这样的处理室可以围绕中央轮毂布置,并且可将轮毂与处理室保持在基本相同的非常低的压强下。晶片可以通过机械地耦合到处理室和/或中央轮毂的晶片装卸系统引入到处理室。晶片装卸系统将晶片从工厂车间传送到处理室中。晶片装卸系统可以包括带晶片往返于大气条件与非常低的压强条件的装载锁,以及将晶片转移到各种位置的机械手。晶片装卸系统可以利用在真空环境外部操作的机械手,例如,在周围工厂车间环境中操作的机械手,以及在处理室和中心轮毂的非常低压强的环境中操作的机械手。吞吐量(在一段时间内处理的晶片的数量)受到处理时间、一次处理的晶片的数量、以及引入晶片到真空处理室中所需要的时间的影响。
发明内容
本文所描述的主题的一个方面可以在端部执行器的接触垫中实施。接触垫可包括排列在接触垫基部上的若干结构,每个结构包括挠性构件和多个连接到所述挠性构件的接触构件,所述挠性构件配置成在施加衬底的情况下偏转,并且所述接触构件被配置为通过范德华粘附力粘附到衬底。这些结构可以根据各种实施方式以多种配置方式进行排列。例如,这些结构可以被布置成围绕所述接触垫基部的中心延伸的周向的列。在一些实施方式中,所述结构被配置在多个区域中,该多个区域被配置成使得衬底从接触垫的释放是按区域分阶段的。在一些实施方式中,所述多个结构的高度和/或有效弹簧常数跨越接触垫而变化。高度和/或有效弹簧常数方面的差异可以是围绕点对称的。例如,这些差异可以是圆对称性的。
这些挠性构件可以具有多种尺寸。在一些实施方式中,挠性构件的最大尺寸小于1毫米。在相同或其它实施方式中,挠性构件的最小尺寸可以小于100微米。挠性构件的材料的示例可包括碳(例如碳纳米管的网络)以及聚合材料。接触构件也可以具有多种尺寸。在一些实施方式中,接触构件的最小尺寸小于1微米,或小于500纳米。在一些实施方式中,每个接触构件可包括分割成多个自由端的基部。
此处所描述的主题的另一个方面可以在端部执行器的接触垫实施,该接触垫包括排列在接触垫基部上的多个结构,该多个结构中的每一个具有有效弹簧常数和高度,所述多个结构的有效弹簧常数和高度中的至少一个跨越接触垫变化。在一些实施方式中,高度或有效弹簧常数的变化可具有圆对称性。
本文所描述的主题的另一个方面可以以如本文描述的具有一个或多个端部执行器的接触垫的端部执行器实施。
本文所描述的主题的另一个方面可以以机械手实施,所述机械手具有:一个或多个臂部;配置为移动所述一个或多个臂部的马达;以及连接到所述一个或多个臂部的一个或多个端部执行器。所述一个或多个端部执行器可被构造成在x-y方向以至少约0.5g的加速度通过无源接触传送半导体衬底而不滑脱并构造成用于在施加法向力的情况下将衬底非致动释放半导体而不倾斜。在一些实施方式中,一个或多个端部执行器可操作地在温度高于400℃支承半导体衬底。在一些实施方式中,一个或多个端部执行器可以被配置为传送直径为至少300毫米或者至少450毫米的半导体衬底。
本文所描述的主题的另一个方面可以以半导体处理工具实施。该处理工具可包括传送模块,该传送模块被配置为连接到一个或多个处理模块并被配置为将半导体衬底往来于一个或多个处理模块传送。传送模块包括具有如在本公开中描述的一个或多个端部执行器接触垫的机械手。在一些实施方式中,该处理工具还包括连接到所述传送模块的一个或多个处理模块。在一些实施方式中,传送模块被配置为连接到装载锁或衬底存储位置并将半导体衬底往来于装载锁或衬底存储位置传送。传送模块可以是真空或大气传送模块。该处理工具可以进一步包括控制器,该控制器包括机器可读指令以在x-y方向上以至少0.5g,至少1g,或者更高的加速度移动端部执行器。
本文所描述的主题的另一个方面可以以从端部执行器释放衬底的方法实施。该方法可包括提供由端部执行器通过无源接触支承的衬底,所述衬底通过衬底和在端部执行器上的多个范德华结构之间的范德华粘附力支承;以及施加法向力至衬底以将衬底从端部执行器抬起,从而使范德华力解除,使得在整个解除过程中衬底保持不倾斜。在一些实施方式中,释放是阶段的,使得法向力小于粘附力。
参考附图在下文中对本文所描述的主题的这些方面和其它方面进一步描述。
附图说明
图1示出了在衬底传送过程中作用在支承在机械手端部执行器的端部执行器的接触垫上的衬底上的力的简化示意图的示例。
图2a示出了在无装载状态下的挠性范德华基微结构的示意图,其包括连接到接触结构的挠性构件。
图2b示出了在将衬底装载在图2a中的挠性范德华基微结构上的过程中该结构的示意图。
图2c示出了装载状态下的图2a中的挠性范德华基微结构的示意图。
图2d示出了在图2a中所示的接触结构的示例放大示意图。
图3a示出了连接到范德华基微结构的挠性构件的接触结构的示例示意图。
图3b示出了在将衬底装载在挠性范德华基微结构上的过程中挠性范德华基微结构的示意图,该微结构包括连接到接触结构的挠性构件。
图3c示出了在装载过程中范德华基微结构的接触结构的纳米结构的示例示意图。
图3d示出了范德华基挠性构件的示例示意图。
图4a示出了包括多个区域的范德华基微结构的端部执行器接触垫的俯视图的示例示意图。
图4b示出了沿图4a中的端部执行器接触垫的最内三个区域的图4a的线A的示意剖视图。
图5a-5e示出了从已装载的端部执行器的端部执行器垫基部分阶段释放衬底,所述端部执行器包括具有设置在具有不同高度的区域中的范德华基微结构的接触垫。
图6a-6d示出了从已装载的端部执行器的端部执行器垫基部分阶段释放衬底,所述端部执行器包括具有设置在具有不同弹簧常数的区域中的范德华基微结构的接触垫。
图7A和7B示出了可以根据特定实施方式改变的范德华基微结构的角度的示例示意图。
图8示出了包括4个端部执行器接触垫的端部执行器的示例,所述端部执行器接触垫包括范德华基微结构。
图9示出了包括具有端部执行器的高吞吐量真空传送模块的半导体处理工具的示例,所述端部执行器包括范德华基微结构。
图10示出了可用于控制半导体处理工具的控制系统的示例的框图。
具体实施方式
在附图中示出了各种实施方式的示例并在下文中做进一步描述。应该理解的是,这里的讨论不旨在将权利要求限制成所描述的具体实施方式。相反,它旨在覆盖权利要求书所限定的本发明的实质和范围内可包括的替换、修改和等同方案。在以下的描述中,阐述了许多具体细节以便提供对本发明的彻底理解。本发明可以在没有这些具体细节中的一些或全部的情况下实施。在其他示例中,公知的处理操作未被详细描述,以便不会不必要地混淆本发明。
本文提供了用于衬底传送的装置、系统和方法。虽然所描述的装置、系统和方法可用于半导体制造,但应该理解,它们可以用于任何传送衬底的工艺或行业,特别是传送其他大面积衬底,例如用于显示技术的玻璃面板。为讨论的目的,在下面的描述中主要指半导体晶片,但是应理解如何实施用于传送其他类型的衬底和工件的方法、系统和装置。
在集成电路制造过程中半导体晶片常常经由晶片输送装置引入处理站或模块。在许多集成电路制造工艺中,晶片在高温下处理—进入晶片传送装置时“冷”(通常在约室温),而离开处理模块时“热”(例如约30℃-500℃之间)。例如,电介质或导电体层的蚀刻可以在约30℃-250℃之间的晶片温度下进行。在另一示例中,介电层的等离子体增强化学气相沉积(PECVD)可在约300℃-500℃的晶片温度下进行。
机械手可将冷的晶片从第一位置(例如,装载锁或存储位置)传送到处理模块用于处理,并将已处理的热的晶片从处理模块传送回到第一位置或另一位置。在许多应用中,该过程是用真空传送模块在真空环境中操作的,该真空传送模块用于将晶片传送到处理模块以及从处理模块传送出来。真空传送模块内的真空传送模块机械手可以将冷的晶片从装载锁或其他位置传送到处理模块用于处理,并将已处理的热晶片从处理模块传送回到装载锁或另一位置,如连接到真空传送模块的第二处理模块。
端部执行器是连接到机械手臂部的端部的装置或工具,诸如叶片、桨状物、或叉状物。如本文所使用的,端部执行器是任何物理地接触晶片或其它衬底以传送晶片或其它衬底的这样的支承物或装置。端部执行器包括让晶片坐落的大致平坦的支承物,如叶片、桨状物或叉状物,以及将晶片固定到合适位置的装置,如夹持器。在本文描述的晶片传送的一些实施方式中,晶片搁置在端部执行器的两个或更多个凸起垫上。吞吐量,即每小时能处理的晶片的数量,可取决于在多个位置之间可以如何快速地传送晶片。可以确定吞吐量的因素包括机械手马达的限制、以及承载晶片的端部执行器在无晶片滑脱的情况下可以获得的最大加速度和减速度的限制。后者因素是由在传送期间上面搁置晶片的端部执行器垫或其它表面的静摩擦系数来确定的。使用具有高摩擦系数的材料允许更大的加速度,这缩短了输送晶片的时间。作为示例,对于硅晶片,全氟弹性体(PFE)具有大约1的静态摩擦系数。这与对于陶瓷为约0.3的系数进行比较。其结果是,对于在PFE端部执行器上的晶片可获得的加速度比对于在陶瓷端部执行器上的晶片可获得的加速度大两倍以上。
图1示出在衬底传送期间作用在支承在端部执行器12的端部执行器接触垫14上的衬底10(例如450毫米半导体晶片)上的力的简化示意图的示例。低颗粒要求可排除使用前侧夹持器以及与衬底10的前侧15的其他接触。在图1的示例中,衬底接触是无源的,且仅限于在衬底10的背面,这使得不存在夹持力以及Fz grip为零。由于振动和空气动力都没有或可忽略不计,因此Fxy accel取决于端部执行器接触垫14的静摩擦系数(Cf)、以及衬底质量和重力。在半导体处理中,300毫米裸硅晶片的质量为约0.128千克,450毫米裸硅晶片的质量为约0.342千克。PFE和其他弹性体的均质材料的最高Cf在0.75-1.5之间,而在约150℃-300℃下机械地降低。随着温度的增加,弹性体的Cf进一步降低,例如从在室温下的1.5降低至在高温下的0.2。陶瓷可以承受较高的温度,其具有0.2至0.5的相当低的Cf。采用陶瓷材料显著减小容许的最大加速度和减速度并降低了吞吐量。
本文描述的装置、系统和方法包括具有耐高温性的高Cf接触表面。根据各种实施方式,接触表面包括利用分子间表面力用于增加在x-y方向上的粘附性和静摩擦力(粘滞力)的微结构。此外,该接触表面可被构造为提供在x-y方向上的高的静摩擦力和在z方向上的非常低的静摩擦力或没有静摩擦力。
在一些实施方式中,微结构是分层结构的一部分,这样配置以便晶片或其它大面积衬底可以从端部执行器移除而晶片不从端部执行器滑动或脱落。此外,在一些实施方式中,在装载和/或释放期间与端部执行器的接触是无源的,不需要机械的、电的、真空的、或其它类型的致动。
在各种实施方式中,本文所描述的端部执行器的接触垫或其它接触表面包括具有两个或多个层的分层结构。多层分层结构可包括接触结构,接触结构包括构造为由于这些构件与衬底表面之间的范德华力而附着到衬底的微米级或纳米级接触构件。多层分层结构可以进一步包括直接或间接地将接触结构连接到端部执行器或接触垫基部的挠性构件。在一些实施方式中,接触结构可表征为合成刚毛和/或合成匙突,参照壁虎脚趾的分层结构,下面将进一步讨论。
术语微结构被用来指具有至少一个尺寸小于1000微米的结构。例如,微结构的最小的长度、宽度、高度或直径可以小于1000微米。如下面进一步讨论的,在一些实施方式中,微结构可具有微米级和/或纳米的尺寸。在一些实施方式中,本文公开的两个或两个以上或所有的尺寸可以是微米级和/或纳米级的。在一些实施方式中,微结构可以包括分层结构,分层结构包括连接到纳米级层的微米级层。本文所用的微米级指介于1微米和1000微米之间的尺寸,纳米级指大于或等于1纳米的亚微米尺寸。
壁虎脚趾包括超过10个的壳层,其中每个壳层包含超过上千的刚毛阵列。大壁虎的刚毛长度可以是约100微米,直径是4微米。每个刚毛分为100至1000个匙突,匙突包括匙突茎和尖端,并且直径可以为约100纳米。虽然复制这种多层分层结构可产生接近于壁虎的粘附力(10牛顿/cm2)的粘附力,但本文描述的端部执行器可使用较简单的分层结构,同时依靠接触结构的微结构与衬底表面之间的范德华力用于粘附。两个表面(例如,端部执行器与衬底)之间的分子间力与接触件的数量强相关。根据多种实施方式,并且根据要移动的衬底的质量和所需的容许加速度,本文所描述的端部执行器可以具有数千、数万、数十万、数百万或更多个单独的接触件。作为示例,1厘米的壁虎脚能够抓握约1千克,Cf接近3,是室温弹性体的几乎两倍。
根据多种实施方式,本文所描述的端部执行器包括一个或多个以下特征:1)配置成在法向力的施加和/或释放下相对于该法向力横向移动的挠性结构,例如,使得在衬底的装载和卸载期间挠性结构沿着衬底滑动;2)多层分层结构,其包括范德华基接触结构和挠性构件以将范德华基接触结构连接到接触垫基部;以及3)三维排列的多个微结构,以允许受控的接合和释放。这些方面中的每个将在下面进一步详细描述。
转到图2a-2d,示出了范德华基挠性结构。如本文所使用的,范德华基结构是指一种结构,在该结构中大部分或基本上所有的结构的粘附强度或摩擦力是由结构和衬底之间的分子间力提供的。图2a示出了在无装载状态下的包括连接到接触结构28的挠性构件20的挠性范德华基微结构18的示例。在图2a的示例中,挠性构件20的特征可以是连接到端部执行器垫基部16的弹簧悬臂。接触结构28的细节如在图2d中的插图A所示并包括多个排列在连接到挠性构件20的横向部分20A的衬背层32上的纳米丝30。纳米丝30被构造成在装载状态下与衬底非常靠近,跨越端部执行器垫的多个范德华基微结构18的贴近且数量充裕的纳米丝30提供比弹性体提供的摩擦力大的摩擦力。尽管图2a和2d示出了挠性构件和包括纳米级纤维的接触结构的合适的布置的示例,但可以理解的是许多其他的布置也是可能的。例如,在一些实施方式中,纳米丝30可从挠性构件20直接延伸而没有中间的衬背层32;此实施方式的示例示意图如在图3a中所示。在另一示例中,挠性构件20可以包括横向部分20A,弹簧悬臂直接连接端部执行器垫基部16和接触结构28;这样的示例的示意图如在图3b所示。图3b中所示的结构是在装载衬底期间,下面将相对于图2b进一步描述该结构。
已证明壁虎刚毛阵列的滑动提供有效的粘附和脱离(Tian et al.,Scientific Reports:1382(2012),其通过引用并入本文)。在一些实施方式中,在图2a-2c中的范德华基微结构18允许接触结构28在施加或释放负载下无源地滑动,没有致动,如在图2b和2c中示意性地示出。在图2b中,衬底10被带到与范德华基微结构18接触。挠性构件20开始偏转,从而允许接触结构28在衬底10滑动。不受限于特定的理论,粘附可涉及在文献“Tian etal.,Scientific Reports:1382(2012)”中相对于刚毛阵列所描述的以下机理:法向力和摩擦力压缩纳米丝30,导致较低的倾斜角度并增加这些力。这将继续下去,直到达到纳米丝30的拥挤状态为止。参见图3c,其中,在装载阶段40a和40b的过程中接触结构28的纳米丝30被压缩,直到加载时纳米纤维达到拥挤状态40c(未示出衬底)。图2c示出了在相应的加载状态下的范德华基微结构18。挠性构件20允许范德华基微结构18相对保持静止的衬底10在x-y方向上滑动。对于释放的状态也是如此(例如,从图2c至图2b),这使得衬底不脱落,在z方向上抬高而不倾斜。这与采用具有不滑动的简单的直立纤维的微纤维阵列的端部执行器显著不同。尽管这些端部执行器可以克服重力保持晶片倒置,但释放晶片涉及成角度倾斜晶片以使晶片从端部执行器脱落。
根据各种实施方式,接触构件(如在上面的示例中纳米丝30)可以是微米级或纳米级的,示例的横向尺寸(例如,直径或宽度)为介于几十纳米和几十微米之间。
在一些实施方式中,至少接触构件的自由端是亚微米的,并且可以具有小于500纳米、小于100纳米、小于50纳米、或小于20纳米的横向尺寸(例如,直径或宽度)。接触构件可以具有大致恒定的或可变的横截面。在一些实施方式中,接触构件从束缚端到自由端可以缩小。此外,在一些实施方式中,接触构件的基部可分割成多个自由端。例如,在一些实施方式中,接触构件可以是壁虎脚趾的刚毛和匙突或模拟的壁虎脚趾的刚毛和匙突。大壁虎的刚毛是约4.2微米,例如,每个刚毛的尖端分成数百匙突茎和匙突,匙突茎和匙突的直径为约100纳米。
由于粘附力,可允许的加速度和摩擦限制的吞吐量与触点的数目关联,因此,在一些实施方式中,至少接触构件的自由端为亚微米以允许更大数目的接触构件可能是有利的。此外,更小的接触构件可以减小总接触面积,这对于颗粒控制会是理想的。然而,在一些实施方式中,接触构件的自由端可以是介于1和100微米之间,或介于1和10微米之间。Liu证明针对使用5微米直径的纤维在标准端部执行器上晶片传送的加速度增大。(Liu等人。Industrial Robot 39/1(2012)79-91)。接触构件的长度的示例可介于约1和500微米之间,但也可使用其它合适的长度。
挠性构件的悬臂的实施例的长度(参见,例如,图2a中长度L)可为介于约1微米至1000微米之间,例如10微米至500微米。在一些实施方式中,挠性构件可以是壁虎脚趾薄片或模拟的壁虎脚趾薄片。在一些实施方式中,挠性构件可以是纤维或棒的形式,总体只在一个维度沿悬臂的长度延伸。在一些实施方式中,挠性构件可在横向于偏转方向的第二维度延伸。图3d示出了示例,其中示出了三个弹性构件20,沿横向于长度L的宽度W延伸。每个挠性构件20沿侧面22被固定到端部执行器垫基部(未示出)。在图3d中所示的示例中,宽度W大于长度L,但在其他实施方式中,长度可以大于宽度或与宽度大致相同。宽度的示例包括1微米至1000微米。在一些实施方式中,挠性构件可具有一定量的曲率,例如,以绕端部执行器垫基部的中心至少部分地延伸。在一些实施方式中,挠性构件可以是壁虎脚趾的刚毛或模拟壁虎脚趾的刚毛。在这样的实施方式中,示例的长度可以为约1微米至10微米。在这样的实施方式中,接触构件可为亚微米。
上面描述的实施例指具有双层或更多层分层结构的范德华基结构。例如,500微米宽的挠性构件上的5微米直径的接触构件的阵列的特征可以为双层分层结构。其中,进一步分成500nm的自由端的5微米接触构件的实施方式可被表征为三层分层结构。在一些实施方式中,本文描述的结构可以包括附加的层,例如,它们可以包括四层,结构自由端配置为与衬底表面相互进行范德华作用,衬底表面直接或间接地连接到构造成在施加负载的情况下偏转的挠性构件。更进一步地,在一些实施方式中,可以采用单层的分层,挠性构件的自由端配置为与衬底相互进行范德华作用。以这种方式,微结构或纳米结构可充当挠性构件和接触构件两者。
在一些实施方式中,多个微结构3维排列,以允许受控的接合和释放。图4a示出了包括多个区域48的端部执行器接触垫14的俯视图的示意性的示例。每个区域48可以包括一个或多个行的范德华基微结构,这些结构被配置成使得从端部执行器释放衬底的顺序按区域发生。以这种方式,用来释放衬底的力显著降低,使得衬底不弹出,也不会不必要地产生颗粒。在图4a的示例中,区域48绕垫的中心46周向延伸。图4b示出最内三个区域48沿图4a中的线A的示意剖视图。在图4b中,这些区域被标记为48a、48b和48c。在图3b的示意性的示例中,这些区域中的每个包括三行范德华基结构。范德华基结构的有效弹簧常数和高度中的一者或两者跨区域48a-48c不同,使得衬底的释放分阶段发生。在图4b的示例中,区域48a具有高度Ha,区域48b具有高度Hb,区域48c具有高度Hc,Hc>Hb>Ha。高度被示出为从端部执行器垫基部16的底部到接触结构28的最高点来测量,但它们可以从平行于端部执行器的面的任何公共的参考平面到已装载的、非倾斜的衬底来测量。
区域48a-48c的接触结构28根据该区域的高度接触放置在端部执行器垫基部16上的衬底,最高的区域(图4b中的区域48c)首先接触衬底,随后按高度顺序依次与其他区域接触。高度可配置成使得在任一时刻,抬高衬底的力F必须克服仅一个区域的范德华力。在一些实施方式中,高度方面的差异使得一旦一个区域脱离,下一个区域就开始释放。例如,在图4b中连续区域的高度差异可以是倾斜的接触结构28的高度。在具体示例中,可以有数百或数千的区域,每一个区域的高度只有几纳米的差异。
图5a-5e示出了衬底从已装载的端部执行器12的端部执行器垫基部16释放。为了便于说明,只描绘了如图4b的三个区域,但根据各种实施方式,端部执行器垫可具有数十、数百、数千、数十万或更多个区域。另外,为了便于说明,每个区域被描述成具有三行范德华基微结构,但根据各种实施方式,每个区可以包括从一到数百、数千或更多的行。首先转到图5a,端部执行器12包括端部执行器垫基部16和支承衬底10的范德华基微结构18。在图5a中所示的装载状态下,衬底10可以通过端部执行器12以最大加速度在x-y方向上移动,最大加速度受限于衬底10和范德华基微结构18之间的最大摩擦力。与衬底之间的最大摩檫力与范德华基微结构18的数量相关。当装载时,范德华基微结构18处于拥挤的状态。
图5b-5d显示了在释放期间的范德华基结构。首先在图5b中,最里面的区域(区域48a)被释放,同时区域48b和48c保持与衬底10结合。一旦在衬底10的负载被释放,区域48a中的范德华基结构被示出处于放松的、非压缩的和非扩展的状态。随着衬底10继续被升高,范德华基微结构的下一个区域48b被脱落,如图5c中所示。在图5d中,随着衬底10继续被抬高离开端部执行器12,区域48c的范德华基微结构被描述为它们滑离或脱离衬底10。区域48c的范德华基微结构的弹簧悬臂没有完全放松,接触构件被部分释放。图5d中所示衬底10从端部执行器释放,区域48a-48c中的范德华基结构返回到不拥挤的、放松的、非压缩和非扩展的状态。
升高在图5a-5e的示例中的衬底10的力F足以克服衬底10和单个区域中范德华基微结构之间的粘附力,从而允许使用比在所有的范德华基结构要同时剥落的情况下所使用的力小得多的力。
在图5a的示例中,范德华基微结构18具有连接到接触构件的挠性构件,如图2a-3d所述,使得它们被构造成当衬底10被升高时跨越衬底10滑动。在替代的实施方式中,范德华基微结构可以不被构造成跨越衬底10滑动;例如,区域48a-48c可包括垂直阵列的纳米纤维,纳米纤维的高度从区域到区域进行变化以用于阶段式去除。衬底可以从纳米纤维脱落或者去除,所使用的力小于在非阶段式释放工艺中将衬底去除所使用的力。
范德华基结构的阶段式释放可以取决于每个区域中的结构的有效弹簧常数,使得范德华基结构的高度可以是跨区域恒定的(或不同的),而弹簧常数跨区域是不同的。图6a-6d示出了示例。
图6a示出了在端部执行器垫基部16上的三个区48a、48b和48c的范德华基结构的示意性剖视图。为了易于说明,只示出了三个区域,但根据多种实施方式,端部执行器垫可具有数十,数百,数千,数十万或更多个区域。在图6a的示意性示例中,这些区域中的每个包括一行范德华基微结构。范德华基结构的有效弹簧常数跨区域48a-48c不同,使得衬底的释放阶段式发生。在图6a的示例中,区域48a具有有效的弹簧常数ka,区域48b具有有效的弹簧常数kb,而区域48c具有有效的弹簧常数kc,ka>kb>kc。本文所用的范德华基微结构的有效弹簧常数指的是微结构作为一个整体的表征微结构的刚度的以及它如何对抗范德华粘附力的弹簧常数。
图6b-6d示出了从包括图6a所示的结构的端部执行器垫释放衬底。在图6b中,在端部执行器12的端部执行器垫基部16上的范德华基微结构18支承衬底10。在图6b所示的装载状态中,衬底10可以通过端部执行器12在x-y方向上以最大加速度移动,最大加速度受限于衬底10和范德华基结构18之间的最大摩擦力。
在图6c中,最里面的区域(区域48a)被释放而区域48c保持与衬底10结合,并且范德华基结构48b正从衬底10滑落或者脱落。在图6d中,衬底10被示出从端部执行器释放,区域48a-48c中的范德华基微结构返回到放松的、非压缩和非扩展的状态。
范德华基微结构的有效弹簧常数可以通过使该结构从该结构的松弛状态压缩或延伸一定的位移的力来表征。有效弹簧常数可以取决于各种因素,包括该材料的弹性模量,结构的长度和形状。将范德华基结构近似地作为端部装载的悬臂,位移可以近似为FL3/3EI,F是范德华力,L是梁的长度,E为弹性模量,I为惯性面积矩。在一些实施方式中,假定贯穿端部执行器垫的所有微结构的力、弹性模量和惯性力矩是恒定的,范德华基结构的长度可以从区域到区域发生变化。在一些实施方式中,除了长度可以变化外,任何的其他因素也可以发生变化,或者除了长度不能变化,任何的其他因素可以发生变化。例如,可以通过在不同的区域使用不同的材料而改变弹性模量,惯性力矩可通过从区域到区域改变结构的几何形状而改变,力可通过改变从区域到区域的结构上的接触构件的数量而改变。
在图5a-5e和6a-6d的示例中,这些区域被配置为使得分阶段释放径向向外发生。在其他实施方式中,这些区域可被配置为使得分阶段释放径向向内发生,例如,朝向垫的中心的范德华基结构的长度增加。在替换的实施方式中,非相邻区域连续释放可能是有利的;例如,邻近衬底的中心的区域可以首先释放,随后衬底的外周附近的区域释放,等等。
根据各种实施方式,区域不一定是圆形的,而是可以通过以任何合适的方式设置的曲线或直线来表示。例如,接触表面可以是方形的且由数千个区域组成,每个区域布置在一条直线上。
在一些实施方式中,该范德华基微结构可以被配置成在衬底被抬离端部执行器垫时相对于衬底倾斜,从而从衬底脱落。可以允许衬底抬离而不必使衬底倾斜或脱落的倾斜和脱落特征可以是由垫基部的高度、有效弹簧常数、角度,以及范德华基结构如何排列所造成的。图7A示出了可根据特定的实施方式而变化的角度的示意图的示例。这些角度中的一个或多个连同范德华基结构的长度和弹性模量可以改变,以控制在释放的过程中范德华基结构的剥落和其他特征。角α1控制端部执行器垫基部16的斜率,并且其范围可以是从0°(平的基部)至超过45°。在一些实施方式中,垫基部相当浅,例如,α1是在0°和15°之间。角α2是挠性构件20从接触垫基部16突出的角度,角α3是横向部分20A(如果存在的话)从挠性构件20的其余部分突出的角度。α2的示例值的范围可以是从20°到160°。注意,在α2是锐角的实施方式中,接触结构28可面朝径向向外方向。α3的示例值的范围可以是从20°至160°,α2和α3具有使得范德华基结构被构造成在装载期间接触衬底的值。角α4是接触结构28相对于衬底10倾斜的角度。角α4的示例值的范围可以是从0°(相对于衬底10是水平的)至80°,如在约40°和80°之间的较大的值使得倾斜更多。在一些实施方式中,角α4是或可以近似于使范德华基结构从衬底10的脱落的角度。在一些实施方式中,角α4可以表征为让接触构件从其突出的接触构件基部(如衬背平面32或挠性构件20)与平行于表面29并刚在接触结构28上方的平面之间的角度。该平面是刚好在与接触结构28接合之前的非倾斜衬底的平面,在图7A的示例中,就是衬底10的平面。端部执行器垫基部16的表面29可以是被配置为连接到端部执行器的表面。角α5是挠性构件20相对于衬底10倾斜的角度。在一些实施方式中。角α5是或可以近似于范德华基结构从衬底10脱落的角度。角α5的示例值的范围可以是从20°到160°。在一些实施方式中,角α5可以表征为挠性构件20与如上所述的平行于表面29并且刚好在接触结构28的上方的平面之间的角度。角α6是与连续区域结合和脱离的速度的因子。α6的示例值的范围可以是从1°到20°,较低的值提供顺畅的结合和脱离。在一些实施方式中,角α6可以表征为连接多个结构的顶部的线(例如图7A中的线31)与平行于表面29并在这些结构上方的平面(如在图7中的衬底10的平面)之间的角度。图7B显示了具有纳米丝30在衬背平面32或挠性构件20上的接触结构28的示例。角α8是纳米丝30相对于衬背平面32/或挠性构件20倾斜的角度。
如以上所讨论的,这里所公开的端部执行器垫包括范德华基结构,该结构可以是挠性的并且被配置为经由范德华分子间势能粘附到半导体晶片或其它衬底。根据各种实施方案,范德华基衬底可以是多层分层结构或单层结构。用于范德华基微结构的材料可包括碳基材料,包括碳纳米管(CNT)和石墨烯。材料还可以包括:聚合物材料,例如诸如聚酰亚胺和聚乙烯对苯二甲酸酯之类的各种热塑性塑料;挠性金属材料和金属晶体混合材料;以及半导体材料,例如硅。范德华基微结构的一些实施方案是挠性和有弹性的,具有大到足以支承负载并进行反复的弯折而不断裂的强度。碳作为材料是理想的,因为碳材料是高强度的、有弹性并且具有高的耐热性。管、棒、线等形式的碳结构如上所述可以是微米级和/或纳米级的。聚合物材料对于不需要高耐热性的应用会是有利的,因为它们是有弹性的且易于制造成各种结构。
对于多层分层结构,不同分层的若干层可以是相同或不同的材料。在一些实施方案中,对于所有的层,可以使用碳基材料,这些层例如包括挠性构件和接触构件。
根据各种实施方案,挠性构件可以例如通过化学气相沉积(CVD)在衬底上生长,该衬底是通过蚀刻被掩盖的材料形成的并且是通过微米或纳米级压印制模板工艺或其它制模工艺形成的。纳米丝或其他接触构件可以例如通过催化化学气相沉积法生长在挠性构件的顶部,或者可以接合到加工后的挠性构件上。垫基部可以是让挠性构件能够在上面生长或印刷的任何材料,或者是能够与制造后的挠性构件接合的任何材料。示例包括金属和硅。聚合物结构可以通过多种方法形成,这些方法包括纳米压印制模板法、嵌段共聚物材料自组装法和光刻法。金属结构可以通过气相沉积技术形成,气相沉积技术包括化学气相沉积、物理气相沉积(PVD)和原子层沉积(ALD)。包括硅纳米线在内的硅结构可以通过多种方法(对硅衬底进行诸如催化CVD法或蚀刻)形成。
包括碳纳米管在内的碳微米级和纳米级结构的气相沉积可以涉及含碳气体(例如乙烯)的催化剂引发的热分解。在一些实施方案中,生长可以通过控制催化剂的布置、在牺牲模板内的沉积等来控制。在一些实施方案中,沉积可以在表面上进行,该结构将是在所制造的端部执行器垫上,例如,直接在端部执行器垫基部上、在挠性构件上或者在多层分层结构的其他层上。在一些其他实施方案中,碳纳米管或其他结构可从沉积表面去除并连接到端部执行器垫基部、挠性构件等。
如以上所指出的,在一些实施方案中,本文所描述的微米级和纳米级相对于端部执行器垫基部,或相对于范德华基结构的其他部分可以是倾斜的。通过引用并入本文的文献“Zhou et al.,Carbon 50(2012)5372-5379”公开了倾斜结构的形成。在文献“Zhou et al.,Carbon 50(2012)5372-5379”中,明确定义的多壁碳纳米管(MWCNT)阵列通过催化CVD在硅衬底上制备。该MWCNT阵列的特点是:MWCNT直径为10-15毫微米,长度为约400微米,以及密度为1010至1011MWCNT/cm2。在使用滑动压缩机压缩所述MWCNT阵列后,利用静电吸附膜使所述MWCNT阵列脱离硅衬底,从而导致碳纳米管阵列倾斜。除了包括双壁碳纳米管(DWCNT)的MWCNT外,还可使用单壁碳纳米管(SWCNT)。在这种方式下,碳纳米管可用于形成在松弛状态具有一定倾斜角的挠性构件和/或接触构件。
碳纳米管的纤维可用于多个分层的层,包括挠性构件和/或接触构件。通过引用并入本文的文献“Behabtu et al.,Science 339,182(2013)”公开了具有高的拉伸强度、模量和伸长率的CNT纤维的制造。
在纳米结构不是直接生长在端部执行器垫的另一部件上的实施方案中,可以使用多种转移方法。这些措施包括使用吸附措施使纳米结构阵列脱离和使用弹性体进行转移印刷。例如,金属层可利用用于将金属/SWNT层转移到所期望的表面上的聚二甲基硅氧烷(PDMS)沉积在SWNT的顶部,利用化学蚀刻剂去除金属层。
通过引用并入本文的文献“Lee at al.,Nano Lett.2011,11,3435–3439”公开了一种转印方法,该方法利用水渗透到纳米结构和供体衬底之间的界面的现象,从而使纳米结构从供体衬底脱离并粘合到目标表面上。除了CVD沉积外,诸如印刷之类的技术可用于形成本文所公开的结构。例如,通过引用并入本文的文献“Park et al.Nanoscale,2013,5,1727–1752”公开了单壁纳米管(SWNT)的喷墨和气雾印刷。
除了使用多种方法来分开制造多层分层结构的不同层外,还可执行用于共同制造多层的技术。下面给出这样的方法的实例。通过引用并入本文的文献“Ge et al.,Proc.Natl.Acad.Sci.2007,104,10792–10795”公开了将碳纳米管集束成柱,这些柱虽然单独露出尖端(tip)但会一起变形。以这种方式,可以形成分裂成匙突(露出的尖端)的类似壁虎刚毛的结构(束状柱)。这样的柱然后可结合到基部或嵌入在基部中,基部如挠性构件或例如端部执行器垫基部。
另外的共同制造不同分层的多个层的方法可以包括使用各种材料的激光图案化、光刻和压印技术。类似壁虎的薄片的挠性构件可以通过膜的激光图案化制造;通过引用并入本文的文献“Lee et al.,Langmuir 2009,25(21),12449–12453”公开了使用高密度聚乙烯(HDPE)在薄片状结构上形成纳米纤维阵列的合成多层结构的方法。薄片的厚度、宽度和长度分别为15微米、0.8毫米和1.3毫米,该薄片结构上共同制造有600纳米直径、18微米长的纳米纤维的阵列。这样的方法可延伸到其它的尺寸和材料。
通过引用并入本文的文献“Murphy et al.Applied Materials andInterfaces Vol.1,No.4,849–8552009”公开了形成具有受控尖端形状的多层分层结构的方法,包括那些具有加宽的形状的、类似于壁虎的匙突的结构的结构。具有蘑菇形倾斜部的基部纤维被浸入液态聚合物中,然后放置到经蚀刻的模具上,该模具具有经阴性蚀刻的所需的尖端端部。将在基部纤维上的聚合物液体吸入到模具的凹陷特性中并固化,在固化后蚀刻掉模具。碳纳米管可以被嵌入到用于制造进一步的层的尖端。
在一些实施方案中,挠性构件可以由碳微结构形成。通过引用并入本文的文献“Daicho et al.,Optical Materials Express,Vol.3,Issue 6,pp.875-883(2013)”描述了用于制造复杂的碳微结构的技术,包括直接激光写入和微转移成型技术。通过引用并入本文的文献“Wang et al.,ACS Macro Lett.2012,1,1176-1179”描述了从碳纳米管网络形成微结构的技术,该微结构具有可调的机械性能。
虽然上述技术提供了制造方法的实例,但也可以使用本技术领域已知的其他技术。
垫一旦形成,就可以附着到端部执行器以供使用。图8示出了包括四个端部执行器接触垫14的端部执行器12。环形区域示意性地表示在端部执行器接触垫14上,但是这些区域一般会太小而不能在宏观水平上看到。根据各种实施方案,端部执行器接触垫14是可移动的并且在一定量的使用时间之后是可更换的。
端部执行器接触垫14的数量和大小可以依赖于衬底的尺寸以及所期望的最大加速度。在一个实例中,接触构件的100nm宽的尖端可以具有0.4μN的范德华吸引力。垫上2500万个尖端或四个垫中的每个垫上625万个尖端将提供总计10N的力或总计约1千克的力。对于450mm的0.342千克的半导体晶片,这将提供近3倍的等效的Cf。
还提供了包括如本文所述的范德华基端部执行器的高吞吐量真空传送模块以及包括高吞吐量真空传送模块的半导体工具。图9示出了包括高吞吐量真空传送模块的半导体工具的一个例子。在图9所示的半导体加工工具52可被用于处理衬底,例如任何尺寸的半导体晶片,包括300mm直径的半导体晶片和450mm直径的半导体晶片。真空传送模块58被连接到装载锁56和处理模块54。虽然只描绘了一个处理模块,但是根据多种实施方案,可以存在与真空传送模块58连接的任何数目的处理模块。处理模块的实例可以包括沉积室、蚀刻室以及类似物。真空传送模块58包含真空传送模块(VTM)机械手,该机械手包括双机械手臂部66和连接到每个机械手臂部66的双范德华基端部执行器12。两个端部执行器12显示为被半导体晶片10覆盖。处理模块54包含多个晶片支撑件70。图9的真空传送模块58被配置为在装载锁56和处理模块54之间传送衬底。装载锁56可以被连接到包括大气压(ATM)机械手的大气传送模块(未示出),大气压(ATM)机械手进而可以连接到晶片存储位置,例如一个或多个前段开口片盒(FOUP)。晶片从FOUP到处理模块54进行处理的路径的示例给出如下:
1—ATM机械手从FOUP拾取
2—ATM机械手移动到装载锁
3—ATM机械手在装载锁放置
4—装载锁抽空
5—VTM机械手从装载锁拾取
6—VTM机械手移动到处理模块
7—VTM机械手在处理模块放置
经处理的晶片从处理模块54到FOUP的路径在实例中给出如下:
1'—VTM机械手从处理模块拾取
2'—VTM机械手移动到装载锁
3'—VTM机械手在装载锁放置
4'—装载锁通风/冷却
5'—ATM机械手从装载锁拾取
6'—ATM机械手移动到FOUP
7'—ATM机械手在FOUP放置
对于移动步骤2,2',6和6'中的每个的定时受最大摩擦系数限制。例如,最大加速度和减速度可以被限制为基于所述端部执行器的摩擦系数的值,使用安全系数5,以使得步骤中的最大加速度不超过最大允许加速度的1/5。而真空致动端部执行器可以增加ATM机械手步骤2和6'的加速度,真空致动不能用于步骤2'和6的VTM机械手。本文所公开的范德华基端部执行器使这些步骤加速度显著更高。此外,本文所公开的范德华基端部执行器可以使用没有致动的无源系统来实现ATM机械手步骤2和6'的高加速度。在一些实施方案中,可以使用至少0.5g、至少1g、至少2g、或至少3g的加速度。
所述的半导体处理工具52还可以包括用于控制半导体处理工具52的工艺条件和硬件状态的控制器。图10示出了控制系统的示例的方框图。应该理解的是,也可以使用其它的方案和布置。在一些实施方示中,控制器53(其可以包括一个或更多个物理或逻辑控制器)控制处理工具的操作的部分或全部。用于执行适当的控制操作的指令在处理器上执行。这些指令可以存储在与控制器相关联的存储器设备,或者它们可以通过网络提供。在某些实施方案中,控制器执行系统控制软件。
举例来说,控制器可控制从装置外部接收晶片、拾取和放置晶片和/或晶片从一个位置到另一个位置的传送。控制器53可以包括一个或多个控制软件59、一个或多个存储设备57和一个或多个处理器59。处理器59可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
在一些实施方式中,控制器53控制真空传递模块58的所有活动。在一些实施方式中,控制器53是控制处理工具52的所有活动的系统控制器。在一些实施方式中,控制器53执行存储在存储设备57中并在处理器59上执行的机器可读的控制软件59,使得装置将执行根据本发明的实施方式所述的方法。替代地,控制逻辑可以被硬编码在控制器中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列、或FPGA)等可用于这些目的。在下面的讨论中,无论“软件”在什么情况下使用,在功能上相当的硬编码逻辑可以取代“软件”来使用。术语“代码”可以指软件或硬编码逻辑。
控制软件59可以包括用于控制以下事项的指令:拾取和位置移动、阀门和门的打开、机械手的包括旋转运动的运动、机械手的包括垂直、θ(旋转)和x-y方向运动的运动的时序;机械手臂部的加速和减速;衬底支座、卡盘和/或基座的定位;以及半导体处理工具的特定传送过程用途的其他参数。控制软件可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制的对象可以被写入,以控制用于执行各种处理工具的处理的处理工具部件的操作。控制软件59可以以任何合适的计算机可读编程语言编码。
在一些实施方式中,控制软件59可以包括用于控制上述的各种参数的输入/输出控制(IOC)测序指令。例如,传送过程的每个阶段可以包括由控制器53执行的一个或多个指令。在一些实施方式中,可以采用与控制器53相关的存储在大容量存储设备57上的其它的计算机软件和/或程序。用于此目的的程序或程序段的实例包括衬底定位程序、机械手控制程序、处理模块门或阀门定时程序以及装载锁门或阀门定时程序。
在图10所示的例子中,控制器53可以发送命令给处理模块控制器63和64,处理模块控制器63和64经由开关68控制处理模块PM1和PM2、真空传送模块控制器65以及真空传送模块机械手61。(处理控制器可控制的半导体处理工具的其它部分在该图中未示出)。如图10所示,每个模块中可以有用于连接到每个模块中的各个阀、传感器等的多个输入/输出控制器(IOC)。这些控制器可以物理地定位于装置中的不同点,例如,在模块内,或在远离模块一定距离的位置。真空传送机械手61可接收来自真空传送控制器65而不是控制器53的直接命令或除了可接收来自控制器53的直接命令外还可接收来自真空传送控制器65的直接命令。
一种衬底定位程序可以包括用于使衬底沿着标称路径移动、检测衬底位置、以及基于所检测的衬底位置校正衬底位置的程序代码。
一种机械手控制程序可以包括用于在z方向、x-y方向以及θ方向上沿一个或多个标称路径移动的机械手及机械手臂部的程序代码。机械手控制程序可包括用于适当地启动和停止一个或多个机械手的马达以及用于控制机械手臂部的加速和减速的代码。机械手控制程序可包括用于将衬底拾取和放置在不同的位置的代码。处理模块或装载锁门或阀门定时程序可以包括用于打开和关闭门或阀门以允许机械手访问的程序代码。
在一些实施方式中,可以存在与控制器53相关联的用户界面。用户界面可以包括显示屏幕、该装置和/或工艺条件的图形软件显示、和用户输入设备,诸如定点设备、键盘、触摸屏、麦克风等。
用于监测的信号可以通过系统控制器53的模拟和/或数字输入连接从各种处理工具的传感器提供。用于控制处理的信号可以通过处理工具52的模拟和数字输出连接输出。可被监测的处理工具传感器非限制性实例包括衬底位置检测器、热电偶、机械手马达扭矩传感器等。经适当编程的反馈和控制算法可以通过使用来自这些传感器的数据来维持状态。
上文所述的装置/方法可以结合光刻图案化工具或工艺使用,例如,用于制备或制造半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不是必然地,此类工具/工艺将与普通的制造设施一起使用或执行。膜的光刻图案化通常包括以下步骤中的一些或全部,每个步骤可以使用许多可能的工具使来实施:(1)用旋涂或喷涂式工具施加光致抗蚀剂到工件上,即,衬底上;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)利用诸如晶片曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线光;(4)将抗蚀剂显影以便选择性地除去抗蚀剂,并使用诸如湿式工作台之类的工具使抗蚀剂图案化;(5)通过使用干式或等离子体辅助蚀刻工具转印抗蚀剂图案到下伏膜或工件中;以及(6)使用例如RF或微波等离子体抗蚀剂剥离器之类的工具除去抗蚀剂。机械手(如本文中所描述的机械手)可以用来将衬底从一个工具移到另一个工具,从而有利于制造工艺。
应该理解的是,除非在任何特定描述的实现方式中的特征被明确地确定彼此之间不相容或周围的环境意指它们是相互排斥的并且从互补和/或支持的角度而言不能容易地组合,否则本发明整体上构思和设想这些互补的实施方案的具体特征可以选择性地组合,以提供一个或一个以上全面的但略有不同的技术方案。因此,应进一步理解的是,上面的描述已经仅通过示例的方式给出并且可以在本发明的范围内进行详细的修改。

Claims (35)

1.一种用于端部执行器的接触垫,其包括:
接触垫基部;和
排列在所述接触垫基部上的多个结构,每个结构包括挠性构件和连接到所述挠性构件的多个接触构件,所述挠性构件构造成在施加衬底的情况下偏转;以及所述多个接触构件构造成通过范德华粘附力附着到衬底上。
2.根据权利要求1所述的接触垫,其中所述多个结构排成绕所述接触垫基部的中心延伸的周向的列。
3.根据权利要求1所述的接触垫,其中,所述多个结构被布置在多个区域中,所述多个区域被配置成使得衬底从所述接触垫的释放是按区域分阶段的。
4.根据权利要求1所述的接触垫,其中所述多个结构中的每个具有高度,并且其中所述多个结构的所述高度跨越所述接触垫变化。
5.根据权利要求4所述的接触垫,其中高度的差异具有圆对称性。
6.根据权利要求1所述的接触垫,其中所述多个结构中的每个具有有效弹簧常数,并且其中所述多个结构的所述有效弹簧常数跨越所述接触垫变化。
7.根据权利要求6所述的接触垫,其中在有效弹簧常数方面的差异具有圆对称性。
8.根据权利要求1所述的接触垫,其中所述挠性构件是微米级的结构。
9.根据权利要求1所述的接触垫,其中每个接触构件包括分割成多个自由端的基部。
10.根据权利要求1所述的接触垫,其中所述挠性构件包括碳微结构。
11.根据权利要求1所述的接触垫,其中所述挠性构件包括碳纳米管网络。
12.根据权利要求1所述的接触垫,其中挠性构件的最大尺寸小于1毫米。
13.根据权利要求1-12中的任一项所述的接触垫,其中挠性构件的最小尺寸小于100微米。
14.根据权利要求1-12中的任一项所述的接触垫,其中接触构件的最小尺寸小于1微米。
15.根据权利要求1-12中的任一项所述的接触垫,其中接触构件的最小尺寸小于500纳米。
16.根据权利要求1-12中的任一项所述的接触垫,其中所述挠性构件包括聚合物。
17.根据权利要求1-12中的任一项所述的接触垫,其中,所述接触垫基部包括构造成接触端部执行器的第一表面,其中,每个结构还包括接触构件基部,所述接触构件从所述接触构件基部突出,并且其中所述接触构件基部以具有介于0°和80°之间的值的第一角度相对于平行于所述第一表面并在所述结构上方的平面倾斜。
18.根据权利要求17所述的接触垫,其中所述第一角度为介于40°和80°之间。
19.根据权利要求1-12中的任一项所述的接触垫,其中,所述接触垫基部包括构造成接触端部执行器的第一表面,并且其中每个结构的所述挠性构件以具有介于20°和160°之间的值的角度相对于平行于所述第一表面并在所述结构上方的平面倾斜。
20.根据权利要求1-12中的任一项所述的接触垫,其中,所述接触垫基部包括构造成接触端部执行器的第一表面,并且其中介于平行于所述第一表面并在所述多个结构上方的平面与连接所述多个结构的所述接触构件的线之间的角度在约0°和20°之间。
21.一种用于端部执行器的接触垫,其包括:
接触垫基部;和
排列在所述接触垫基部上的多个结构,其中多个结构中的每个具有有效弹簧常数和高度,并且其中所述多个结构的所述有效弹簧常数与所述高度中的至少一个跨越所述接触垫变化。
22.根据权利要求21所述的接触垫,其中在高度或有效弹簧常数方面的差异具有圆对称性。
23.根据权利要求21所述的接触垫,其中,所述接触垫基部包括构造成接触端部执行器的第一表面,其中,每个结构还包括接触构件基部,接触构件从所述接触构件基部突出,并且其中所述接触构件基部以具有介于0°和80°之间的值的第一角度相对于平行于所述第一表面并在所述结构上方的平面倾斜。
24.根据权利要求23所述的接触垫,其中所述第一角度为介于40°和80°之间。
25.根据权利要求21所述的接触垫,其中所述接触垫基部包括构造成接触端部执行器的第一表面,并且其中每个结构以具有介于20°和160°之间的值的角度相对于平行于所述第一表面并在所述结构上方的平面倾斜。
26.根据权利要求21所述的接触垫,其中所述接触垫基部包括构造成接触端部执行器的第一表面,其中,每个结构还包括接触构件基部,接触构件从所述接触构件基部突出,并且其中介于平行于所述第一表面并在所述多个结构上方的平面与连接所述多个结构的所述接触构件的线之间的角度在约0°和20°之间。
27.一种构造成连接至机械手臂部的端部执行器,其包括根据权利要求1-26中的任一项所述的一个或多个端部执行器接触垫。
28.一种机械手,其包括:
一个或多个臂部;
马达,其配置为移动所述一个或多个臂部;以及
一个或多个端部执行器,其被配置为连接到所述一个或多个臂部,其中所述一个或多个端部执行器被配置为通过无源接触沿x-y方向以至少约0.5g的加速度传送半导体衬底而不滑脱,并构造成在施加法向力而不使所述半导体衬底倾斜的情况下非致动释放所述半导体衬底。
29.根据权利要求28所述的机械手,其中所述一个或多个执行器能操作以在高于400℃的温度下支承半导体衬底。
30.根据权利要求28所述的机械手,其中每一个端部执行器包括接触垫,所述接触垫具有:
接触垫基部;以及
多个排列在所述接触垫基部上的结构,每个结构包括挠性构件和多个连接到所述挠性构件的接触构件,所述挠性构件构造成在施加衬底的情况下偏转;以及所述多个接触构件构造为通过范德华粘附力附着到衬底。
31.一种半导体处理工具,其包括:
一个或多个处理模块;
传送模块,其连接到所述一个或多个处理模块,所述传送模块配置成将半导体衬底往来于所述一个或多个处理模块传送,所述传送模块包括根据权利要求28-30中的任一项所述的机械手。
32.根据权利要求31所述的半导体处理工具,其中,所述传送模块被配置成将半导体衬底往来于装载锁或往来于衬底存储位置传送。
33.根据权利要求31所述的半导体处理工具,其中,所述传送模块是真空传送模块。
34.根据权利要求31所述的半导体处理工具,其还包括具有使所述端部执行器以至少1g的加速度在x-y方向上移动的机器可读指令的控制器。
35.一种从端部执行器释放衬底的方法,其包括:
提供由端部执行器通过无源接触支承的衬底,其中所述衬底通过所述衬底与在所述端部执行器上的多个范德华结构之间的范德华粘附力支承;以及
施加法向力至所述衬底以将其从所述端部执行器抬高,从而使所述范德华力解除,其中在整个所述解除过程中所述衬底保持不倾斜。
CN201410831638.XA 2013-12-23 2014-12-23 用于改善的晶片装卸的微结构 Pending CN104733354A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/139,522 2013-12-23
US14/139,522 US9698035B2 (en) 2013-12-23 2013-12-23 Microstructures for improved wafer handling

Publications (1)

Publication Number Publication Date
CN104733354A true CN104733354A (zh) 2015-06-24

Family

ID=53399073

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410831638.XA Pending CN104733354A (zh) 2013-12-23 2014-12-23 用于改善的晶片装卸的微结构

Country Status (6)

Country Link
US (1) US9698035B2 (zh)
JP (1) JP2015135963A (zh)
KR (1) KR20150073873A (zh)
CN (1) CN104733354A (zh)
SG (1) SG10201408517YA (zh)
TW (1) TW201539611A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105364936A (zh) * 2014-08-19 2016-03-02 通用汽车环球科技运作有限责任公司 可贴合干燥附着保持装置
CN105923599A (zh) * 2016-06-07 2016-09-07 西安交通大学 一种基于气致动的干粘附复合结构及制造工艺
CN107039308A (zh) * 2015-10-22 2017-08-11 朗姆研究公司 前开式环形盒
CN107403744A (zh) * 2016-05-18 2017-11-28 佳能特机株式会社 基板搬送装置
CN109545730A (zh) * 2017-09-21 2019-03-29 三星电子株式会社 支撑基板、电子器件制造方法、半导体封装件及制造方法
CN109757111A (zh) * 2017-09-01 2019-05-14 应用材料公司 用于保持基板的保持布置、包括保持布置的载体、使用载体的处理系统和用于从保持布置释放基板的方法

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040205A1 (en) * 2015-08-05 2017-02-09 Lam Research Corporation High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP2017126695A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
JP6616194B2 (ja) * 2016-01-15 2019-12-04 日東電工株式会社 載置部材
JP6914386B2 (ja) * 2016-01-15 2021-08-04 日東電工株式会社 載置部材
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP6620234B2 (ja) * 2016-11-07 2019-12-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を保持するためのキャリア、処理システムでのキャリアの使用、キャリアを用いる処理システム、及び基板の温度を制御するための方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6855298B2 (ja) * 2017-03-23 2021-04-07 日東電工株式会社 搬送固定治具
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP7144149B2 (ja) * 2018-02-06 2022-09-29 日東電工株式会社 カーボンナノチューブ集合体
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11062935B2 (en) * 2018-08-06 2021-07-13 Kla Corporation Low profile wafer manipulator
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102078309B1 (ko) 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP6960386B2 (ja) * 2018-10-31 2021-11-05 日立造船株式会社 カーボンナノチューブ成形体の製造方法およびカーボンナノチューブ成形体製造装置
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US20220220627A1 (en) * 2019-05-17 2022-07-14 Lam Research Corporation Substrate sticking and breakage mitigation
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
JP7365800B2 (ja) * 2019-07-09 2023-10-20 日清紡マイクロデバイス株式会社 ステージ装置
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102104487B1 (ko) 2019-12-23 2020-04-24 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR102432439B1 (ko) 2019-12-23 2022-08-16 주식회사 아이에스케이 기판 이송 모듈용 슬립 방지 척
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR102382452B1 (ko) * 2020-02-06 2022-04-05 주식회사 글린트머티리얼즈 컨벡스, 컨케이브 및 플랫 웨이퍼 이송 로봇암에 장착되는 미끄럼 방지 패드
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11942878B2 (en) * 2020-04-01 2024-03-26 Bvw Holding Ag Microstructured field effect device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP7256773B2 (ja) * 2020-04-24 2023-04-12 信越化学工業株式会社 平坦性制御方法、塗膜の形成方法、平坦性制御装置、及び塗膜形成装置
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081216A (ko) * 2020-12-08 2022-06-15 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4220302A1 (en) * 2022-01-27 2023-08-02 ASML Netherlands B.V. System for holding an object in a semiconductor manufacturing process, lithographic apparatus provided with said system and method
WO2023150732A2 (en) * 2022-02-03 2023-08-10 Greene, Tweed Technologies, Inc. End effectors and end effector pads having crosslinked polymers for semiconductor applications to provide improved manufacturing speed and methods of making and using the same
WO2024010711A1 (en) * 2022-07-05 2024-01-11 Lam Research Corporation End effector
WO2024122025A1 (ja) * 2022-12-08 2024-06-13 株式会社日立ハイテク ウェハ搬送用ハンド、ウェハ交換装置、荷電粒子線装置および真空装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1922723A (zh) * 2003-12-31 2007-02-28 微制造公司 在结构的电化学制造期间保持层的平行度和/或实现所期望层厚度的方法和装置
WO2007107885A2 (en) * 2006-03-23 2007-09-27 Recif Technologies Device for contact by adhesion to a glass or semiconductor plate (wafer) surface of the like, and system for gripping such a plate comprising such a device
US7921858B2 (en) * 2004-01-05 2011-04-12 Lewis & Clark College Self-cleaning adhesive structure and methods
CN102017125A (zh) * 2008-08-28 2011-04-13 S.O.I.Tec绝缘体上硅技术公司 起动分子键合的方法
WO2013090898A1 (en) * 2011-12-16 2013-06-20 Brooks Automation, Inc. Transport apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223252A (ja) 2000-02-07 2001-08-17 Assist Japan Kk ロボットの吸着レスハンド
US6896304B2 (en) * 2002-09-03 2005-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic sensing wafer blade and method for using
JP2005183784A (ja) 2003-12-22 2005-07-07 Optrex Corp 基板搬送装置
GB2435719A (en) * 2006-03-03 2007-09-05 Darrell Lee Mann Gripping device with a multitude of small fibres using van der Waals forces
US20080025822A1 (en) * 2006-04-17 2008-01-31 Sangbae Kim Device and method for handling an object of interest using a directional adhesive structure
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
US9731422B2 (en) * 2011-12-22 2017-08-15 Carnegie Mellon University, A Pennsylvania Non-Profit Corporation Methods, apparatuses, and systems for micromanipulation with adhesive fibrillar structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1922723A (zh) * 2003-12-31 2007-02-28 微制造公司 在结构的电化学制造期间保持层的平行度和/或实现所期望层厚度的方法和装置
US7921858B2 (en) * 2004-01-05 2011-04-12 Lewis & Clark College Self-cleaning adhesive structure and methods
WO2007107885A2 (en) * 2006-03-23 2007-09-27 Recif Technologies Device for contact by adhesion to a glass or semiconductor plate (wafer) surface of the like, and system for gripping such a plate comprising such a device
CN102017125A (zh) * 2008-08-28 2011-04-13 S.O.I.Tec绝缘体上硅技术公司 起动分子键合的方法
WO2013090898A1 (en) * 2011-12-16 2013-06-20 Brooks Automation, Inc. Transport apparatus

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105364936A (zh) * 2014-08-19 2016-03-02 通用汽车环球科技运作有限责任公司 可贴合干燥附着保持装置
CN107039308A (zh) * 2015-10-22 2017-08-11 朗姆研究公司 前开式环形盒
CN107039308B (zh) * 2015-10-22 2020-01-03 朗姆研究公司 前开式环形盒
CN107403744A (zh) * 2016-05-18 2017-11-28 佳能特机株式会社 基板搬送装置
CN107403744B (zh) * 2016-05-18 2022-04-29 佳能特机株式会社 基板搬送装置
CN105923599A (zh) * 2016-06-07 2016-09-07 西安交通大学 一种基于气致动的干粘附复合结构及制造工艺
CN109757111A (zh) * 2017-09-01 2019-05-14 应用材料公司 用于保持基板的保持布置、包括保持布置的载体、使用载体的处理系统和用于从保持布置释放基板的方法
CN109545730A (zh) * 2017-09-21 2019-03-29 三星电子株式会社 支撑基板、电子器件制造方法、半导体封装件及制造方法

Also Published As

Publication number Publication date
KR20150073873A (ko) 2015-07-01
US20150174768A1 (en) 2015-06-25
SG10201408517YA (en) 2015-07-30
US9698035B2 (en) 2017-07-04
JP2015135963A (ja) 2015-07-27
TW201539611A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
CN104733354A (zh) 用于改善的晶片装卸的微结构
US7921858B2 (en) Self-cleaning adhesive structure and methods
JP5701331B2 (ja) エラストマースタンプへの接着の動的コントロールによるパターン転送印刷
Bao et al. Nanoimprinting over topography and multilayer three-dimensional printing
US8961853B2 (en) Silicon pen nanolithography
US20150309073A1 (en) Multifunctional graphene coated scanning tips
JP4509981B2 (ja) クリーニング部材、クリーニング機能付搬送部材、および基板処理装置のクリーニング方法
CN107818931B (zh) 半导体微元件的转移方法及转移装置
US10578964B2 (en) Asymmetric template shape modulation for partial field imprinting
US11535006B2 (en) Nanocomposite surfaces with electrically switchable adhesion
JP4684570B2 (ja) 基板上に規則配列した触媒金属微粒子を利用したカーボンナノチューブの形成法
US20170115558A1 (en) Silica polymer pen lithography
US11396196B2 (en) Apparatus and methods for contact-printing using electrostatic nanoporous stamps
Kaneko et al. Effect of surface property on transfer-print of Au thin-film to micro-structured substrate
US7416634B2 (en) Method and apparatus for processing nanoscopic structures
KR20060008663A (ko) 나노 임프린트를 이용한 나노 물질의 패턴 형성방법
Tafazzoli et al. Force-controlled microcontact printing using microassembled particle templates
Kim et al. Transfer printing techniques enabled by advanced carbon nanomaterials
Kovalsky Biomimetic adhesion for transfer printing via microstructured surfaces
TWI300017B (en) Nano-scaled mould having micro-holes
Hirai et al. Nano chamber fabrication on an acrylic plate by direct nano imprint lithography using quartz mold
JP2009220205A (ja) 微細構造体製造方法
Resch et al. Manipulation of gold nanoparticles in liquids using MAC mode Atomic Force Microscopy
Woodson Tip-based creation and functionalization of nanoscale surface patterns

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned

Effective date of abandoning: 20180928

AD01 Patent right deemed abandoned