JP2015135963A - 改善されたウェハ・ハンドリングのための微細構造体 - Google Patents

改善されたウェハ・ハンドリングのための微細構造体 Download PDF

Info

Publication number
JP2015135963A
JP2015135963A JP2014257334A JP2014257334A JP2015135963A JP 2015135963 A JP2015135963 A JP 2015135963A JP 2014257334 A JP2014257334 A JP 2014257334A JP 2014257334 A JP2014257334 A JP 2014257334A JP 2015135963 A JP2015135963 A JP 2015135963A
Authority
JP
Japan
Prior art keywords
contact
contact pad
substrate
end effector
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2014257334A
Other languages
English (en)
Inventor
マシュー・ジェイ.・ロドニック
J Rodnick Matthew
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015135963A publication Critical patent/JP2015135963A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)

Abstract

【課題】半導体ウェハなどの基板の搬送のための高摩擦係数接触面、それらの接触面を有するロボットエンドエフェクタ並びに関連する高スループット搬送システム及び方法を提供する。を提供する。
【解決手段】接触面は、基板10を傾斜させることなくz方向に容易に解放することを可能としながら、基板搬送中のx−y方向の接着および摩擦を増強するために、分子間表面力を利用する微細構造体を有する。
【選択図】図1

Description

半導体デバイスの製造において、何百もの処理オペレーションを実施するために、様々なタイプのツールが用いられる。それらのオペレーションの多くは、極低圧の処理室内で、すなわち真空または半真空中で、実施される。そのような処理室は、中央ハブの周りに配置することができ、ハブと処理室を、略同じ極低圧に維持することができる。ウェハは、処理室および/または中央ハブに機械的に連結されたウェハ・ハンドリングシステムによって、処理室に導入することができる。ウェハ・ハンドリングシステムは、ウェハを、工場フロアから処理室へ搬送する。ウェハ・ハンドリングシステムは、ウェハを大気条件から極低圧条件に移すとともに戻すためのロードロックと、ウェハを様々な位置に移送するためのロボットと、を備えることができる。ウェハ・ハンドリングシステムは、真空環境外で動作するロボット、例えば工場フロアである周囲環境で動作するロボットと、処理室および中央ハブの極低圧環境内で動作するロボットと、を利用することができる。スループット、すなわち一定時間内で処理されるウェハ数は、処理時間、同時に処理されるウェハ数、ウェハを真空処理室内に導入するのに要する時間、によって左右される。
本明細書で記載する主題の一態様は、エンドエフェクタ用の接触パッドにおいて実現することができる。接触パッドは、接触パッド・ベース上に配列された構造体を含むことができ、各構造体は、可撓性部材と、可撓性部材に接続された複数の接触部材と、を有し、可撓性部材は、基板を当てると撓むように構成されており、接触部材は、ファンデルワールス接着により基板に接着するように構成されている。構造体は、様々に異なる実現形態によって多様な配置で配列することができる。例えば、構造体は、接触パッド・ベースの中心の周りに延びる周方向の列に配置することができる。一部の実現形態では、構造体は、複数のゾーンに配置されて、これにより、接触パッドからの基板の解放が、ゾーンごとに段階的に実施されるように構成されている。一部の実現形態では、複数の構造体の高さおよび/または有効ばね定数は、接触パッドにわたって変化している。高さおよび/または有効ばね定数の変化は、点対称とすることができる。例えば、変化は、円対称とすることができる。
可撓性部材は、多様なサイズを有し得る。一部の実現形態では、可撓性部材の最大寸法は、1mm未満である。この実現形態または他の実現形態において、可撓性部材の最小寸法は、100ミクロン未満とすることができる。可撓性部材の材料の例として、カーボンナノチューブネットワークなどのカーボン、およびポリマ材料を含むことができる。また、接触部材も、多様なサイズを有し得る。一部の実現形態では、接触部材の最小寸法は、1ミクロン未満、または500nm未満である。一部の実現形態では、各接触部材は、複数の自由端に分割されたベースを有し得る。
本明細書で記載する主題の別の態様は、エンドエフェクタ用の接触パッドにおいて実現することができ、それは、接触パッド・ベース上に配列された複数の構造体を含み、複数の構造体の各々は、有効ばね定数および高さを有し、複数の構造体の有効ばね定数のうち少なくとも一方は、接触パッドにわたって変化している。一部の実現形態では、高さまたは有効ばね定数の変化は、円対称とすることができる。
本明細書で記載する主題の別の態様は、エンドエフェクタにおいて実現することができ、それは、本明細書に記載のエンドエフェクタ接触パッドを1つ以上有する。
本明細書で記載する主題の別の態様は、ロボットにおいて実現することができ、それは、1つ以上のアームと、上記1つ以上のアームを動かすように構成されたモータと、上記1つ以上のアームに装着された1つ以上のエンドエフェクタと、を備える。上記1つ以上のエンドエフェクタは、受動接触によって半導体基板を滑脱なくx−y方向に少なくとも約0.5gの加速度で搬送するように構成することができ、さらに、垂直力の印加によって半導体基板を傾斜させることなく半導体基板を無駆動で解放するように構成することができる。一部の実現形態では、上記1つ以上のエンドエフェクタは、400℃よりも高い温度で半導体基板を支持するように機能する。一部の実現形態では、上記1つ以上のエンドエフェクタは、少なくとも300mmの直径、または少なくとも450mmの直径の、半導体基板を搬送するように構成することができる。
本明細書で記載する主題の別の態様は、半導体処理ツールにおいて実現することができる。処理ツールは、搬送モジュールを備えることができ、それは、1つ以上の処理モジュールに接続するように構成されるとともに、半導体基板を上記1つ以上の転送モジュールへ搬入および搬出するように構成される。搬送モジュールは、本開示に記載のエンドエフェクタ接触パッドを1つ以上有するロボットを含む。一部の実現形態では、処理ツールは、搬送モジュールに接続された1つ以上の処理モジュールをさらに備える。一部の実現形態では、搬送モジュールは、ロードロックまたは基板保管場所に接続されるとともに、半導体基板を該ロードロックまたは基板保管場所へ搬入および搬出するように構成される。搬送モジュールは、真空搬送モジュールまたは大気搬送モジュールとすることができる。処理ツールは、少なくとも0.5g、または少なくとも1g、またはそれより高い加速度で、エンドエフェクタをx−y方向に動かすための機械可読命令を含むコントローラをさらに備えることができる。
本明細書で記載する主題の別の態様は、エンドエフェクタから基板を解放する方法において実現することができる。該方法は、受動接触によりエンドエフェクタで支持された基板であって、該基板とエンドエフェクタ上の複数のファンデルワールス構造体との間のファンデルワールス接着力により支持された基板を提供することと、基板をエンドエフェクタから持ち上げるため、基板に垂直な力を印加することであって、これにより基板がその解放中に傾斜することなく維持されるようにファンデルワールス力を解放させることと、を含み得る。一部の実現形態では、解放は段階的に実施され、これにより、垂直力は、上記接着力よりも小さいものとなる。
本明細書で開示する主題のこれらおよび他の態様について、図面を参照して、以下でさらに詳しく説明する。
基板搬送の際に、ロボットエンドエフェクタのエンドエフェクタ接触パッドに支持された基板に作用する力の概略図の一例を示す図である。
未ロード状態にある、接触構造体に接続された可撓性部材を含む、可撓性ファンデルワールス型微細構造体の一例の模式図である。
その上に基板をロードしつつある、図2aの可撓性ファンデルワールス型微細構造体を示す図である。
ロード状態にある、図2aの可撓性ファンデルワールス型微細構造体を示す図である。
図2aに示す接触構造体の一例の拡大図である。
ファンデルワールス型微細構造体の可撓性部材に接続された接触構造体の一例の模式図である。
その上に基板をロードしつつある、接触構造体に接続された可撓性部材を含む、可撓性ファンデルワールス型微細構造体の一例の模式図である。
ロードする際の、ファンデルワールス型微細構造体の接触構造体のナノ構造体の一例の模式図である。
ファンデルワールス型構造体の可撓性部材の一例の概略図である。
ファンデルワールス型微細構造体の複数のゾーンを含むエンドエフェクタ接触パッドを上面視した一例の模式図である。
図4aのエンドエフェクタ接触パッドの最も内側の3つのゾーンの、図4aのA線に沿った断面模式図である。
段階的に実施される、高さの異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、高さの異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、高さの異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、高さの異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、高さの異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。
段階的に実施される、ばね定数の異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、ばね定数の異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、ばね定数の異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。 段階的に実施される、ばね定数の異なるいくつかのゾーンに配置されたファンデルワールス型微細構造体を有する接触パッドを含むロード状態のエンドエフェクタのエンドエフェクタパッド・ベースからの基板の解放を示す図である。
具体的な実現形態によって異なり得るファンデルワールス型微細構造体の角度の例の模式図を示す図である。 具体的な実現形態によって異なり得るファンデルワールス型微細構造体の角度の例の模式図である。
ファンデルワールス型微細構造体を有するエンドエフェクタ接触パッドを4つ含むエンドエフェクタの一例を示す図である。
ファンデルワールス型微細構造体を有するエンドエフェクタを備えた高スループット真空搬送モジュールを含む半導体処理ツールの一例を示す図である。
半導体処理ツールを制御するために採用することができる制御システムの一例のブロック図である。
様々な実施形態の例を、添付の図面に示すとともに、以下でさらに詳しく説明する。当然のことながら、本明細書における解説は、特許請求の範囲を、記載の特定の実施形態に限定するものではない。むしろ、添付の請求項で規定される発明の趣旨および範囲に含まれ得る代替案、変形、および均等物を網羅するものである。以下の説明では、本発明についての完全な理解を与えるため、様々な具体的詳細について記載する。本発明は、それら特定の詳細の一部または全てを省いても実施することができる。また、本発明を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。
本明細書において、基板搬送のための装置、システム、および方法を提供する。記載される装置、システム、および方法は、半導体製造に用いることができるが、理解されるべきことは、それらは、特にディスプレイ技術におけるガラスパネルのような他の大面積基板である基板を搬送する任意のプロセスまたは産業で用いることができるということである。解説を目的として、以下の説明では、主に半導体ウェハに関して述べるが、これらの方法、システム、および装置を、他のタイプの基板およびワークピースの搬送のために、いかにして実現すべきか、理解されるであろう。
集積回路の製造において、半導体ウェハは、多くの場合、ウェハ搬送装置によって処理ステーションまたは処理モジュールに導入される。多くの集積回路製造プロセスでは、ウェハは高温で処理され、すなわち、一般的には略室温の範囲である「低温」でウェハ搬送装置に入り、例えば約30℃〜500℃の間の「高温」で処理モジュールを出る。例えば、誘電体層または導体層のエッチングは、約30℃〜250℃の間のウェハ温度で実施されることがある。別の例では、誘電体層のプラズマ化学気相成長(PECVD)が、約300℃〜500℃のウェハ温度で実施されることがある。
ロボットによって、低温ウェハを、例えばロードロックまたは保管場所である第1の場所から、処理のための処理モジュールへ搬送することができ、さらに、処理済みの高温ウェハを、処理モジュールから第1の場所に戻すか、または別の場所へ搬送することができる。多くの適用例では、処理モジュールへのウェハの搬入および搬出のために真空搬送モジュールを用いて、プロセスは、真空環境で実施される。真空搬送モジュール内の真空搬送モジュールロボットによって、低温ウェハを、ロードロックまたはその他の場所から、処理のための処理モジュールへ搬送することができ、さらに、処理済みの高温ウェハを、処理モジュールからロードロックに戻すか、または真空搬送モジュールに接続された第2の処理モジュールなどの別の場所に搬送することができる。
エンドエフェクタは、ブレード、パドル、またはフォークなど、ロボットアームの端に接続されるデバイスまたはツールである。本明細書で使用される場合のエンドエフェクタとは、ウェハまたはその他の基板に、その搬送のために物理的に接触するような支持体またはデバイスである。エンドエフェクタには、ブレード、パドル、またはフォークなど、その上にウェハが載置される略平坦な支持体が含まれ、さらには、ウェハを定位置に保持するグリッパなどのデバイスも含まれる。本明細書で記載されるウェハ搬送のいくつかの実現形態では、ウェハは、エンドエフェクタの2つ以上の隆起パッドの上に載置される。スループット、すなわち1時間当たりに処理できるウェハ数は、いくつかの場所の間でウェハを搬送することができる速度に依存し得る。スループットを左右し得る因子には、ロボットモータによる制限、ならびにウェハを搬送するエンドエフェクタでウェハの滑脱なく得られる最大加速度および減速度の制限、が含まれる。後者の因子は、搬送中のウェハが載置されるエンドエフェクタパッドまたはその他の面の静止摩擦係数によって決まる。高摩擦係数の材料を用いることで、より大きな加速度が許容されて、ウェハの搬送に要する時間が削減される。一例として、パーフルオロエラストマ(PFE)は、シリコンウェハに対して約1の静止摩擦係数を有する。これは、セラミックの場合の約0.3の係数と比較される。結果として、PFEエンドエフェクタ上にウェハが載置される場合に得られる加速度は、セラミックエンドエフェクタ上にウェハが載置される場合に得られるものと比較して、2倍を超える大きさとなる。
図1は、基板搬送の際に、エンドエフェクタ12のエンドエフェクタ接触パッド14に支持された450mm半導体ウェハなどの基板10に作用する力の概略図の一例を示している。表側グリッパ、または基板10の表側15と接触するその他のものを使用することは、低発塵要求によって除外され得る。図1の例では、基板との接触は、受動的であって、かつ基板10の裏面に限られており、よって、把持力は作用せず、Fz gripはゼロである。振動および空気力が全くないか、または無視できる程度である場合には、Fxy accelは、エンドエフェクタ接触パッド14の静止摩擦係数(Cf)と、基板の質量および重力に依存する。半導体プロセスの文脈では、300mmベアシリコンウェハは、約0.128kgの質量を有し、450mmベアシリコンウェハは、約0.342kgの質量を有する。PFEおよびその他のエラストマは、同種の材料の中で最も高い0.75〜1.5のCfを有するが、約150℃〜300℃で機械的に劣化する。また、エラストマのCfは、温度の上昇とともに低下し、例えば、室温での1.5から、高温では0.2に低下する。より高温に耐え得るセラミックは、かなり低い0.2〜0.5のCfを有する。セラミック材料を使用すると、許容最大加速度および減速度が著しく減少し、スループットが低下する。
本明細書で記載される装置、システム、および方法は、高温耐性のある高Cfの接触面を含むものである。様々な実現形態により、接触面は、x−y方向の接着および静止摩擦(吸着)の増強ために分子間表面力を利用する微細構造体を有する。また、接触面は、x−y方向では高吸着が得られるとともに、z方向には極低吸着または無吸着であるように構成することができる。
一部の実現形態では、微細構造体は、ウェハまたはその他の大面積基板を、エンドエフェクタからの該ウェハの滑脱または剥離を伴うことなく、エンドエフェクタから取り外すことができるように構成された階層構造の一部である。また、いくつかの実現形態において、ロードおよび/または解放する際の、エンドエフェクタとの接触は、受動的であって、機械駆動、電気駆動、真空駆動、または他のタイプの駆動を必要としない。
いくつかの実現形態において、本明細書で記載されるエンドエフェクタの接触パッドまたはその他の接触面は、2つ以上のレベルを有する階層構造を含む。マルチレベル階層構造は、マイクロスケールまたはナノスケールの接触部材を有する接触構造体を含むことができ、それらの接触部材は、それらの部材と基板面との間のファンデルワールス力によって基板に接着するように構成されている。マルチレベル階層構造は、さらに、接触構造体をエンドエフェクタまたは接触パッド・ベースに直接的または間接的に接続する可撓性部材を含むことができる。いくつかの実現形態において、接触構造体は、詳細は後述するヤモリの足指の階層構造を参考にした合成毛および/または合成スパチュラとして特徴付けることができる。
微細構造体という用語は、1000ミクロン未満の寸法を少なくとも1つ有する構造体を指して用いられる。例えば、微細構造体の長さ、幅、高さ、または直径のうち最小のものが、1000ミクロン未満であり得る。詳細は後述するように、一部の実現形態では、微細構造体は、マイクロスケールおよび/またはナノスケールの寸法を有し得る。一部の実現形態では、本明細書で開示する微細構造体の寸法のうち2つ以上、または寸法の全てが、マイクロスケールおよび/またはナノスケールであり得る。一部の実現形態では、微細構造体は、ナノスケール・レベルに連結されたマイクロスケール・レベルを含む階層構造を有し得る。本明細書で使用される場合のマイクロスケールとは、1ミクロン〜1000ミクロンの間の寸法を指し、ナノスケールとは、1ナノメートル以上のサブミクロン寸法を指す。
ヤモリの足指は、10を超える数のラメラを含み、それらの各々は、千を超える数の剛毛からなる配列を含んでいる。オオヤモリの剛毛は、長さが約100ミクロン、直径が4ミクロンであり得る。それぞれの剛毛は、100〜1000のスパチュラに分岐しており、それらは、スパチュラ柄部と先端部とを有し、直径が約100nmであり得る。このマルチレベル階層を複製することによって、接着力をヤモリのそれ(10N/cm2 )に近づけることができるが、本明細書で記載されるエンドエフェクタでは、接着のために、接触構造体の微細構造体と基板面との間のファンデルワールス力に依拠しつつ、より単純な階層構造を用いることができる。2つの面(例えば、エンドエフェクタと基板)の間の分子間力は、接触子の数に強く相関している。いくつかの実現形態により、移送される基板の質量および所望の許容加速度に応じて、本明細書に記載のエンドエフェクタは、数千、数万、数十万、数百万、またはそれより多くの個々の接触子を有し得る。一例として、1cmのヤモリの足は、室温でのエラストマのそれの約2倍である3に近いCfを有することによって、約1kgを保持することが可能である。
いくつかの実現形態により、本明細書に記載のエンドエフェクタは、以下の特徴のうち1つ以上を含むものである。1)基板をロードおよびアンロードする際に、垂直力が印加および/または解除されると、この力に対して横方向に動くように構成された可撓性構造体であって、例えば、該構造体は、基板に沿って摺動するように構成されている。2)ファンデルワールス型接触構造体と、該ファンデルワールス型接触構造体を接触パッド・ベースに接続する可撓性部材と、を含むマルチレベル階層構造。3)制御された係合および解放を可能とするように3次元に配列された複数の微細構造体。これらの側面のそれぞれについて、以下でさらに詳しく説明する。
図2a〜図2dを参照すると、ファンデルワールス型可撓性構造体を示している。本明細書で使用される場合のファンデルワールス型構造体とは、該構造体の接着強度または摩擦力の多くまたは略全てが、該構造体と基板との間の分子間力によって得られる構造体を指す。図2aは、未ロード状態にある、接触構造体28に接続された可撓性部材20を含む、可撓性ファンデルワールス型微細構造体18の一例を示している。図2aの例では、可撓性部材20は、エンドエフェクタパッド・ベース16に接続されたスプリング・カンチレバーとして特徴付けることができる。接触構造体28の詳細を、図2dの挿図Aに示しており、これは、可撓性部材20の横向き部分20Aに接続されたバッキング層32の上に配列された複数のナノ毛30を有している。ナノ毛30は、基板がロードされた状態では、極めて近接するように構成されており、エンドエフェクタパッドの複数のファンデルワールス型微細構造体18にわたるナノ毛30が近接すること、および多数あることによって、エラストマのそれよりも大きな摩擦力が得られる。図2aおよび2dは、可撓性部材、およびナノファイバを有する接触構造体の、考え得る構成の例を示しているが、当然のことながら、数多くの他の構成が可能である。例えば、一部の実現形態では、ナノ毛30は、中間バッキング層32を設けることなく、可撓性部材20から直接延出し得る。そのような実現形態の一例の模式図を、図3aに示している。別の例では、スプリング・カンチレバーがエンドエフェクタパッド・ベース16と接触構造体28に直接接続されて、可撓性部材20は横向き部分20Aを含んでいなくてもよく、そのような一例の模式図を、図3bに示している。図3bの構造体は、図2bに関して詳細は後述する基板をロードしつつあるときを示している。
ヤモリの剛毛列の摺動によって、効果的な吸着および切り離しが可能となることが明らかにされている(参照により本明細書に組み込まれる、Tian等著,Scientific Reports 3:1382(2012年))。一部の実現形態では、図2a〜2cのファンデルワールス型微細構造体18によって、接触構造体28は、図2bおよび2cに関して模式的に示すように、駆動されることなく、荷重が印加または解除されたときに、受動的に摺動することが可能である。図2bにおいて、基板10を、ファンデルワールス型微細構造体18に接触させる。可撓性部材20は撓み始めて、接触構造体28は、基板10に沿って摺動することが可能となる。特定の理論に制限されることなく、吸着は、剛毛列に関してTianの文献に記載されている以下のメカニズムを伴い得る。垂直力および摩擦力によって、ナノ毛30は圧縮されて、その結果、傾斜角はより小さくなり、それらの力は増大する。これは、ナノ毛30が密集状態に達するまで続行される。図3cを参照すると、ロード段階40aおよび40bにおいて、接触構造体28のナノ毛30は圧縮され、ロードされると(基板は図示していない)ナノファイバは密集状態40cに達する。図2cは、対応するロード状態のファンデルワールス型微細構造体18を示している。可撓性部材20によって、ファンデルワールス型微細構造体18は、x−y方向に静止状態に保持された基板10に対して摺動することが可能となる。これは、(例えば、図2cから図2bへと進行する)解放の場合にも当てはまり、基板は、剥離されるのではなく、傾斜を伴うことなくz方向に持ち上げられる。これは、単に直立した摺動しないファイバによるマイクロファイバ列を採用したエンドエフェクタとは大きく異なる。そのようなエンドエフェクタは、重力に逆らってウェハを上下逆に保持することができるが、ウェハの解放は、ウェハをエンドエフェクタから剥離するための角度で傾けることを伴う。
いくつかの実現形態により、(上記の例におけるナノ毛30のような)接触部材は、マイクロスケールまたはナノスケールのものとすることができ、横寸法(例えば、直径または幅)の例は、数十ナノメートル〜数十ミクロンの間である。
一部の実現形態では、接触部材の少なくとも自由端は、サブミクロンであり、500nm未満、100nm未満、50nm未満、または20nm未満の横寸法(例えば、直径または幅)を有し得る。接触部材は、略一定の断面か、または変化のある断面を有することができる。一部の実現形態では、接触部材は、テザー端から自由端へと幅を狭めたものとすることができる。また、一部の実現形態では、接触部材のベースは、複数の自由端に分割されたものであってもよい。例えば、一部の実現形態では、接触部材は、ヤモリの足指の剛毛およびスパチュラとするか、またはそれらを模倣することができる。オオヤモリの剛毛は、約4.2ミクロンであり、例えば、それぞれの剛毛の先端部は数百のスパチュラ柄部およびスパチュラに分割されており、それらの直径は約100nmである。
接着力、許容加速度、および摩擦力による制限を受けるスループットは、接触子の数に相関するので、一部の実現形態では、接触部材をより多数とすることが可能となるように、接触部材の少なくとも自由端は、サブミクロンであることが効果的となり得る。また、接触部材がより小さいと、総接触面積を減少させることができ、粒子制御のために望ましくなり得る。一方、一部の実現形態では、接触部材の自由端は、1〜100ミクロンの間、または1〜10ミクロンの間とすることができる。Liuは、直径5ミクロンのファイバ列を用いた場合に、標準的なエンドエフェクタに比してウェハ搬送の加速度が増加することを明らかにしている(Liu等著,Industrial Robot 39/1(2012年)79〜91)。接触部材の長さの例は、約1〜500ミクロンの間とすることができるが、他の適切な長さを採用してもよい。
可撓性部材のカンチレバーの長さ(例えば、図2aの長さLを参照)の例は、約1ミクロン〜1000ミクロンの間の長さとすることができ、例えば10ミクロン〜500ミクロンとすることができる。一部の実現形態では、可撓性部材は、ヤモリの足指のラメラとするか、またはそれらを模倣することができる。一部の実現形態では、可撓性部材は、カンチレバーの長さに沿って概ね1次元にのみ延びるファイバまたはロッドの形態とすることができる。一部の実現形態では、可撓性部材は、撓み方向に交差する第2の次元に延びるものであってもよい。一例を図3dに示しており、同図では、長さLに交差する幅Wに沿って延びる3つの可撓性部材20を示している。それぞれの可撓性部材20は、サイド22に沿ってエンドエフェクタパッド・ベース(図示せず)に固定される。図3dに示す例では、幅Wは長さLよりも大きいが、他の実現形態では、長さを、幅よりも大きくするか、または幅と略同じとすることができる。幅の例として、1ミクロン〜1000ミクロンが含まれる。一部の実現形態では、可撓性部材を、例えば、エンドエフェクタパッド・ベースの中心の周りに少なくとも部分的に延びるように、若干湾曲させることができる。一部の実現形態では、可撓性部材は、ヤモリの足指の剛毛とするか、またはそれらを模倣することができる。そのような実現形態における長さの例は、1ミクロン〜10ミクロンのオーダとすることができる。そのような実現形態では、接触部材はサブミクロンとすることができる。
上記の例は、2レベルまたはそれ以上のレベルの階層を有するファンデルワールス型構造体に関するものである。例えば、500ミクロン幅の可撓性部材の上の直径5ミクロンの接触部材の配列は、2レベル階層構造として特徴付けることができる。5ミクロンの接触部材がさらに500nmの自由端に分割されている実現形態は、3レベル階層構造として特徴付けることができる。いくつかの実現形態において、本明細書に記載の構造体は、追加のレベル、例えば4つのレベルを含むことができ、その場合、それらの構造体の自由端は、基板面とファンデルワールス相互作用するように構成されて、荷重の印加によって撓むように構成された可撓性部材に直接的または間接的に接続される。さらに、一部の実現形態では、単一の階層レベルを採用することができ、その場合、可撓性部材の自由端が、基板とファンデルワールス相互作用するように構成される。このように、微細構造体またはナノ構造体は、可撓性部材と接触部材のどちらとしても機能し得る。
いくつかの実現形態において、複数の微細構造体を3次元に配列することで、制御された係合および解放を可能とする。図4aは、複数のゾーン48を含むエンドエフェクタ接触パッド14を上面視した一例の模式図を示している。各ゾーン48は、ファンデルワールス型微細構造体の列を1つ以上含むことができ、それらは、エンドエフェクタからの基板の解放が、ゾーンごとに順次行われるように構成されている。このようにして、基板を解放するために用いる力が著しく削減される一方で、基板が外れたり、または不必要に粒子を発生したりすることはない。図4aの例では、ゾーン48は、パッドの中心46の周りに周方向に延びている。図4bは、最も内側の3つのゾーン48の、図4aのA線に沿った断面模式図を示している。図4bでは、これらのゾーンを48a、48b、48cと表示している。図3bの例の模式図では、これらのゾーンのそれぞれは、ファンデルワールス型構造体の列を3つ含んでいる。基板の解放が段階的に行われるように、ファンデルワールス型構造体の有効ばね定数と高さのうち一方または両方が、ゾーン48a〜48c間で異なっている。図4bの例では、ゾーン48aは高さHaを有し、ゾーン48bは高さのHbを有し、ゾーン48cは高さHcを有し、このとき、Hc>Hb>Haである。高さは、エンドエフェクタパッド・ベース16の底面から接触構造体28の最上点までを測定するものとして図示しているが、エンドエフェクタ面の平面に対して、またはロードされ傾斜していない基板に対して平行な任意の共通基準面から測定することができる。
ゾーン48a〜48cの接触構造体28は、ゾーンの高さに従って、エンドエフェクタパッド・ベース16上に載置された基板と接触し、このとき、最も高いゾーン(図4bのゾーン48c)が最初に基板と接触し、その後に他のゾーンが高さの順に次々と接触する。これらの高さは、基板を持ち上げる力Fが1度に1つのゾーンのみのファンデルワールス力に打ち勝つことになるように構成することができる。一部の実現形態では、1つのゾーンで解放されるとすぐに次のゾーンで解放が開始されるような、高さの差になっている。例えば、図4bでは、続くゾーンの高さの差は、傾斜した接触構造体28の高さとすることができる。具体的な例では、数百または数千のゾーンが設けられる場合があり、その各々の高さの差は、わずか数ナノメートルである。
図5a〜図5eは、ロードされたエンドエフェクタ12のエンドエフェクタパッド・ベース16からの基板の解放を示している。説明を簡単にするために、図4bと同様にゾーンを3つのみ示しているが、いくつかの実現形態により、エンドエフェクタパッドは、数十、数百、数千、数十万、またはそれより多くのゾーンを有し得る。同じく、説明を簡単にするために、各ゾーンは、ファンデルワールス型微細構造体の列を3つ有するものとして示しているが、いくつかの実現形態により、各ゾーンは、1列から、数百、数千、またはそれより多くの列を含み得る。最初に図5aを参照して、エンドエフェクタ12は、エンドエフェクタパッド・ベース16と、基板10を支持するファンデルワールス型微細構造体18と、を有する。図5aに示すロード状態では、基板10を、エンドエフェクタ12によって、基板10とファンデルワールス型微細構造体18との間の最大摩擦力により制限される最大加速度で、x−y方向に移送することができる。基板10との間の最大摩擦力は、ファンデルワールス型微細構造体18の数に相関している。ファンデルワールス型微細構造体18は、ロードされているときは密集状態にある。
図5b〜図5dは、解放中のファンデルワールス型構造体を示している。最初に図5bにおいて、ゾーン48bと48cは基板10と係合したまま、最も内側のゾーンであるゾーン48aが解放される。ゾーン48aのファンデルワールス型微細構造体は、基板10の荷重が解除されて、弛緩した非圧縮・非伸張状態で示されている。引き続き基板10が持ち上げられると、図5cに示すように、次のゾーン48bのファンデルワールス型微細構造体が剥離される。図5dでは、引き続き基板10がエンドエフェクタ12から持ち上げられて、ゾーン48cのファンデルワールス型微細構造体が、基板10に対して摺動するか、または基板から剥離するものとして示されている。ゾーン48cのファンデルワールス型微細構造体のスプリング・カンチレバーは、完全に弛緩した状態ではなく、接触構造体は部分的に解放されている。図5dでは、エンドエフェクタから解放された基板10を示しており、ゾーン48a〜48cのファンデルワールス型構造体は、弛緩した非密集・非圧縮・非伸張状態に戻っている。
図5a〜図5eの例において、基板10を持ち上げる力Fは、基板10と1つのゾーンのファンデルワールス型微細構造体との間の接着力に打ち勝つのに十分なものであり、全てのファンデルワールス型構造体を同時に剥離する場合よりも、はるかに小さな力を用いることが可能となる。
図5aの例において、ファンデルワールス型微細構造体18は、図2a〜3dに関して上述したように、接触部材に接続された可撓性部材を有し、これにより、基板10が持ち上げられるときに基板に沿って摺動するように構成されている。代替的実現形態では、ファンデルワールス型微細構造体は、基板10に沿って摺動するように構成されていなくてもよく、例えば、ゾーン48a〜48cは、ナノファイバの垂直列を含むことができ、段階的解除のために、ナノファイバの高さはゾーンごとに異なる。基板は、非段階的な解放プロセスで基板を外すために用いられるものよりも小さい力で、ナノファイバからの剥離またはその他の切り離しが可能である。
ファンデルワールス型構造体の段階的解放は、それぞれのゾーンの構造体の有効ばね定数に依存させることができ、その場合、ばね定数をゾーン間で変化させて、ファンデルワールス型構造体の高さは、ゾーン間で一定とすることができる(または変化させることができる)。一例を図6a〜図6dに示している。
図6aは、エンドエフェクタパッド・ベース16上の3つのゾーン48a、48b、48cのファンデルワールス型構造体の断面模式図を示している。説明を簡単にするために、ゾーンを3つのみ示しているが、いくつかの実現形態により、エンドエフェクタパッドは、数十、数百、数千、数十万、またはそれより多くのゾーンを有し得る。図6aの例の模式図では、それらのゾーンのそれぞれは、ファンデルワールス型微細構造体の列を1つ含んでいる。基板の解放が段階的に行われるように、ファンデルワールス型構造体の有効ばね定数は、ゾーン48a〜48c間で異なる。図6aの例では、ゾーン48aは有効ばね定数kaを有し、ゾーン48bは有効ばね定数kbを有し、ゾーン48cは有効ばね定数kcを有し、このとき、ka>kb>kcである。本明細書で使用される場合のファンデルワールス型微細構造体の有効ばね定数とは、微細構造体の剛性およびそれがファンデルワールス接着力に抵抗する程度を特徴付ける微細構造体全体としてのばね定数を指す。
図6b〜図6dは、図6aに示す構造体を含むエンドエフェクタパッドからの基板の解放を示している。図6bでは、エンドエフェクタ12のエンドエフェクタパッド・ベース16上のファンデルワールス型微細構造体18によって基板10を支持している。図6bに示すロード状態では、基板10を、エンドエフェクタ12によって、基板10とファンデルワールス型構造体18との間の最大摩擦力により制限される最大加速度で、x−y方向に移送することができる。
図6cでは、ゾーン48cは基板10と係合したまま、ゾーン48bのファンデルワールス型構造体が基板10に対して摺動または基板から剥離して、最も内側のゾーンであるゾーン48aが解放される。図6dでは、エンドエフェクタから解放された基板10を示しており、ゾーン48a〜48cのファンデルワールス型構造体は、弛緩した非圧縮・非伸張状態に戻っている。
ファンデルワールス型微細構造体の有効ばね定数は、構造体が弛緩した状態から一定の変位で構造体を圧縮または伸張させる力によって特徴付けることができる。有効ばね定数は、材料の弾性率ならびに構造体の長さおよび形状を含む各種因子に依存し得る。ファンデルワールス型構造体を、端部に荷重を受けたカンチレバービームとして近似すると、変位は、FL3/3EIとして近似することができ、ただし、Fはファンデルワールス力、Lはビームの長さ、Eは弾性率、Iは断面2次モーメントである。エンドエフェクタパッドの微細構造体の全てにわたって一定の力、弾性率、慣性モーメントを仮定すると、いくつかの実現形態において、ファンデルワールス型構造体の長さをゾーンごとに変化させることができる。いくつかの実現形態において、長さに加えて、またはその代わりに、他のいずれかの因子を変化させることができる。例えば、異なるゾーンでは異なる材料を用いることで弾性率を変化させることができ、ゾーンごとに構造体の幾何学的形状を変えることで慣性モーメントを変化させることができ、ゾーンごとに構造体上の接触部材の数を変えることで力を変化させることができる。
図5a〜図5eおよび図6a〜図6dの例では、段階的な解放が径方向外向きに進行するように、ゾーンが構成されている。他の実現形態では、段階的な解放が径方向内向きに進行するように、ゾーンを構成することができ、例えば、ファンデルワールス型構造体の長さをパッドの中心に向かって増加させる。代替的実現形態において、非隣接ゾーンが相次いで解放されることが効果的である場合があり、例えば、基板の中心に近いゾーンを最初に解放し、続いて基板の外周に近いゾーンを解放することなどができる。
様々な実現形態によれば、ゾーンは必ずしも円状であるとは限らず、曲線または直線で表される任意の適切な構成配置とすることができる。例えば、接触面を、方形とすることができ、それぞれ直線状に配置される数千のゾーンで構成することができる。
ファンデルワールス型微細構造体は、一部の実現形態において、基板がエンドエフェクタパッドから持ち上げられる際に、基板から剥離して、基板に対して傾斜するように構成することができる。この傾斜および剥離の挙動は、基板の傾斜または剥離を伴うことなく基板を持ち上げることを可能とし得るものであって、高さ、有効ばね定数、パッド・ベースの角度、およびファンデルワールス型構造体の配置構成の結果として生成されるものであり得る。図7aは、具体的な実現形態によって異なり得る角度の模式図の一例を示している。解放中のファンデルワールス型構造体の剥離および他の特性を制御するために、これらの角度のうちの1つ以上を、ファンデルワールス型構造体の長さおよび弾性率と共に、変化させることができる。角度α1は、エンドエフェクタパッド・ベース16の傾きを制御するものであり、0°(平坦なベース)〜45°超の範囲とすることができる。一部の実現形態では、パッド・ベースは、かなり浅く、例えば、α1は0°〜15°の間である。角度α2は、可撓性部材20が接触パッド・ベース16から突き出す角度であり、角度α3は、横向き部分20Aが(もし存在する場合に)可撓性部材20の残りの部分から突き出す角度である。α2の値の例は、20°〜160°の範囲とすることができる。なお、α2が鋭角である実現形態では、接触構造体28は、径方向外側に向き得るということに留意すべきである。α3の値の例は、20°〜160°の範囲とすることができ、α2とα3は、ファンデルワールス型構造体がロードする際の基板に接触するように構成されるような値を有する。角度α4は、接触構造体28が基板10に対して傾斜した角度である。α4の値の例は、0°(基板10に対して平坦)〜80°の範囲とすることができ、約40°〜80°の間など、より大きな値によって、より大きな傾きが得られる。一部の実現形態では、角度α4は、基板10からのファンデルワールス型構造体の剥離角度であるか、またはそれに近いものであり得る。一部の実現形態では、角度α4は、接触部材が突き出している接触部材ベース(バッキング面32または可撓性部材20)と、面29に平行であって接触構造体28の直ぐ上方にある平面と、の間の角度として特徴付けることができる。これは、接触構造体28と係合する直前の傾斜していない基板の平面であり、図7aの例では、基板10の平面である。エンドエフェクタパッド・ベース16の面29は、エンドエフェクタに取り付けるように構成された面とすることができる。角度α5は、可撓性部材20が基板10に対して傾斜した角度である。一部の実現形態では、角度α5は、基板10からのファンデルワールス型構造体の剥離角度であるか、またはそれに近いものであり得る。α5の値の例は、20°〜160°の範囲とすることができる。一部の実現形態では、角度α5は、可撓性部材20と、上記のような面29に平行であって接触構造体28の直ぐ上方にある平面との間の角度として特徴付けることができる。角度α6は、相次ぐゾーンによる係合および解放の速度の因子であり得る。α6の値の例は、1°〜20°の範囲とすることができ、より低い値によって、より円滑な係合および解放が得られる。一部の実現形態では、角度α6は、複数の構造体の頂部を結ぶ線(例えば、図7aの線31)と、面29に平行であってこれらの構造体の上方にある平面(例えば、図7aにおける基板10の平面)と、の間の角度として特徴付けることができる。図7bは、バッキング面32または可撓性部材20の上にナノ毛30を有する接触構造体28の一例を示している。角度α8は、ナノ毛30がバッキング面32/可撓性部材20に対して傾斜した角度である。
上述のように、本明細書で開示するエンドエフェクタパッドは、ファンデルワールス型構造体を有し、それらは可撓性とすることができ、ファンデルワールス分子間ポテンシャルによって半導体ウェハまたは他の基板に接着するように構成される。いくつかの実現形態により、ファンデルワールス型基板は、マルチレベル階層構造または単一レベル構造とすることができる。ファンデルワールス型微細構造体の材料として、カーボンナノチューブ(CNT)およびグラフェンなどのカーボン系材料を含むことができる。材料には、さらに、ポリイミドおよびポリエチレンテレフタレートのような様々な熱可塑性プラスチックなどのポリマ材料、可撓性金属材料と結晶性金属のハイブリッド、シリコンなどの半導体材料、を含むことができる。ファンデルワールス型微細構造体のいくつかの実現形態は、可撓性かつ弾性であって、荷重を支持するとともに、破損することなく繰り返し撓むための十分に高い強度を有する。カーボンは、非常に高強度かつ可撓性であり、さらに高い耐熱性を有するため、材料として望ましい。カーボン構造体は、チューブ、ロッド、ワイヤなどの形態で、上述のようにマイクロスケールおよび/またはナノスケールとすることができる。ポリマ材料は、可撓性であって、かつ多様な構造体を容易に製造できるので、高温耐性を必要としない用途の場合に効果的となり得る。
マルチレベル階層構造の場合に、異なる階層レベルは、同じ材料または異なる材料とすることができる。一部の実現形態では、例えば可撓性部材と接触部材とを含む全てのレベルにカーボン系材料を用いることができる。
いくつかの実現形態により、可撓性部材は、基板上に例えば化学気相成長(CVD)により成長させることができ、マスクを施した材料をエッチングすることにより形成することができ、マイクロインプリントもしくはナノインプリント・テンプレート法または他のモールドプロセスにより形成することができる。ナノ毛またはその他の接触部材は、可撓性部材の上に例えば触媒化学気相成長法により成長させることができ、または製造後に可撓性部材に接合することができる。パッド・ベースは、その上に可撓性部材の成長もしくは印刷が可能な、または製造後の可撓性部材をそれに接合することが可能な、任意の材料とすることができる。例として、金属およびシリコンが含まれる。ポリマ構造体は、ナノインプリント・テンプレート法、ブロック共重合体材料の自己組織化、リソグラフィなど、様々な方法で形成することができる。金属構造体は、CVD、物理気相成長(PVD)、原子層堆積(ALD)などの気相成長法によって形成することができる。シリコンナノワイヤなどのシリコン構造体は、触媒CVD、またはシリコン基板のエッチングなど、様々な方法によって形成することができる。
CNTなどのマイクロスケールおよびナノスケールのカーボン構造体の気相成長は、触媒により開始されるエチレンなどの炭素含有ガスの熱分解を伴い得る。一部の実現形態では、触媒の注入、犠牲テンプレート内への堆積などを制御することによって、成長を制御することができる。一部の実現形態では、堆積は、製造後のエンドエフェクタパッドにおいて構造体が配置されることになる表面上で、実施することができ、例えば、直接、エンドエフェクタパッド・ベース上で、可撓性部材上で、またはマルチレベル階層構造における他のレベル上で、実施することができる。他のいくつかの実現形態では、CNTまたは他の構造体を、堆積面から外して、エンドエフェクタパッド・ベース、可撓性部材などに取り付けることができる。
上述のように、一部の実現形態において、本明細書に記載のマイクロスケールおよびナノスケールの部分は、エンドエフェクタパッド・ベース、またはファンデルワールス型構造体の他の部分に対して、傾斜したものとすることができる。Zhou等は、参照により本明細書に組み込まれるCarbon 50(2012年)5372〜5379において、傾斜した構造体を形成することについて開示している。Zhouの文献では、明確な多層カーボンナノチューブ(MWCNT:MultiWall Carbon NanoTube)アレイが、触媒CVDによりシリコン基板上に作製されている。MWCNTアレイは、直径が10〜15nm、長さが約400ミクロンのMWCNT、および1010〜1011MWCNT/cm2 の密度によって特徴付けられるものであった。静電吸着フィルムを用いてシリコン基板からMWCNTアレイを剥離し、続いて摺動圧縮機を用いてアレイを圧縮することによって、ナノチューブを傾斜させている。2層CNT(DWCNT)などのMWCNTの他に、単層CNT(SWCNT)を用いることができる。このように、弛緩状態において一定の傾斜角を有する可撓性部材および/または接触部材を形成するために、CNTを用いることができる。
CNTファイバは、可撓性部材および/または接触部材などの様々な階層レベルに用いることができる。Behabtu等は、参照により本明細書に組み込まれるScience 339,182(2013年)において、高抗張力、高弾性率、高伸度を有するCNTファイバの製造について開示している。
ナノ構造体をエンドエフェクタパッドの他の構成要素の上に直接成長させない実現形態では、種々の転写法を用いることができる。それらには、吸着を用いたナノ構造体アレイの剥離、エラストマを用いた転写印刷、が含まれる。例えば、SWNTの上に金属層を堆積させることができ、その金属/SWNT層を、ポリジメチルシロキサン(PDMS)を用いて所望の表面に転写し、化学エッチャントを用いて金属層を除去する。
Lee等は、参照により本明細書に組み込まれるNano Lett.2011年,11,3435〜3439において、転写印刷法について開示しており、それは、水がナノ構造体とドナー基板との界面に浸透する現象を利用して、ドナー基板からのナノ構造体のリフトオフ、およびターゲット面への接着を可能とするものである。本明細書で開示する構造体を形成するために、CVD堆積の他に、印刷などの技術を用いることができる。例えば、Park等は、参照により本明細書に組み込まれるNanoscale、2013年,5,1727〜1752において、単層ナノチューブ(SWNT)のインクジェット印刷およびエアロゾル印刷について開示している。
マルチレベル階層構造の異なるレベルを別々に製造するための様々な方法を用いることに加えて、複数のレベルの同時製造のための技術を実施することができる。そのような方法の例を以下で提示する。Ge等は、参照により本明細書に組み込まれるProc.Natl.Acad.Sci.2007年,104,10792〜10795において、カーボンナノチューブを、露出した先端を個別に有しつつ一緒に変形するピラーにバンドル化することについて開示している。このようにして、スパチュラ(露出した先端)に分割しているヤモリの剛毛(バンドル化されたピラー)に類似した構造体を形成することができる。そして、そのようなピラーを、例えば可撓性部材またはエンドエフェクタパッド・ベースなどのベースに接合するか、または埋め込むことができる。
異なる階層レベルを同時製造する更なる方法として、レーザパターニング、リソグラフィ、各種材料を用いたインプリントを含むことができる。ヤモリのラメラに類似した可撓性部材は、フィルムのレーザパターニングによって製造することができる。Lee等は、参照により本明細書に組み込まれるLangmuir,2009年,25(21),12449〜12453において、高密度ポリエチレン(HDPE)を用いてラメラ状構造体上にナノファイバ・アレイの合成マルチレベル構造を形成する方法について開示している。ラメラの厚さ、幅、長さは、それぞれ15ミクロン、0.8mm、1.3mmであって、直径600nm、長さ18ミクロンのナノファイバのアレイがラメラ構造体上に同時製造された。この方法は、他の寸法および材料に拡張可能である。
Murphy等は、参照により本明細書に組み込まれるApplied Materials and Interfaces,1巻,4号,849〜855,2009年において、広がった形状を有するものなど、ヤモリのスパチュラのそれと類似した制御された先端形状を有するマルチレベル階層構造を形成する方法について開示している。キノコ形状の先端を有する基材繊維を、液体ポリマに浸漬し、そしてそれを、ネガ型にエッチングされた所望の先端部を有するエッチング済みモールド上に配置する。基材繊維上の液体ポリマは、モールドのネガ型フィーチャの中に引き込まれて硬化され、硬化後にモールドはエッチング除去される。それらの先端に、更なるレベルの製造において、カーボンナノチューブを埋め込むことができる。
いくつかの実現形態において、可撓性部材は、カーボン微細構造体で形成することができる。Daicho等は、参照により本明細書に組み込まれるOptical Materials Express,3巻、6号、875〜883頁(2013年)において、レーザ直接書き込みおよびマイクロトランスファモールディング技術を含む、複雑なカーボン微細構造体を製造するための技術について記載している。Wang等は、参照により本明細書に組み込まれるACS Macro Lett.2012年,1,1176〜1179において、カーボンナノチューブネットワークで微細構造体を形成する技術について記載しており、それらの微細構造体は、調整可能な機械的特性を有する。
上記の技術は、製造方法の例を提示したものであり、当該技術分野で周知の他の技術を用いることもできる。
パッドは、形成されたら、使用のためにエンドエフェクタに取り付けることができる。図8は、4つのエンドエフェクタ接触パッド14を有するエンドエフェクタ12の一例を示している。エンドエフェクタ接触パッド14上に円形ゾーンを模式的に表示しているが、それらのゾーンは、一般に、巨視的レベルで見るには小さすぎるものである。様々な実現形態により、エンドエフェクタ接触パッド14は、一定の使用時間の後に取り外し可能かつ交換可能である。
エンドエフェクタ接触パッド14の数およびサイズは、基板サイズならびに所望の最大加速度に依存し得る。一例では、接触部材の100nm幅の先端は、0.4μNのファンデルワールス引力を有し得る。パッド上の2500万個の先端、すなわち4つのパッドの各々の上の625万個の先端によって、10Nすなわち約1kgの合力が得られることになる。これによって、450mm、0.342kgの半導体ウェハの場合に、約3のCfと同等のものが得られることになる。
さらに、本明細書に記載のファンデルワールス型エンドエフェクタを有する高スループット真空搬送モジュール、ならびに高スループット真空搬送モジュールを備えた半導体ツールが提供される。図9は、高スループット真空搬送モジュールを備えた半導体処理ツールの一例を示している。図9に示す半導体処理ツール52は、直径300mmの半導体ウェハ、および直径450mmの半導体ウェハなど、任意の寸法の半導体ウェハのような基板を処理するために使用することができる。真空搬送モジュール58は、ロードロック56と処理モジュール54に接続されている。処理モジュールは1つのみ図示しているが、いくつかの実現形態により、真空搬送モジュール58に接続された任意の数の処理モジュールを設けることができる。処理モジュールの例として、成長室、エッチング室などを含むことができる。真空搬送モジュール58は、デュアル・ロボットアーム66と、ロボットアーム66の各々に取り付けられたデュアル・ファンデルワールス型エンドエフェクタ12と、を有する真空搬送モジュール(VTM)ロボットを収容している。2つのエンドエフェクタ12が、半導体ウェハ10で覆われているものとして図示されている。処理モジュール54は、複数のウェハサポート70を収容している。図9における真空搬送モジュール58は、ロードロック56と処理モジュール54との間で基板を搬送するように構成されている。ロードロック56は、大気(ATM)ロボットを有する大気搬送モジュール(図示せず)に接続することができ、そしてこれを、1つ以上の正面開口一体型ポッド(FOUP(フープ):Front Opening Universal Pod)などのウェハ保管場所に接続することができる。ウェハが、FOUPから、処理モジュール54で処理されるまでに辿る経路の一例を、以下に提示する。
1−ATMロボットによりFOUPからピックアップされる
2−ATMロボットによりロードロックに移送される
3−ATMロボットによりロードロックに載置される
4−ロードロックのポンプダウン
5−VTMロボットによりロードロックからピックアップされる
6−VTMロボットにより処理モジュールに移送される
7−VTMロボットにより処理モジュールに載置される
処理されたウェハが、処理モジュール54からFOUPまで辿る経路を、以下の例に提示する。
1’−VTMロボットにより処理モジュールからピックアップされる
2’−VTMロボットによりロードロックに移送される
3’−VTMロボットによりロードロックに載置される
4’−ロードロックの換気/冷却
5’−ATMロボットによりロードロックからピックアップされる
6’−ATMロボットによりFOUPに移送される
7’−ATMロボットによりFOUPに載置される
移送ステップ2、2’、6、6’のそれぞれのための時間は、最大摩擦係数により制限される。例えば、5の安全率を用いて、最大加速度および減速度を、エンドエフェクタの摩擦係数に基づく値に制限することができ、これにより、それらのステップにおける最大加速度は、最大許容加速度の1/5を超えないようにされる。ステップ2および6’のATMロボットの場合は、真空作動式のエンドエフェクタによって加速度を増加させることができるが、ステップ2’および6のVTMロボットの場合は、真空作動を用いることができない。これらのステップでは、本明細書で開示するファンデルワールス型エンドエフェクタによって、加速度を著しく高めることが可能となる。また、本明細書で開示するファンデルワールス型エンドエフェクタによって、ステップ2および6’のATMロボットの場合に、駆動を伴わない受動システムを用いて高加速度を可能とすることができる。いくつかの実現形態において、少なくとも0.5g、少なくとも1g、少なくとも2g、または少なくとも3gの加速度を用いることができる。
半導体処理ツール52は、さらに、半導体処理ツール52のプロセス条件ならびにハードウェア状態を制御するために採用されるコントローラを備えることができる。図10は、制御システムの一例のブロック図を示している。当然のことながら、他のスキームおよび構成を採用することもできる。いくつかの実施形態において、(1つ以上の物理コントローラまたは論理コントローラを含み得る)コントローラ53により、処理ツールの動作の一部または全てを制御する。適切な動作制御を実現するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されていてもよいし、あるいはネットワークを介して提供されるものであってもよい。いくつかの実施形態において、コントローラは、システム制御ソフトウェアを実行する。
例えば、コントローラによって、本装置の外部からウェハを受け取り、ウェハをピックアップおよび載置し、さらに/またはウェハをある場所から別の場所へ搬送することができる。コントローラ53は、1つ以上の記憶装置57と、1つ以上のプロセッサ59とを備えることができる。プロセッサ59は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、などを含むことができる。
一部の実施形態では、コントローラ53は、真空搬送モジュール58の動作の全てを制御する。一部の実施形態では、コントローラ53は、処理ツール52の動作の全てを制御するシステムコントローラである。一部の実施形態では、コントローラ53は、記憶装置57に保存されてプロセッサ59で実行される機械可読制御ソフトウェア59を実行し、これにより、本装置は、本発明の実施形態による方法を実施する。あるいは、制御ロジックは、コントローラにハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いることができる。以下の解説において、「ソフトウェア」が用いられる場合には、いずれも、機能的に同等のハードコーディングされたロジックが代わりに用いられてもよい。「コード」という用語は、ソフトウェアまたはハードコーディングされたロジックを指し得る。
制御ソフトウェア59は、ピックアップおよび載置、移送のタイミングと、バルブおよびドアの開放と、回転運動を含むロボットの動きと、垂直方向、θ(回転)方向、x−y方向の動きを含むロボットアームの動きと、ロボットアームの加速および減速と、基板台座、チャック、および/またはサセプタの位置と、半導体処理ツールを用いた具体的な搬送プロセスのその他パラメータとを制御するための命令を含むことができる。制御ソフトウェアは、任意の適切な方法で構成することができる。例えば、種々の処理ツール・プロセスを実行する処理ツール構成要素の動作を制御するための、各種処理ツール構成要素サブルーチンまたは制御オブジェクトを作成することができる。制御ソフトウェア59は、任意の適切なコンピュータ可読プログラミング言語でコーディングすることができる。
いくつかの実施形態において、制御ソフトウェア59は、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含み得る。例えば、搬送プロセスの各段階は、コントローラ53で実行される1つ以上の命令を含むことができる。いくつかの実施形態において、コントローラ53に関連付けられた大容量記憶装置57に保存された他のコンピュータソフトウェアおよび/またはプログラムを用いることができる。本目的のためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、ロボット制御プログラム、処理モジュールのドアまたはバルブタイミング・プログラム、およびロードロックのドアまたはバルブタイミング・プログラムが含まれる。
図10に示す例では、コントローラ53は、スイッチ68を介して、処理モジュールPM1およびPM2を制御する処理モジュール・コントローラ63および64、真空搬送モジュール・コントローラ65、および真空搬送モジュールロボット61にコマンドを送ることができる。(プロセスコントローラが制御し得る半導体処理ツールのその他の部分は、同図には示していない。)図10に示すように、各モジュールにおいて個々のバルブ、センサなどに接続するための複数の入力/出力コントローラ(IOC)を、各モジュールに設けることができる。それらのコントローラは、例えば、モジュール内、またはモジュールから離れた所など、装置内の様々な場所に物理的に配置することができる。真空搬送ロボット61は、コントローラ53の代わりに、またはそれに加えて、真空搬送コントローラ65から直接コマンドを受け取ることができる。
基板位置決めプログラムは、公称経路に沿って基板を移送するための、基板位置を検出するための、検出された基板位置に基づいて基板位置を修正するための、プログラムコードを含むことができる。
ロボット制御プログラムは、ロボットおよびロボットアームを1つ以上の公称経路に沿ってz方向、x−y方向、θ方向に動かすための、プログラムコードを含むことができる。ロボット制御プログラムは、1つ以上のロボットモータを適切に始動および停止させるための、ロボットアームの加速および減速を制御するための、コードを含むことができる。ロボット制御プログラムは、様々な場所で基板をピックアップおよび載置するための、コードを含むことができる。処理モジュールまたはロードロックのドアまたはバルブタイミング・プログラムは、ロボットによるアクセスを可能とするようにドアまたはバルブを開閉するための、プログラムコードを含むことができる。
いくつかの実施形態において、コントローラ53に関連付けられたユーザインタフェースを設けることができる。ユーザインタフェースとして、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置を含むことができる。
監視のための信号を、各種処理ツールセンサから、システムコントローラ53のアナログおよび/またはデジタル入力接続によって供給することができる。プロセスを制御するための信号は、処理ツール52のアナログおよびデジタル出力接続に出力することができる。監視され得る処理ツールセンサの非限定的な例として、基板位置検出器、熱電対、ロボットモータ・トルクセンサなどが含まれる。適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらのセンサからのデータと共に用いることで、条件を維持することができる。
上記の装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスと共に用いることができる。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。リソグラフィによる膜のパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールによって実施可能となる。(1)ワークピースすなわち基板の上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。あるツールから別のツールに基板を移送するために、本明細書に記載のもののようなロボットを使用することができ、これにより、製造プロセスが円滑となる。
記載された具体的な実現形態のいずれかにおける特徴が、相互に非両立であることが明示されているか、またはそれらが相補的および/もしくは補助的な意味で相互排他的であって容易に組み合わせ可能ではないことが周辺の文脈で示唆されていない限り、本開示は全体として、包括的であるがわずかに異なる1つ以上の技術的ソリューションを提供するために、そのような相補的な実現形態の特定の特徴を選択的に組み合わせ可能であることを企図および想定するものであることは、理解されるであろう。よって、さらに理解されるべきことは、上記の説明は、単なる例として提示したものにすぎず、本発明の範囲内で細部の変更を実施することができるということである。

Claims (35)

  1. エンドエフェクタ用の接触パッドであって、
    接触パッド・ベースと、
    前記接触パッド・ベース上に配列された複数の構造体であって、各構造体は、可撓性部材と、前記可撓性部材に接続された複数の接触部材と、を有し、前記可撓性部材は、基板を当てると撓むように構成されており、前記複数の接触部材は、ファンデルワールス接着により基板に接着するように構成されている、複数の構造体と
    を備える接触パッド。
  2. 前記複数の構造体は、前記接触パッド・ベースの中心の周りに延びる周方向の列になっている請求項1に記載の接触パッド。
  3. 前記複数の構造体は、複数のゾーンに配置されており、これにより、当該接触パッドからの基板の解放が、ゾーンごとに段階的に実施されるように構成されている請求項1に記載の接触パッド。
  4. 前記複数の構造体の各々は高さを有し、前記複数の構造体の高さは、当該接触パッドにわたって変化している請求項1に記載の接触パッド。
  5. 高さの前記変化は、円対称である請求項4に記載の接触パッド。
  6. 前記複数の構造体の各々は有効ばね定数を有し、前記複数の構造体の有効ばね定数は、当該接触パッドにわたって変化している請求項1に記載の接触パッド。
  7. 有効ばね定数の前記変化は、円対称である請求項6に記載の接触パッド。
  8. 前記可撓性部材は、ミクロンスケールの構造体である請求項1に記載の接触パッド。
  9. 各接触部材は、複数の自由端に分割されたベースを有する請求項1に記載の接触パッド。
  10. 前記可撓性部材は、カーボン微細構造体を含む請求項1に記載の接触パッド。
  11. 前記可撓性部材は、カーボンナノチューブネットワークを含む請求項1に記載の接触パッド。
  12. 可撓性部材の最大寸法は、1mm未満である請求項1に記載の接触パッド。
  13. 可撓性部材の最小寸法は、100ミクロン未満である請求項1から請求項12のいずれか一項に記載の接触パッド。
  14. 接触部材の最小寸法は、1ミクロン未満である請求項1から請求項12のいずれか一項に記載の接触パッド。
  15. 接触部材の最小寸法は、500ナノメートル未満である請求項1から請求項12のいずれか一項に記載の接触パッド。
  16. 前記可撓性部材は、ポリマを含む請求項1から請求項12のいずれか一項に記載の接触パッド。
  17. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、各構造体は、前記接触部材が突き出している接触部材ベースをさらに有し、前記接触部材ベースは、前記第1の面に平行かつ該構造体の上方にある平面に対して、0°〜80°の間の値を有する第1の角度で傾斜している請求項1から請求項12のいずれか一項に記載の接触パッド。
  18. 前記第1の角度は、40°〜80°の間である請求項17に記載の接触パッド。
  19. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、各構造体の前記可撓性部材は、前記第1の面に平行かつ該構造体の上方にある平面に対して、20°〜160°の間の値を有する角度で傾斜している請求項1から請求項12のいずれか一項に記載の接触パッド。
  20. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、前記第1の面に平行かつ前記複数の構造体の上方にある平面と、複数の構造体の前記接触部材を結ぶ線との間の角度は、約0°〜20°の間である請求項1から請求項12のいずれか一項に記載の接触パッド。
  21. エンドエフェクタ用の接触パッドであって、
    接触パッド・ベースと、
    前記接触パッド・ベース上に配列された複数の構造体であって、該複数の構造体の各々は、有効ばね定数および高さを有し、該複数の構造体の有効ばね定数と高さのうち少なくとも一方は、当該接触パッドにわたって変化している、複数の構造体と
    を備える接触パッド。
  22. 高さまたは有効ばね定数の前記変化は、円対称である請求項21に記載の接触パッド。
  23. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、各構造体は、接触部材が突き出している接触部材ベースをさらに有し、前記接触部材ベースは、前記第1の面に平行かつ該構造体の上方にある平面に対して、0°〜80°の間の値を有する第1の角度で傾斜している請求項21に記載の接触パッド。
  24. 前記第1の角度は、40°〜80°の間である請求項23に記載の接触パッド。
  25. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、各構造体は、前記第1の面に平行かつ該構造体の上方にある平面に対して、20°〜160°の間の値を有する角度で傾斜している請求項21に記載の接触パッド。
  26. 前記接触パッド・ベースは、エンドエフェクタに接触するように構成された第1の面を有し、各構造体は、接触部材が突き出している接触部材ベースをさらに有し、前記第1の面に平行かつ前記複数の構造体の上方にある平面と、複数の構造体の前記接触部材を結ぶ線との間の角度は、約0°〜20°の間である請求項21に記載の接触パッド。
  27. ロボットアームに装着されるように構成されたエンドエフェクタであって請求項1から請求項26のいずれか一項に記載のエンドエフェクタ接触パッドを1つ以上備える、エンドエフェクタ。
  28. ロボットであって、
    1つ以上のアームと、
    前記1つ以上のアームを動かすように構成されたモータと、
    前記1つ以上のアームに装着されるように構成された1つ以上のエンドエフェクタであって、受動接触によって半導体基板を滑脱なくx−y方向に少なくとも約0.5gの加速度で搬送するように構成され、さらに、垂直力の印加によって前記半導体基板を傾斜させることなく前記半導体基板を無駆動で解放するように構成された、1つ以上のエンドエフェクタと
    を備えるロボット。
  29. 前記1つ以上のエフェクタは、400℃よりも高い温度で半導体基板を支持するように機能する請求項28に記載のロボット。
  30. 各エンドエフェクタは接触パッドを有し、該接触パッドは、
    接触パッド・ベースと、
    前記接触パッド・ベース上に配列された複数の構造体であって、各構造体は、可撓性部材と、前記可撓性部材に接続された複数の接触部材と、を有し、前記可撓性部材は、基板を当てると撓むように構成されており、前記複数の接触部材は、ファンデルワールス接着により基板に接着するように構成されている、複数の構造体と
    を備える請求項28に記載のロボット。
  31. 半導体処理ツールであって、
    1つ以上の処理モジュールと、
    前記1つ以上の処理モジュールに接続された搬送モジュールであって、半導体基板を前記1つ以上の処理モジュールへ搬入および搬出するように構成されるとともに請求項28から請求項30のいずれか一項に記載のロボットを有する、搬送モジュールと
    を備える半導体処理ツール。
  32. 前記搬送モジュールは、半導体基板をロードロックまたは基板保管場所へ搬入および搬出するように構成されている請求項31に記載の半導体処理ツール。
  33. 前記搬送モジュールは、真空搬送モジュールである請求項31に記載の半導体処理ツール。
  34. x−y方向に少なくとも1gの加速度で前記エンドエフェクタを動かすための機械可読命令を含むコントローラをさらに備える請求項31に記載の半導体処理ツール。
  35. エンドエフェクタから基板を解放する方法であって、
    受動接触によりエンドエフェクタで支持された基板であって、該基板と前記エンドエフェクタ上の複数のファンデルワールス構造体との間のファンデルワールス接着力により支持された基板を提供することと、
    前記基板を前記エンドエフェクタから持ち上げるため、前記基板に垂直な力を印加することであって、これにより前記基板がその解放中に傾斜することなく維持されるように前記ファンデルワールス力を解放させることと、を含む方法。
JP2014257334A 2013-12-23 2014-12-19 改善されたウェハ・ハンドリングのための微細構造体 Withdrawn JP2015135963A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/139,522 2013-12-23
US14/139,522 US9698035B2 (en) 2013-12-23 2013-12-23 Microstructures for improved wafer handling

Publications (1)

Publication Number Publication Date
JP2015135963A true JP2015135963A (ja) 2015-07-27

Family

ID=53399073

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014257334A Withdrawn JP2015135963A (ja) 2013-12-23 2014-12-19 改善されたウェハ・ハンドリングのための微細構造体

Country Status (6)

Country Link
US (1) US9698035B2 (ja)
JP (1) JP2015135963A (ja)
KR (1) KR20150073873A (ja)
CN (1) CN104733354A (ja)
SG (1) SG10201408517YA (ja)
TW (1) TW201539611A (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017026336A1 (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
JP2017126696A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
WO2017122620A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
JP2017208451A (ja) * 2016-05-18 2017-11-24 キヤノントッキ株式会社 基板搬送装置
WO2018173456A1 (ja) * 2017-03-23 2018-09-27 日東電工株式会社 搬送固定治具
JP2019501515A (ja) * 2016-11-07 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を保持するためのキャリア、処理システムでのキャリアの使用、キャリアを用いる処理システム、及び基板の温度を制御するための方法
JP2019140136A (ja) * 2018-02-06 2019-08-22 日東電工株式会社 カーボンナノチューブ集合体
JP2020070207A (ja) * 2018-10-31 2020-05-07 日立造船株式会社 カーボンナノチューブ成形体の製造方法およびカーボンナノチューブ成形体製造装置
JP2021012999A (ja) * 2019-07-09 2021-02-04 新日本無線株式会社 ステージ装置
WO2024122025A1 (ja) * 2022-12-08 2024-06-13 株式会社日立ハイテク ウェハ搬送用ハンド、ウェハ交換装置、荷電粒子線装置および真空装置

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9440359B2 (en) * 2014-08-19 2016-09-13 GM Global Technology Operations LLC Conformable dry adhesive holding device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040205A1 (en) * 2015-08-05 2017-02-09 Lam Research Corporation High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6914386B2 (ja) * 2016-01-15 2021-08-04 日東電工株式会社 載置部材
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105923599B (zh) * 2016-06-07 2017-04-19 西安交通大学 一种基于气致动的干粘附复合结构及制造工艺
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109757111A (zh) * 2017-09-01 2019-05-14 应用材料公司 用于保持基板的保持布置、包括保持布置的载体、使用载体的处理系统和用于从保持布置释放基板的方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) * 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11062935B2 (en) * 2018-08-06 2021-07-13 Kla Corporation Low profile wafer manipulator
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102078309B1 (ko) 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20210157413A (ko) * 2019-05-17 2021-12-28 램 리써치 코포레이션 기판 부착 및 파손 완화
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR102432439B1 (ko) 2019-12-23 2022-08-16 주식회사 아이에스케이 기판 이송 모듈용 슬립 방지 척
KR102104487B1 (ko) 2019-12-23 2020-04-24 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR102382452B1 (ko) * 2020-02-06 2022-04-05 주식회사 글린트머티리얼즈 컨벡스, 컨케이브 및 플랫 웨이퍼 이송 로봇암에 장착되는 미끄럼 방지 패드
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11942878B2 (en) * 2020-04-01 2024-03-26 Bvw Holding Ag Microstructured field effect device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP7256773B2 (ja) * 2020-04-24 2023-04-12 信越化学工業株式会社 平坦性制御方法、塗膜の形成方法、平坦性制御装置、及び塗膜形成装置
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081216A (ko) * 2020-12-08 2022-06-15 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4220302A1 (en) * 2022-01-27 2023-08-02 ASML Netherlands B.V. System for holding an object in a semiconductor manufacturing process, lithographic apparatus provided with said system and method
TW202339891A (zh) * 2022-02-03 2023-10-16 美商葛林陀德科技公司 用於半導體應用以提供改善的製造速度之具有交聯聚合物之末端執行器及末端執行器墊以及其製造及使用方法
WO2024010711A1 (en) * 2022-07-05 2024-01-11 Lam Research Corporation End effector

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223252A (ja) 2000-02-07 2001-08-17 Assist Japan Kk ロボットの吸着レスハンド
US6896304B2 (en) * 2002-09-03 2005-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic sensing wafer blade and method for using
JP2005183784A (ja) 2003-12-22 2005-07-07 Optrex Corp 基板搬送装置
TWI353395B (en) * 2003-12-31 2011-12-01 Microfabrica Inc Method and apparatus for maintaining parallelism o
WO2005068137A1 (en) * 2004-01-05 2005-07-28 Lewis & Clark College Self-cleaning adhesive structure and methods
GB2435719A (en) * 2006-03-03 2007-09-05 Darrell Lee Mann Gripping device with a multitude of small fibres using van der Waals forces
US20070221335A1 (en) 2006-03-23 2007-09-27 Recif Technologies Device for contact by adhesion to a glass or semiconductor plate (wafer) surface or the like and system for gripping such a plate comprising such a device
US20080025822A1 (en) * 2006-04-17 2008-01-31 Sangbae Kim Device and method for handling an object of interest using a directional adhesive structure
FR2935537B1 (fr) * 2008-08-28 2010-10-22 Soitec Silicon On Insulator Procede d'initiation d'adhesion moleculaire
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
TWI615341B (zh) 2011-12-16 2018-02-21 布魯克斯自動機械公司 輸送設備
WO2013096730A1 (en) * 2011-12-22 2013-06-27 Carnegie Mellon University Methods, apparatuses, and systems for micromanipulation with adhesive fibrillar structures

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017026336A1 (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
JP2017126696A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
WO2017122621A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
WO2017122620A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
US10777446B2 (en) 2016-01-15 2020-09-15 Nitto Denko Corporation Mounting member
JP2017208451A (ja) * 2016-05-18 2017-11-24 キヤノントッキ株式会社 基板搬送装置
JP2019501515A (ja) * 2016-11-07 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を保持するためのキャリア、処理システムでのキャリアの使用、キャリアを用いる処理システム、及び基板の温度を制御するための方法
JP2018160587A (ja) * 2017-03-23 2018-10-11 日東電工株式会社 搬送固定治具
WO2018173456A1 (ja) * 2017-03-23 2018-09-27 日東電工株式会社 搬送固定治具
JP2019140136A (ja) * 2018-02-06 2019-08-22 日東電工株式会社 カーボンナノチューブ集合体
JP7144149B2 (ja) 2018-02-06 2022-09-29 日東電工株式会社 カーボンナノチューブ集合体
JP2020070207A (ja) * 2018-10-31 2020-05-07 日立造船株式会社 カーボンナノチューブ成形体の製造方法およびカーボンナノチューブ成形体製造装置
JP2021012999A (ja) * 2019-07-09 2021-02-04 新日本無線株式会社 ステージ装置
JP7365800B2 (ja) 2019-07-09 2023-10-20 日清紡マイクロデバイス株式会社 ステージ装置
WO2024122025A1 (ja) * 2022-12-08 2024-06-13 株式会社日立ハイテク ウェハ搬送用ハンド、ウェハ交換装置、荷電粒子線装置および真空装置

Also Published As

Publication number Publication date
US9698035B2 (en) 2017-07-04
SG10201408517YA (en) 2015-07-30
TW201539611A (zh) 2015-10-16
US20150174768A1 (en) 2015-06-25
KR20150073873A (ko) 2015-07-01
CN104733354A (zh) 2015-06-24

Similar Documents

Publication Publication Date Title
JP2015135963A (ja) 改善されたウェハ・ハンドリングのための微細構造体
US11420337B2 (en) Transport apparatus
US7921858B2 (en) Self-cleaning adhesive structure and methods
JP2015201638A (ja) ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ
US7976815B2 (en) Shape controlled growth of nanostructured films and objects
US8535791B2 (en) Aligned carbon nanotube-polymer materials, systems and methods
TWI407529B (zh) 基板支持機構
Sardan et al. Rapid prototyping of nanotube-based devices using topology-optimized microgrippers
KR20090038885A (ko) 클리닝 부재, 클리닝 기능 부착 반송 부재, 및 기판 처리장치의 클리닝 방법
Dong et al. 3D nanorobotic manipulations of multi-walled carbon nanotubes
Cagliani et al. Manipulation and in situ transmission electron microscope characterization of sub-100 nm nanostructures using a microfabricated nanogripper
Li et al. Transfer of vertically aligned carbon nanotube arrays onto flexible substrates for gecko-inspired dry adhesive application
KR102078309B1 (ko) 기판 이송 모듈용 슬립 방지 척
Boutilier et al. Limiting mechanisms and scaling of electrostatically controlled adhesion of soft nanocomposite surfaces for robotic gripping
US11535006B2 (en) Nanocomposite surfaces with electrically switchable adhesion
JP4684570B2 (ja) 基板上に規則配列した触媒金属微粒子を利用したカーボンナノチューブの形成法
EP1955369A2 (en) Device and method for holding a substrate
Kaneko et al. Effect of surface property on transfer-print of Au thin-film to micro-structured substrate
JP6605730B2 (ja) 基板を保持するための保持装置、保持装置を含むキャリア、キャリアを用いた処理システム、および基板を保持装置から解放する方法
KR20220027475A (ko) 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
Qahouq et al. Spiral shape CNT on silicon substrate growth control method for on-chip electronic devices applications
Chen et al. A Soft Robotic Gripper with a Belt Loop Actuated Adhesion Design for Gentle Handling of Fragile Object
Zhang MEMS and Robotics-based Manipulation and Characterization of Micro and Nanomaterials
Wang et al. Development of Hybrid MEMS/FIB Processes and Applications of Three-Pronged Active Nanotweezers For Manipulation of Nano Objects

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171208

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20180328