JP2015201638A - ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ - Google Patents

ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ Download PDF

Info

Publication number
JP2015201638A
JP2015201638A JP2015071933A JP2015071933A JP2015201638A JP 2015201638 A JP2015201638 A JP 2015201638A JP 2015071933 A JP2015071933 A JP 2015071933A JP 2015071933 A JP2015071933 A JP 2015071933A JP 2015201638 A JP2015201638 A JP 2015201638A
Authority
JP
Japan
Prior art keywords
slip
workpiece
end effector
protrusion
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015071933A
Other languages
English (en)
Inventor
新井 泉
Izumi Arai
泉 新井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2015201638A publication Critical patent/JP2015201638A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

【課題】ワークピースを搬送するアンチスリップエンドエフェクタを提供する。【解決手段】ロボットアームに取り付けられるように構成され、ワークピースを搬送するためのアンチスリップエンドエフェクタは、搬送のためにワークピースを配置するワークピース支持領域と、ワークピースの裏面を支持するためにワークピース支持領域に配置される少なくとも1つのアンチスリップ突出部と、を備え、アンチスリップ突出部は、ファンデルワールス力によりワークピースの裏面と接触及び接着可能であり、かつ旋回軸の周りを旋回可能な上面を有し、旋回軸は、上から見たときに上面の中心から離れて配置される。【選択図】図3

Description

本発明は、例えば、搬送チャンバと、ワークピースを処理する処理チャンバとの間で半導体ウェーハのようなワークピースを搬送するエンドエフェクタに関する。
半導体製造の工程は、ロボットアームを用いて、半導体ウェーハを、搬送チャンバを通じてウェーハ格納カセットから処理チャンバへ搬送するステップ又はロボットアームを用いて、半導体ウェーハを、処理チャンバから別の処理チャンバへ搬送するステップを備える。ロボットアームには、ウェーハを搬入し、ウェーハをあるチャンバから別のチャンバへ運ぶエンドエフェクタが形成される。典型的には、エンドエフェクタは、ウェーハを把持するための機械的な把持機構を有さず、ウェーハの位置決め又はアライメント機構(例えば、米国特許公開第2012/0325148号公報及び米国特許第8,041,450号公報に開示され、各開示は、その全体が参照により本明細書に援用される)により、ウェーハは、搬送するためにエンドエフェクタに配置される。ウェーハは、重力によって生じるエンドエフェクタの表面に対する摩擦によって運ばれる間、エンドエフェクタ上に留まる。スループットが増大すると、ロボットアームによる搬送速度も速くなる。搬送速度が速いとき、ウェーハは摩擦によってエンドエフェクタ上に留まっているため、ウェーハは、時折、エンドエフェクタに対して移動し、不適切にスリップし、それにより、搬送エラーが発生し、搬送の安定性が低下する。
また、パーティクルの発生を抑制するために、ウェーハが真空雰囲気で搬送されるとき、機械的なクランプの使用、又はウェーハの周縁部を受け取るために傾斜した周縁突出部を有するエンドエフェクタの使用は、困難である。すなわち、機械的なクランプを使用する際には、機械的なクランプがウェーハに接触するとき、機械的なクランプは、ウェーハのエッジ付近の膜にダメージを与えてしまい、パーティクルが発生する場合がある。また、傾斜した周縁突出部を有するエンドエフェクタを使用する際には、ウェーハが、ウェーハを位置決めする傾斜した周縁突出部に沿って下向きにスリップしたとき、ウェーハのエッジは、傾斜した周縁突出部に対して摩擦を生じ、パーティクルが発生する場合がある。さらに、静電チャックは、帯電及び放電時間が必要となる点、及びパーティクルを吸着する点に問題を有する。よって、半導体ウェーハを搬送する方法としては、エンドエフェクタの支持ピンに半導体ウェーハを配置し、半導体ウェーハを運ぶことが好ましい。しかし、上述したように、当該方法は、搬送速度を速くすることができないという欠点を有する。
本発明の少なくとも1つの実施形態は、上記の課題の少なくとも1つを効果的に解決することができる。関連分野に関係する問題及び解決策の上記のいずれかの説明は、本発明についての関連性を提供する目的のためだけに本開示に含まれ、それらの説明のいずれか又は全ては本発明がなされた時点で知られていたことを認めるものと解釈されるべきではない。
一部の実施形態は、従来の方法を用いずにエンドエフェクタによってウェーハを搬送する方法を提供し、それにより、従来の方法の課題の少なくとも一つを解決する。一部の実施形態では、ファンデルワールス力を発生するパッドは、エンドエフェクタとウェーハとの接触部分に設けられ、ウェーハは、エンドエフェクタによって接着かつ保持され、それにより、ウェーハがエンドエフェクタから滑ることを防ぐことができる。吸着パッドは、例えば、ウェーハの裏側と接触する吸着パッドによって発生されるファンデルワールス力を用いることによりウェーハを保持する。また、吸着パッドは、ウェーハの裏側に対して吸着パッドを傾斜させることにより、ウェーハが吸着パッドの接触領域から徐々に離れることを可能にする構成を有する。したがって、吸着パッドは、ウェーハの傾斜部分又はエッジ部分と接触せずにウェーハを保持することができ、ウェーハは、搬送時の加速又は減速によって発生する力に耐えることができ、それにより、ウェーハが吸着パッドから滑ることを防ぎつつ、ウェーハの搬送速度を非常に速くすることができる。さらに、静電チャックとは異なり、吸着パッドは、帯電及び放電時間を必要としない。吸着パッドは、支持ピンのような、当該パッドがウェーハを支持する機能も有することを特徴としており、それにより、余分なピンを削減することができる。さらに、ウェーハに接触する吸着パッドの面は、従来の接着テープ等に類似しているが、従来の接着テープではなく、ウェーハは、吸着パッドから容易に引き離されることができる。
本発明の態様及び関連分野に対して達成された利点を要約する目的のために、本発明の特定の目的及び利点が本開示に記載されている。もちろん、全てのこのような目的又は利点は本発明の任意の特定の実施形態に従って達成され得ることを必ずしも必要としないことは理解される。したがって、例えば、当業者は、本明細書に教示又は示唆され得るような他の目的又は利点を必ずしも達成しなくても、本明細書に教示されている一つの利点又は一群の利点を達成又は最適化するように本発明が具現化され得るか又は実施され得ることを認識するであろう。
本発明のさらなる態様、特徴及び利点は以下の詳細な説明から明らかになるであろう。
本発明のこれら及び他の特徴を好ましい実施形態の図面を参照して記載するが、それらは本発明を例示するためであり、本発明を限定するものではない。図面は、説明の都合上、非常に簡略化されており、必ずしも縮尺通りではない。
図1は、本発明の一部の実施形態において使用可能なデュアルチャンバモジュールを有する半導体処理装置の模式的な平面図である。 図2は、本発明の一部の実施形態において使用可能なデュアルウェーハハンドリングロボットの模式的な平面図である。 図3は、本発明の一実施形態に係るエンドエフェクタの模式的な平面図である。 図4は、図3に示されるエンドエフェクタの模式的な側面図である。 図5は、図3に示されるエンドエフェクタの模式的な平面図であり、ウェーハ支持領域を示す。 図6は、(a)本発明の一実施形態に係るアンチスリップ突出ボディの図、及び(b)本発明の一実施形態に係るエンドエフェクタにおいて、アンチスリップ突出が設置される構造の図からなる。 図7は、本発明の一実施形態に係るウェーハが持ち上げられたときの移動を示すエンドエフェクタの模式的な側面図である。 図8は、(a)本発明の一実施形態に係るアンチスリップ突出部を旋回するためのスプリングピンの模式的な前面図、(b)本発明の別の実施形態に係るアンチスリップ突出ボディを旋回するためのスプリングピンの模式的な前面図、及び(c)(b)に示されるスプリングピンの模式的な側面図からなる。 図9は、本発明の一実施形態に係る(a)原点位置におけるアンチスリップ突出ボディの側面図、及び(b)上位置におけるアンチスリップ突出ボディの側面図からなる。 図10は、本発明の実施形態に係る(a)及び(b)が付された原点位置及び(c)が付された離隔位置における様々な高さを有するエンドエフェクタを示す模式的な側面図である。 図11は、本発明の実施形態に係る(a)が付された原点位置から(d)が付された離隔位置へ回転する際のエンドエフェクタの動きを示す模式的な側面図である。 図12は、(a)本発明の一実施形態に係る仮想ワークピースを有するエンドエフェクタの模式的な斜視図、及び(a)で示されたエンドエフェクタに図示されるアンチスリップ突出ボディの模式的な斜視図からなる。 図13は、本発明の一実施形態に係るアンチスリップ突出ボディの模式的な断面図であり、アンチスリップ突出ボディの回転移動を示す。 図14は、本発明の一実施形態に係るアンチスリップ突出ボディの模式的な斜視図である。
本開示では、「一つ」の物品は、一つの種類又は複数の種類を含む属性を指す。さらに、本開示において、任意の2つの数の変数は、その変数の実行可能な範囲を構成でき、実行可能な範囲は通常作業に基づいて決定でき、示された任意の範囲はエンドポイントを含んでいてもよく、又は除外していてもよい。開示された実施形態の全てにおいて、一実施形態において使用されている任意の要素は、意図される目的のために本明細書に明確、必然的又は本質的に開示されている要素を含む、要素と等価の任意の要素と置き換えられてもよい。さらに、示された変数の任意の値(それらが「約」と共に示されているか否かに関わらず)は、正確な値又はおおよその値を指し、同値を含んでもよく、一部の実施形態において、平均値、中央値、代表値、多数値などを指してもよい。「構成される」は、一部の実施形態において、「備える」、「実質的に〜からなる」又は「からなる」を指す。本開示において、任意の定義された意味は、一部の実施形態において、通常及び慣例の意味を必ずしも除外しているわけではない。
条件及び/又は構造が特定されていない本開示において、当業者は、通常の実験として、本開示を考慮してそのような条件及び/又は構造を容易に得ることができる。
一部の実施形態では、(連続的な層である)誘電体膜は、プラズマエンハンストCVD、熱CVD、サイクルCVD、プラズマエンハンストALD、熱ALD、ラジカルエンハンストALD又は他の薄膜堆積方法によってウェーハ又は半導体基板上に形成されることができる。典型的には、誘電体の厚さは、約5nmから約500nmの範囲である。
実施形態は、好ましい実施形態に対して説明される。しかし、本発明は、好ましい実施形態に限定されない。
実施形態は、ロボットアームに取り付けられるように構成され、ワークピースを搬送するためのアンチスリップエンドエフェクタを提供し、アンチスリップエンドエフェクタは、(i)搬送のために前記ワークピースを配置するワークピース支持領域と、(ii)前記ワークピースの裏面を支持するために前記ワークピース支持領域に配置される少なくとも1つのアンチスリップ突出部と、を備え、前記アンチスリップ突出部は、ファンデルワールス力により前記ワークピースの裏面と接触及び接着可能であり、かつ旋回軸の周りを旋回可能な上面を有し、前記旋回軸は、上から見たときに前記上面の中心から離れて配置される。一部の実施形態では、少なくとも1つのアンチスリップ突出部は、ワークピース支持領域の中央付近に配置される1つのアンチスリップ突出部からなる。一部の実施形態では、少なくとも1つのアンチスリップ突出部は、ワークピースの重さが各アンチスリップ突出部に均等に分配されるようにワークピース支持領域に割り当てられる複数のアンチスリップ突出部からなる。一部の実施形態では、複数のアンチスリップ突出部は、ワークピース支持領域の中心に対して、かつチャンバに向かってエンドエフェクタに配置されるワークピースの搬入方向に対して、左右対称かつ前後対称である位置においてワークピース支持領域に配置される。一部の実施形態では、アンチスリップ突出部の数は、1、2、3、4、5、6、7又は8である。
上記では、アンチスリップ突出部の上面は、ワークピースがエンドエフェクタに搬入されたときに、ワークピースの裏面と接触する接触箇所によって構成される面である。一部の実施形態では、上面の大きさは、約4mmから約400mmであり、約25mmから約100mmであることが好ましく、上面の形状は、上から見たときに、矩形、円形、楕円、三角形、多角形、菱形等である。
上面は、上から見たときに、上面の中心から離れて配置される旋回軸の周りを旋回可能である。旋回軸が上面の中心から離れて配置されるため、ワークピースが上面から相対的に離れて移動する(つまり、ワークピースがエンドエフェクタに対して上昇する、エンドエフェクタがワークピースに対して下降する、又はその両方である)とき、横方向の力が上面の中心に向かって生成され、それにより、上面を旋回軸の周りを旋回させる。上面の旋回運動は、ワークピースが上面から相対的に離れて移動するときに、上面がワークピースの裏面から離れることを容易にする。
上面がファンデルワールス力によってワークピースの裏面に接着するために、ヤモリの足の裏の毛のような微細な毛は、典型的には、マイクロメートルオーダーの表面粗さを有するワークピースの裏面に対して効果的に使用することができる。一部の実施形態では、ワークピースの裏面は、鏡面仕上げが施されており、ワークピースの裏面は、4nm以下の表面粗さを有してもよい(典型的には、約1nm以下)。
一部の実施形態では、アンチスリップ突出部の上面は、多数のカーボンナノチューブにより構成される。一部の実施形態では、カーボンナノチューブの長さは、約10nmから約2mmの範囲であってもよく、典型的には、約50nmから約1,000nmの範囲であり、カーボンナノチューブの直径は、約2nmから約100nmの範囲であってもよく、典型的には、約5nmから約50nmの範囲であり、カーボンナノチューブの密度は、約10から約1011/cmであってもよく、典型的には、約10から約1010/cmである。
一部の実施形態では、少なくとも1つのアンチスリップ突出部は、エンドエフェクタの近位端から遠位端へ延びるエンドエフェクタの中心線に対して左右対称に配置される複数のアンチスリップ突出部によって構成され、各アンチスリップ突出部の旋回軸は、上から見たときに、ワークピース支持領域の中心を通過する線分に垂直に配置される。上記では、上面の全てがワークピース支持領域の中心を通過する線分に沿って移動するため、ワークピースがエンドエフェクタから相対的に移動するとき、上面は、互いに近づく又は離れて移動し、ワークピースが膨張又は収縮しないため、上面は、ワークピースが大きく又は実質的に側方変位することなく、ワークピースの裏面から引き離される。
一部の実施形態では、アンチスリップ突出部は、(i)旋回軸によって貫通されるベース部と、(ii)ベース部に直接又は間接的に形成され、かつワークピース支持領域にほぼ平行な一つの方向に延びる上部と、を備え、上部の上表面は、上面を構成する。一部の実施形態では、ベース部は、ほぼ逆三角形の断面を有し、旋回軸は、逆三角形の断面の下端近傍の位置でベース部を貫通する。上記の実施形態では、ベース部は、旋回軸の周りを容易かつ安定に旋回することができる。一部の実施形態では、上部の高さは、約0.5mmから約7.0mmの範囲であってもよく、典型的には、約1.0mmから約3.0mmの範囲であり、ベース部の高さは、約2.0mmから約28.0mmの範囲であってもよく、典型的には、約2.0mmから約5.0mmの範囲であり、これらはエンドエフェクタの厚さに依存する。一部の実施形態では、ワークピース支持領域は、アンチスリップ突出部のベース部が内部に配置される凹部を有し、アンチスリップ突出部の上部は、凹部の上に配置される。凹部の大きさは、ベース部が凹部の旋回軸をロックすることができる程度に十分であるべきである。一部の実施形態では、アンチスリップ突出部の上部の裏面は、原点位置においてワークピース支持領域の表面と接触し、アンチスリップ突出部は、アンチスリップ突出部の上部をワークピース支持領域の表面から離れて移動するように旋回することができる。それに替えて、アンチスリップ突出部のベース部は、凹部を有さずに、ワークピース支持領域に設置されることができる。
一部の実施形態では、アンチスリップ突出部の上部に形成される上面は、伸長された側の上部の端部に向かって湾曲される。湾曲部によるワークピースの裏面の離隔は、突然生じることはなく、徐々に生じる。これは、ワークピースがエンドエフェクタから相対的に離れて移動すると、ワークピースの裏面と接触する湾曲部の接触領域が湾曲部に沿って移動するためである。一部の実施形態では、湾曲部の曲率は、上面の伸長部の端部に向かって大きくなっており、伸長部の端部における上面は、ワークピース支持領域の平面に対して約90°に設定される。
一部の実施形態では、上面は、アンチスリップ突出部の上表面に取り付けられたカーボンナノチューブベースの人工ヤモリテープによって構成され、セラミックス、シリコン、ガラス及び樹脂からなる群から選択された材料から製造される。ヤモリテープとしては、日本の日東電工株式会社から入手できる適切なヤモリテープを使用することができ、Liehui Geらによる“Carbon nanotubes−based synthetic gecko tapes”, PNAS, June 26, 2007, vol. 104, no. 26, pp. 10792−10795に説明されており、その開示は、その全体が参照により本明細書に援用される。一部の実施形態では、上面は、シリコンからなるアンチスリップ突出部の上表面に、例えば、触媒化学気相成長(catalytic chemical vapor deposition (CCVD))を用いて直接堆積されたカーボンナノチューブによって構成され、ここで、カーボンナノチューブは、Si基板に形成されるFe/Al層上に約500μmから約700μmの高さに到達するように成長することができる。
一部の実施形態では、ワークピース支持領域は、セラミックス、炭素複合材及びアルミニウム合金によって構成される。一部の実施形態では、旋回軸は、スルーホールがベース部に形成された下端近傍のベース部の一部を貫通する金属ピン(例えば、ステンレススチールピン)によって構成される。代替として、旋回軸は、スルーホールの代わりにベース部の側面の凹部を用いて形成されることができ、ベース部は、凹部と係合する短いピンによって回転可能に支持される。
一部の実施形態では、アンチスリップ突出部は、アンチスリップ突出部の上部がワークピース支持領域の表面から離れて移動するように旋回した後、重力によって原点位置に戻るように旋回する。上記の実施形態では、ワークピースの裏面から離れた後に、アンチスリップ突出部が重力によって原点位置に戻るため、ワークピース搬送処理を繰り返すときに特別な戻り機構を必要としない。
一部の実施形態では、アンチスリップ突出部の上部に形成される上面は、原点位置でワークピースの裏面との接触をもたらす接触領域を有し、接触領域は、上から見たときに、旋回軸と伸長した側の上部の端部との間に位置付けられる。上記の実施形態では、接触領域が旋回軸と伸長部の上部の端部との間に位置するため、ワークピースがエンドエフェクタから相対的に離れて移動するとき、上面は、角度モーメント又は回転トルクのモーメントによって旋回軸で容易に旋回し、ワークピースの裏面から上面を徐々に離隔することを実現する。一部の実施形態では、アンチスリップ突出部の上部に形成される上面は、接触領域と、伸長した側の上部の端部との間で湾曲される。
一部の実施形態では、アンチスリップ突出部の上部に形成される上面は、上から見たときに、旋回軸に垂直な方向が旋回軸の方向よりも長い長方形形状を有し、安定した動作を実現することができる。
一部の実施形態では、ワークピースがワークピース支持領域に配置されたとき、少なくとも1つのアンチスリップ突出部の上面は、ワークピースの裏面と接触する唯一の領域である。一部の実施形態では、エンドエフェクタは、さらに、ワークピース支持領域に配置されたワークピースが前方突出部を越えて移動することを制限するために、ワークピースのエッジに係合するワークピース支持領域の遠位端に配置された少なくとも1つの前方突出部と、ワークピース支持領域に配置されたワークピースが後方突出部を越えて移動することを制限するために、ワークピースのエッジに係合するワークピース支持領域の近位端に配置された少なくとも1つの後方突出部と、を備え、ワークピースの裏面は、エンドエフェクタに形成された前方突出部及び後方突出部とさらに接触し、ワークピースは、前方突出部と後方突出部との間に配置される。
一部の実施形態では、ワークピースの裏面は、Si、SiO、SiN、SiC又はガリウム砒素(GaAs)によって構成される。典型的には、ワークピースは、Siウェーハであり、その裏面は、シリコンで構成されるが、ウェーハの裏面は、例えば、SiO、SiN、SiC又はガリウム砒素(GaAs)からなる膜で被覆されることができる。
本発明の別の態様は、垂直、前後及び側方に移動可能な少なくとも1つのロボットアームと、各ロボットアームの遠位端に取り付けられた、本明細書で開示されるいずれかのアンチスリップエンドエフェクタと、を備えるワークピースを搬送するロボットアームを提供する。
本発明のさらに別の態様は、本明細書で開示されるいずれかのアンチスリップエンドエフェクタを用いてワークピースを搬送する方法であって、(a)エンドエフェクタが取り付けられたロボットアームを提供するステップと、(b)エンドエフェクタのワークピース支持領域にワークピースを搬入するステップであって、ワークピースの裏面が各アンチスリップ突出部の上面に取り付けられる、ステップと、(c)エンドエフェクタのワークピース支持領域にワークピースを保持した状態で、ロボットアームを用いて1つのチャンバから別のチャンバへワークピースを搬送するステップと、(d)ワークピースをエンドエフェクタから相対的に移動することにより別のチャンバにワークピースを搬出するステップと、を備え、アンチスリップ突出部のそれぞれの上面は、旋回軸の周りを旋回し、かつ、ワークピースをエンドエフェクタから離れて移動しながら、ワークピースの裏面から徐々に引き離される、方法を提供する。
本発明は、図面を参照して説明されるが、これは、単なる例示であり、本発明を限定するものではない。
図3は、本発明の一実施形態に係るエンドエフェクタの模式的な平面図である。エンドエフェクタは、パドル45と、パドル45から延びる左ブレード44b及び右ブレード44aと、ロボットアームに取り付けられるように構成される結合部48と、によって構成される。パドル45は、エンドエフェクタをロボットアームに固定するための穴(図示せず)を有する。ブレード44b,44aの遠位端には、前方突出部43b,43aがそれぞれ設けられ、ウェーハがスリップした際に、ウェーハがエンドエフェクタから落ちることを防ぐ。前方突出部は、エンドエフェクタ上でウェーハを位置決めするためにも使用されうる。パドル45の近位端は、ウェーハの移動を制限する後方突出部47b,47aも有している。遠位端の近傍において、右ブレード44aは、凹部42aを有し、パッド(アンチスリップ突出部)41aは、旋回軸としてのスプリングピン49aを用いて凹部42aに回転可能に設置される。旋回軸は、上から見たときに、ワークピース支持領域62(図5参照)の中心46cを通過する線分46aに垂直に配置される。
遠位端の近傍においてパッド41bは、スプリングピン49bを有する凹部42bに回転可能に設置され、左ブレード44bは、右ブレードと同様の構造を有する。スプリングピン49bによって構成される旋回軸は、上から見たときに、ワークピース支持領域62(図5参照)の中心46cを通過する線分46bに垂直に配置される。パドル45は、各側の周縁に近い近位端近傍において、凹部42c,42bにそれぞれ回転可能に設置されるパッド41c,41dも有している。それぞれスプリングピン49c,49dで構成される旋回軸は、中心46cを通過する線分46b,46aのそれぞれに垂直に配置される。複数のアンチスリップ突出部41a〜41dは、エンドエフェクタの近位端(後方端)から遠位端(前方端)へ延びるエンドエフェクタの中心線及び中心46cを通過する中心線に垂直な線分に対して、左右対称に配置され、また、前後対称に配置される。
図4は、図3に示されるエンドエフェクタの模式的な側面図である。スプリングピン49b,49dは、側面にボアホールを有するエンドエフェクタの側面から挿入され、スプリングピン49b,49dは、パッド41b,41dのそれぞれのスルーホールを通り、凹部42c,42bのそれぞれの他方側に到達する。スプリングピン49a,49bは、スプリングピン49b,49dと同様に、エンドエフェクタの他方側から挿入される。
図8は、(a)本発明の一実施形態に係るアンチスリップ突出部を旋回するためのスプリングピン49の模式的な前面図、(b)本発明の別の実施形態に係るスプリングピン49の模式的な前面図、及び(c) (b)に示されるスプリングピン49の模式的な側面図を示す。一部の実施形態では、スプリングピンの長さ(L)は、約5mmから約30mmであり、スプリングピンの直径(D)は、約1mmから約3mmである。(a)のスプリングピンは、長さ方向に沿って溝を有するが、(b)のスプリングピンは、(c)に示されるように長さ方向に沿って形成される開口部を有する中空状である。ピンは、このタイプである必要はなく、任意の適切なピンを使用することができる。
ワークピース支持領域は、前方突出部43b,43a及び後方突出部41c,41dによって定義される。図5は、図3に示されるエンドエフェクタの模式的な平面図であり、ウェーハ支持領域を示し、ここで、配置されるべきウェーハは、破線で示される。一部の実施形態では、ワークピース支持領域を含むエンドエフェクタは、Alで構成され、例えば、約300mmの長さを有する。エンドエフェクタの上面からの前方突出部43a,43bの高さは、約2.3mmであり、例えば、上面からのアンチスリップ突出部41a,41b,41c,41dの高さは、約1.5mm(典型的だが、前方及び後方突出部よりも常に短い)であり、例えば、上面から後方突出部47a,47bの高さは、約2.5mmである。上記の変数は、一部の実施形態では、±50%変更されうる。
図6は、(a)本発明の一実施形態に係るアンチスリップ突出ボディ(パッド)41の図、及び(b)本発明の一実施形態に係るエンドエフェクタにおいて、アンチスリップ突出部が設置される構造の図からなる。アンチスリップ突出部は、(i)旋回軸によって貫通されるスルーホール55を有するベース部51bと、(ii)ベース部51bの上に形成され、ワークピース支持領域62に略平行な一方向に延びる上部51aと、を備え、ここで、上部の上表面は、上面52を構成する。上部51aは、底面がワークピース支持領域62の表面と接触する伸長部53を有し、上面52は、伸長部53において湾曲領域54を有する。アンチスリップ突出ボディ41は、エンドエフェクタ57に形成される凹部56に回転可能に設置される。上面は、ファンデルワールス力によるアンチスリップ特性を有する。凹部56は、底部を有する必要はなく、ボトム−レス(つまり、スルーホール)であることができる。
図7は、本発明の一実施形態に係るウェーハがエンドエフェクタから相対的に離れて移動するときの動きを示すエンドエフェクタの模式的な側面図である。アンチスリップ突出ボディ41は、旋回軸49の周りを旋回するように、エンドエフェクタ57に形成される凹部56に回転可能に設置される。アンチスリップ突出ボディ41が、伸長部の底部がワークピース支持領域の表面と接触する原点位置にあるとき、破線で示されるウェーハ61aの裏面は、上面の上側表面と接触し、アンチスリップ突出ボディ41の上面のアンチスリップ特性により、アンチスリップ突出ボディ41に接着している間、ウェーハ61aは、別の位置へ安定かつ安全に搬送される。ウェーハ61bがエンドエフェクタ57から相対的に離れて移動するとき、ウェーハ61bの裏面は、アンチスリップ突出ボディ41が旋回軸49の周りを旋回している間、アンチスリップ突出ボディ41から離れ始める。上面が伸長部において湾曲領域54を有するため、上面からのウェーハの離隔は、突然生じるのではなく、徐々に生じる。これは、ウェーハがエンドエフェクタから相対的に離れて移動するときに、ウェーハの裏面と上面との間の接触領域が突然ゼロにならず、ウェーハの裏面と上面との間の接触領域が徐々に減少するためである。アンチスリップ突出ボディの回転により、ウェーハの側方変位がわずかに生じるが、これは、アンチスリップ突出ボディ全体が、図3に示される実施形態のワークピース支持領域の中央に向かって移動するため、重要ではない。
図9は、本発明の一実施形態に係る、(a)原点位置におけるアンチスリップ突出ボディの側面図、及び(b)上位置におけるアンチスリップ突出ボディの側面図からなる。アンチスリップ突出部の上部51aに形成される上面は、原点位置におけるワークピースの裏面と接触をもたらす接触領域52aを有し、(a)に示される接触領域52aの実質的な部分又は全ては、上から見たときに、ベース部51bに形成される旋回軸49と伸長部53の先端との間に位置付けられる(線分63は、上から見たときに、旋回軸49の一部を示す)。ベース部51bは、エンドエフェクタ57の凹部56の内部にあり、原点位置において、伸長部53の裏面は、(a)に示されるように、エンドエフェクタ57の表面57aに接触している。上面は、接触領域52aを有するだけでなく、伸長部53において湾曲領域54も有しており、(b)に示すように上部においてウェーハがエンドエフェクタから相対的に離れて移動するとき、湾曲領域54は、ウェーハの裏面と接触し、ワークピースの裏面から接触領域52aが突然離れることを効果的に防ぐことができる。ワークピースがエンドエフェクタから相対的に離れて移動すると、アンチスリップ突出ボディは旋回し、伸長部53の裏面は、上表面57aから離れて移動し、ベース部51bの前側は、凹部56の前方壁56bから離れて移動する。ベース部51bの後側が後方壁56a接触すると、ベース部51bの旋回移動は、(b)に示すように上位置において停止される。上位置において、ワークピースの裏面と接触される湾曲領域54は、ワークピースがエンドエフェクタから連続的かつ相対的に離れて移動すると、ワークピースの裏面から離れ始める。上から見たときに重心(center of gravity(CG))が旋回軸49と伸長部53の先端との間に位置付けられるため、湾曲領域54がワークピースの裏面から離れるとき、アンチスリップ突出ボディは、特別な機構を用いることなく、重力によって原点位置へ戻る。アンチスリップ突出ボディの戻る動きを促すために、凹部56の後方壁56aの角度(これは、水平方向に対する凹部56の前方壁56aの角度よりも大きい)及び伸長部53の伸長長さは調整されることができ、アンチスリップ突出ボディの重心は、上から見たときに、伸長部53の先端に近い位置において旋回軸49と伸長部53の先端との間に位置付けられる。
図10は、本発明の実施形態に係る、(a)及び(b)が付された原点位置及び(c)が付された離隔位置において様々な高さを有するエンドエフェクタを示す模式的な側面図である。一部の実施形態では、アンチスリップ突出ボディ71の上部の最小高さは、(a)に示されるように約0.5mmであり、アンチスリップ突出ボディ72の上部の最大高さは、(b)に示されるように約7.0mmである。ワークピースがエンドエフェクタから相対的に離れて移動すると、アンチスリップ突出ボディ71,72が図において時計回りに旋回軸49の周りを回転するとき、接触領域の湾曲領域は、ワークピースの裏面と接触し、その後、最大高さ(つまり、上位置)においてそこから引き離される。一部の実施形態では、最大高さは、(c)に示されるように約10mmである。一部の実施形態では、アンチスリップ突出ボディは、約5°から約30°、典型的には約8°から約20°の角度(A)において原点位置から上位置へ旋回軸49の周りを回転又は旋回してもよい。
図11は、本発明の実施形態に係る、(a)が付された原点位置から(d)が付された離隔位置へ回転する際のエンドエフェクタの動きを示す模式的な側面図である。アンチスリップ突出ボディ71の上面52は、(a)に示される原点位置においてワークピース61の裏面と接触する最大面積又は最大の接触度合いを有する。ワークピース61がエンドエフェクタ57から離れ始めるとき(又はエンドエフェクタ57がワークピース61から離れ始めるとき)、(b)に示されるようにアンチスリップ突出ボディ71が、エンドエフェクタ57の上表面から離れて旋回軸49の周りを旋回すると、上面52とワークピースの裏面との間の接触面積又は接触の度合いは、減少する。ワークピース61が連続的に上昇する(又はエンドエフェクタが連続的に下降する)とき、アンチスリップ突出ボディは、上位置に到達しようとしており、アンチスリップ突出ボディの上部分は、エンドエフェクタに対して最も高い位置に到達しようとしており、湾曲領域54のほとんどの部分は、ワークピース61の裏面と接触しているため、上面52とワークピースの裏面との接触面積又は接触の度合いは、(c)に示されるものよりも小さくなる。アンチスリップ突出ボディが上位置に到達したとき、アンチスリップ突出ボディの上部は、エンドエフェクタに対して最も高い位置に到達し(アンチスリップ突出ボディが回転を停止する)、湾曲領域54のみがワークピース61の裏面と接触し、よって、上面52とワークピースの裏面との接触面積又は接触の度合いは、最小になり、ワークピース61の裏面及び湾曲領域54は、(d)に示されるように、ワークピース及びエンドエフェクタが互いに離れて移動しつつ、別々になる。この図に示されるように、ワークピース及びエンドエフェクタが互いに徐々に離れて移動するとき、ワークピースの裏面とアンチスリップ突出ボディの接触領域との接触面積又は接触の度合いは、徐々に小さくなり、それにより、ワークピースの突然の跳ね上がり又は不意の移動を効果的に防ぐことができる。
図12は、(a)本発明の一実施形態に係る仮想ワークピース81を有するエンドエフェクタ82の模式的な斜視図、及び(b)(a)で示されたエンドエフェクタ82に図示されるアンチスリップ突出ボディ83の模式的な斜視図からなる。アンチスリップ突出ボディ83は、図3と同様に、エンドエフェクタ82に設置され、ここで、各アンチスリップ突出ボディ83の水平軸は、ワークピース支持領域の中心に向けられ、各アンチスリップ突出ボディ83は、その上面がワークピース支持領域の垂直軸に向かって移動するように回転可能である。アンチスリップ突出ボディ83は、(b)に示されるように、集積されたユニットによって構成され、集積されたユニットは、非可動部及び可動部で構成され、さらに、非交換可能部及び交換可能部で構成される。非可動部は、例えば、ねじ90によってエンドエフェクタに固定される支持部88で構成される。可動部は、ベース部86により構成され、ベース部86は、支持部88の周りを旋回し、かつ旋回軸87の周りを旋回可能であり、上部84bは、コネクタ85を介してベース部86に取り付けられ、例えば、コネクタ85は、ねじ89によってベース部86に固定される。上部84bには、上面84aが形成される。よって、コネクタ85を有し、上面84aを含む上部84bは、上面84aが下がったとき、例えば、支持部88及びベース部86を交換せずに、交換可能である。上面84aは、ファンデルワールス力によってワークピースの裏面に接触及び接着可能である。例えば、ヤモリテープがパッド84bに取り付けられる又はカーボンナノチューブが上部84bに堆積されて、上面84aを構成する。
図13は、本発明の一実施形態に係るアンチスリップ突出ボディの模式的な断面図であり、アンチスリップ突出部ボディの回転移動を示す。この図は、アンチスリップ突出ボディ及びその回転運動を示すために、図12よりも簡素化されている。エンドエフェクタ82は、前方が凹んだステップ93及び後方が凹んだステップ94を有するスルーホール92を有し、前方が凹んだステップ93は、スルーホール92の前方に配置され、後方が凹んだステップ94は、スルーホール92の後方に配置される。支持部88は、後方が凹んだステップ94に固定される。上部84b、コネクタ85及びベース部86は、集積され、かつ旋回軸87の周りを共に回転し、旋回軸87は、支持部88及びベース部86を貫通する。コネクタ85は、原点位置において前方が凹んだステップ93にあり、上面84aは、エンドエフェクタ82の上表面91よりも高い位置にあり、そのため、上面84aは、裏面がエンドエフェクタの他の部分と接触しないワークピースの裏面に接触及び接着する(ワークピースの周辺は、位置決めのためにエンドエフェクタの上表面に設けられた突出部に接触してもよいが、一部の実施形態は、上記のワークピースの周辺の接触を回避することができる)。ワークピースがエンドエフェクタ82から相対的に離れて移動すると、上面84aがファンデルワールス力によってワークピースの裏面に接着しているため、上部84b、コネクタ85及びベース部86は、旋回軸87の周りを回転し、コネクタ85及びベース部86は、図13に示されるように、前方が凹んだステップ93から離れて移動する。この構成では、アンチスリップ突出ボディの重心は、上から見たときに、旋回軸87と上部84bの遠位端との間に明確に配置される。よって、アンチスリップ突出ボディは、上面84aがワークピースの裏面から離れた後に、重力によって原点位置に確実に戻ることができる。さらに、構成が簡素なため、アンチスリップ突出ボディは、エンドエフェクタに容易かつ安価に設置することができる。さらに、ベース部を交換せずに、上部を交換することができ、費用を削減することができる。一部の実施形態では、上部84bは、アルミニウムからなり、これには、その表面に取り付けられたカーボンナノチューブベースのテープ(「ヤモリテープ(Gecko tape)ともいわれる」)が形成され、コネクタ85は、アルミニウムからなり、ベース部86は、アルミニウムからなり、支持部88は、アルミニウムからなり、旋回軸87は、ねじからなり、エンドエフェクタ82は、セラミック又はSiCからなる。
図14は、本発明の一実施形態に係るアンチスリップ突出ボディの模式的な斜視図である。アンチスリップ突出ボディの構成及びその設置方法は、上部84dに形成される上面84cが湾曲していることを除いて、図12及び13に示されるアンチスリップ突出ボディと同様であり、上面からワークピースの裏面の突然の離隔を効果的に防ぐことができる。湾曲部分の曲率は、中央よりも小さく、上面の遠位端に向かって徐々に大きくなっていてもよい。
エンドエフェクタは、図2に示されるように、ロボットアームに取り付けられるように構成される。図2は、本発明の一部の実施形態で使用可能なデュアルアームウェーハハンドリングロボットの模式的な平面図である。一部の実施形態では、このタイプのデュアルアームウェーハハンドリングロボットは、図1に示される装置で好ましく使用されることができる。しかし、処理ウェーハの数が4以下であるときには、例えば、単一のウェーハハンドリングロボット(典型的には、多軸ロボット)を使用することができる。図2に示されるように、ロボットアームは、フォーク形状部22aと、中央部22bと、底部22cとで構成される。フォーク形状部22aは、ウェーハを支持するエンドエフェクタ21R及び21Lを備える。フォーク形状部22aと中央部22bとは、結合部23aを介して接続され、中央部22bと底部22cとは、結合部23bを介して接続され、底部22cは、結合部23cを介してアクチュエータに接続される。一部の実施形態では、米国特許第5,855,681号で開示されるような適切なウェーハハンドリングロボットを使用することができ、その開示は、その全体が参照により本明細書に援用される。一部の実施形態では、ロボットアームは、フォーク形状部に代えて、一度に3つのウェーハを搬送する三股部を有する。フォーク形状部22aの遠位端には、結合部31L,31Rが設けられ、エンドエフェクタの結合部48が取り付けられる。このロボットアームは、X軸に沿うエンドエフェクタの側方移動、Y軸に沿うエンドエフェクタの前方及び後方移動、Z軸に沿うエンドエフェクタの垂直移動及びZ軸の周りのエンドエフェクタの回転移動を制御することができる。
図1は、本発明の一部の実施形態において図2に示されるロボットアームを用いるデュアルチャンバモジュールを有するウェーハ処理装置の模式的な平面図である。ウェーハ処理装置は、4つの処理モジュール1a,1b,1c,1d(それぞれに2つのリアクタが設けられる)、ウェーハ イン/アウトチャンバ5及びバックエンドロボット3に設けられるウェーハハンドリングチャンバ4を、以下に説明するシーケンスを実行するようにプログラムされた制御装置と共に組み合わせることが好ましく、これは、本発明の一部の実施形態で使用されることができる。この実施形態では、ウェーハ処理装置は、(i)同一平面上でウェーハを処理する8つのチャンバ(それぞれが右チャンバ(R)及び左チャンバ(L)を有する)を備え、4つの別々の処理モジュール(ユニット)1a,1b,1c,1dを構成し、各モジュール1は、前方が一列に並んで配置される2つのリアクタ2を有し、(ii)2つのバックエンドロボット3(ウェーハハンドリングロボット)を含むウェーハハンドリングチャンバ4を備え、それぞれが、各ユニットの2つのリアクタへ同時にアクセス可能な少なくとも2つのエンドエフェクタを有し、ウェーハハンドリングチャンバ4は、それぞれ4つの処理モジュール1a,1b,1c,1dに対応し、かつ取り付けられる4つの側及びウェーハ イン/アウトチャンバ5(ロードロックチャンバ)のための1つの別の側を有し、全ての側が同一平面上に配置される多角形状を有し、(iii)2つのウェーハを同時に搬入又は搬出するウェーハ イン/アウトチャンバ5を備え、ウェーハ イン/アウトチャンバ5は、ウェーハハンドリングチャンバの1つの別の側に取り付けられ、各バックエンドロボット3は、ウェーハ イン/アウトチャンバ5へアクセス可能である。各リアクタ2の内部及びウェーハ イン/アウトチャンバ5の内部は、ゲートバルブ9によってウェーハハンドリングチャンバ4の内部と隔てられうる。一部の実施形態では、コントローラ(図示せず)は、例えば、ウェーハ搬送のシーケンスを実行するようにプログラムされたソフトウェアを記憶する。コントローラは、また、図1に示されるように、各プロセスチャンバの状態をチェックし、センシングシステムを用いて各プロセスチャンバ内のウェーハを位置決めし、各モジュールのガスボックス及び電気ボックスを制御し、ローディングポート(LP)8及びロードロックチャンバ(LLC)5に格納されたウェーハの分布状態に基づいてエクイップメントフロントエンドモジュール(EFEM)6においてフロントエンドロボット(FERB)7を制御し、バックエンドロボット(BERB)3を制御し、ゲートバルブ(GV)9を制御する。ウェーハ処理装置は、本明細書の他の箇所に記載された堆積及びリアクタ洗浄処理を行わせるようにプログラム又は制御された一又はそれ以上のコントローラを含むことを当業者は理解するであろう。コントローラは、各種電源、加熱システム、ポンプ、ロボット及びガスフローコントローラ又はリアクタのバルブと接続されることも当業者によって理解されるであろう。
一部の実施形態では、ウェーハ処理装置は、1以上(例えば、2、3、4、5、6又は7)の処理チャンバを有する。図1では、ウェーハ処理装置は、8つの処理チャンバを有しているが、10以上の処理チャンバを有することができる。典型的には、ウェーハ処理装置は、一又はそれ以上のデュアルチャンバモジュールを有する。一部の実施形態では、モジュールのリアクタは、プラズマエンハンストCVDリアクタ、熱CVDリアクタのようなCVDリアクタ、プラズマエンハンストALDリアクタ、熱ALDリアクタのようなALDリアクタ、エッチングリアクタ、及びUV硬化リアクタを含むウェーハを処理する又は扱う適切なリアクタであることができる。典型的には、処理チャンバは、ウェーハ上の薄膜又は層を堆積するためのプラズマリアクタである。一部の実施形態では、全てのモジュールは、ウェーハを処理するための同一の性能を有する同一のタイプのものであり、搬出/搬入は、連続的かつ定期的にタイミングを合わせることができ、生産性又はスループットを向上させることができる。一部の実施形態では、モジュールは、異なる性能(例えば、異なる処理)を有するが、ハンドリング時間は、ほぼ同一である。
米国特許公開番号第2012/0305196号で開示される装置を一部の実施形態で使用することができ、当該特許公開公報の開示は、その全体が参照により本明細書に援用される。一部の実施形態では、米国特許公開番号第2012/0325148号、米国特許第7,963,736号及び米国特許第8,041,450号で開示されるような適切なウェーハ位置決めシステムを採用することができ、その開示は、その全体が参照により本明細書に援用される。
多数かつ様々な変更が本発明の趣旨から逸脱しない範囲でなされることが当業者によって理解されるであろう。よって、本発明の形態は、例示的なものであり、本発明の範囲を制限することを意図するものではないことが明確に理解されるべきである。

Claims (20)

  1. ロボットアームに取り付けられるように構成され、ワークピースを搬送するためのアンチスリップエンドエフェクタであって、
    搬送のために前記ワークピースを配置するワークピース支持領域と、
    前記ワークピースの裏面を支持するために前記ワークピース支持領域に配置される少なくとも1つのアンチスリップ突出部と、を備え、
    前記アンチスリップ突出部は、ファンデルワールス力により前記ワークピースの裏面と接触及び接着可能であり、かつ旋回軸の周りを旋回可能な上面を有し、前記旋回軸は、上から見たときに前記上面の中心から離れて配置される、アンチスリップエンドエフェクタ。
  2. 前記アンチスリップ突出部の前記上面は、多数のカーボンナノチューブにより構成される請求項1に記載のアンチスリップエンドエフェクタ。
  3. 少なくとも1つの前記アンチスリップ突出部は、前記アンチスリップエンドエフェクタの近位端から遠位端へ延びる前記アンチスリップエンドエフェクタの中心線に対して左右対称に配置される複数のアンチスリップ突出部により構成され、各アンチスリップ突出部の前記旋回軸は、上から見たときに前記ワークピース支持領域の中心を通る線分に垂直に配置される請求項1に記載のアンチスリップエンドエフェクタ。
  4. 前記アンチスリップ突出部は、(i)前記旋回軸によって貫通されるベース部と、(ii)前記ベース部の上に直接的又は間接的に形成され、かつ前記ワークピース支持領域に略平行な一つの方向に延びる上部と、を有し、前記上部の上表面は、前記上面を構成する、請求項1に記載のアンチスリップエンドエフェクタ。
  5. 前記ベース部は、ほぼ逆三角形の断面を有し、前記旋回軸は、前記逆三角形の断面の下端近傍の位置において前記ベース部を貫通する、請求項4に記載のアンチスリップエンドエフェクタ。
  6. 前記ワークピース支持領域は、前記アンチスリップ突出部の前記ベース部が内部に配置される凹部を有し、前記アンチスリップ突出部の前記上部は、前記凹部上に配置される、請求項4に記載のアンチスリップエンドエフェクタ。
  7. 前記アンチスリップ突出部の前記上部は、約0.5mmから約7.0mmの高さを有し、前記アンチスリップ突出部の前記ベース部は、約2.0mmから約28.0mmの高さを有する、請求項4に記載のアンチスリップエンドエフェクタ。
  8. 前記アンチスリップ突出部の前記上部に形成される前記上面は、伸長した側の前記上部の端部に向かって湾曲される、請求項4に記載のアンチスリップエンドエフェクタ。
  9. 前記上面は、前記アンチスリップ突出部の上面に取り付けられるカーボンナノチューブベースの人工ヤモリテープにより構成され、前記カーボンナノチューブベースの人工ヤモリテープは、セラミックス、シリコン、ガラス及び樹脂からなる群から選択された材料で製造される、請求項4に記載のアンチスリップエンドエフェクタ。
  10. 前記上面は、シリコンから製造された前記アンチスリップ突出部の上面に直接配置されるカーボンナノチューブにより構成される、請求項4に記載のアンチスリップエンドエフェクタ。
  11. 前記アンチスリップ突出部の前記上部の裏面は、原点位置における前記ワークピース支持領域の表面と接触され、前記アンチスリップ突出部は、前記アンチスリップ突出部の前記上部を、前記ワークピース支持領域の前記表面から離れて移動するように旋回可能である、請求項6に記載のアンチスリップエンドエフェクタ。
  12. 前記アンチスリップ突出部は、前記アンチスリップ突出部の前記上部を前記ワークピース支持領域の前記表面から離れて移動するように旋回した後に、重力によって前記原点位置へ戻るように旋回される、請求項11に記載のアンチスリップエンドエフェクタ。
  13. 前記アンチスリップ突出部の前記上部に形成される前記上面は、前記原点位置において前記ワークピースの前記裏面との接触をもたらす接触領域を有し、前記接触領域は、上から見たときに、前記旋回軸と、伸長した側の前記上部の端部との間に配置される、請求項11に記載のアンチスリップエンドエフェクタ。
  14. 前記アンチスリップ突出部の前記上部に形成される前記上面は、前記接触領域と、前記伸長した側の前記上部の端部との間で湾曲される、請求項13に記載のアンチスリップエンドエフェクタ。
  15. 前記アンチスリップ突出部の上部に形成される前記上面は、上から見たときに、前記旋回軸の方向よりも前記旋回軸に垂直な方向に長い長方形形状を有する請求項1に記載のアンチスリップエンドエフェクタ。
  16. 少なくとも1つの前記アンチスリップ突出部の前記上面は、前記ワークピースが前記ワークピース支持領域に配置されるときに、前記ワークピースの裏面と接触する唯一の領域である、請求項1に記載のアンチスリップエンドエフェクタ。
  17. 前記ワークピース支持領域の遠位端に配置され、前記ワークピースのエッジと係合する少なくとも1つの前方突出部と、前方ワークピース支持領域の近位端に配置され、前記ワークピースのエッジと係合する少なくとも1つの後方突出部と、をさらに備え、前記前方突出部は、前記ワークピース支持領域に配置される前記ワークピースが前記前方突出部を越えて移動することを制限し、前記後方突出部は、前記ワークピース支持領域に配置される前記ワークピースが前記後方突出部を越えて移動することを制限する、請求項1に記載のアンチスリップエンドエフェクタ。
  18. 前記ワークピース支持領域は、セラミックス、炭素複合材及びアルミニウム合金により構成される、請求項1に記載のアンチスリップエンドエフェクタ。
  19. ワークピースを搬送するロボットアームであって、垂直、前方、後方及び側方に移動可能である少なくとも1つのアームと、各アームの遠位端に取り付けられる請求項1に記載のアンチスリップエンドエフェクタと、を備えるロボットアーム。
  20. 請求項1に記載のアンチスリップエンドエフェクタを用いてワークピースを搬送する方法であって、
    エンドエフェクタが取り付けられたロボットアームを提供するステップと、
    前記エンドエフェクタのワークピース支持領域に前記ワークピースを搬入するステップであって、前記ワークピースの裏面が各アンチスリップ突出部の上面に接着される、ステップと、
    前記エンドエフェクタの前記ワークピース支持領域に前記ワークピースを保持した状態で、前記ロボットアームを用いて1つのチャンバから別のチャンバへ前記ワークピースを搬送するステップと、
    前記ワークピースを前記エンドエフェクタから相対的に移動することにより前記別のチャンバに前記ワークピースを搬出するステップと、を備え、
    前記アンチスリップ突出部のそれぞれの前記上面は、前記旋回軸の周りを旋回し、かつ、前記ワークピースを前記エンドエフェクタから離れて移動しながら、前記ワークピースの前記裏面から徐々に引き離される、方法。
JP2015071933A 2014-04-03 2015-03-31 ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ Pending JP2015201638A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/244,689 2014-04-03
US14/244,689 US9343350B2 (en) 2014-04-03 2014-04-03 Anti-slip end effector for transporting workpiece using van der waals force

Publications (1)

Publication Number Publication Date
JP2015201638A true JP2015201638A (ja) 2015-11-12

Family

ID=54210388

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015071933A Pending JP2015201638A (ja) 2014-04-03 2015-03-31 ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ

Country Status (4)

Country Link
US (1) US9343350B2 (ja)
JP (1) JP2015201638A (ja)
KR (1) KR20150115661A (ja)
TW (1) TW201607706A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017122621A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
WO2017122620A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
WO2018173456A1 (ja) * 2017-03-23 2018-09-27 日東電工株式会社 搬送固定治具

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI521082B (zh) * 2014-04-15 2016-02-11 友達光電股份有限公司 操作裝置及其操作方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6629012B2 (ja) * 2015-08-31 2020-01-15 豊田鉄工株式会社 加熱炉用のワーク搬送装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190131161A1 (en) * 2017-10-27 2019-05-02 Kla-Tencor Corporation Substrate Handling Apparatus for Extreme Warped Wafers
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220147869A (ko) * 2021-04-28 2022-11-04 삼성전자주식회사 이송 유닛을 갖는 반도체 제조 장치 및 반도체 소자 형성 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113611633B (zh) * 2021-07-21 2023-01-17 北京北方华创微电子装备有限公司 晶圆烘烤腔室及其晶圆预清洁方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113954117B (zh) * 2021-10-27 2024-02-09 合肥科大智能机器人技术有限公司 一种基于范德华力和摩擦力的自适应机械爪

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6976822B2 (en) * 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
US7055875B2 (en) * 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US7290813B2 (en) * 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US7479198B2 (en) * 2005-04-07 2009-01-20 Timothy D'Annunzio Methods for forming nanofiber adhesive structures
JP2008108991A (ja) * 2006-10-27 2008-05-08 Daihen Corp ワーク保持機構
US20090033907A1 (en) * 2007-07-05 2009-02-05 Nikon Corporation Devices and methods for decreasing residual chucking forces
JP5258981B2 (ja) * 2010-02-05 2013-08-07 東京エレクトロン株式会社 基板保持具及び基板搬送装置及び基板処理装置
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
KR101801409B1 (ko) * 2010-12-20 2017-12-20 에베 그룹 에. 탈너 게엠베하 웨이퍼의 장착을 위한 수용 수단
JP5609663B2 (ja) * 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
JPWO2012141067A1 (ja) * 2011-04-15 2014-07-28 タツモ株式会社 ウエハ交換装置およびウエハ支持用ハンド
US9793148B2 (en) * 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
JP5549655B2 (ja) * 2011-09-26 2014-07-16 株式会社安川電機 ハンドおよびロボット
JP5601331B2 (ja) * 2012-01-26 2014-10-08 株式会社安川電機 ロボットハンドおよびロボット
JP2014086472A (ja) * 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd クランプ装置及びワーク搬送ロボット
KR20140102782A (ko) * 2013-02-14 2014-08-25 삼성전자주식회사 웨이퍼 이송용 블레이드 및 이를 포함하는 웨이퍼 이송 장치
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
JP5861676B2 (ja) * 2013-07-08 2016-02-16 株式会社安川電機 吸着構造、ロボットハンドおよびロボット
US9698035B2 (en) * 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017122621A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
JP2017126696A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材
WO2017122620A1 (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
US10777446B2 (en) 2016-01-15 2020-09-15 Nitto Denko Corporation Mounting member
WO2018173456A1 (ja) * 2017-03-23 2018-09-27 日東電工株式会社 搬送固定治具
JP2018160587A (ja) * 2017-03-23 2018-10-11 日東電工株式会社 搬送固定治具

Also Published As

Publication number Publication date
TW201607706A (zh) 2016-03-01
US9343350B2 (en) 2016-05-17
KR20150115661A (ko) 2015-10-14
US20150287626A1 (en) 2015-10-08

Similar Documents

Publication Publication Date Title
JP2015201638A (ja) ファンデルワールス力を用いてワークピースを搬送するアンチスリップエンドエフェクタ
JP6282980B2 (ja) 搬送装置
US9370863B2 (en) Anti-slip end-effector for transporting workpiece
JP2015135963A (ja) 改善されたウェハ・ハンドリングのための微細構造体
TWI548025B (zh) 邊緣抓握末端執行器(一)
US20120315113A1 (en) Substrate holder, substrate transfer apparatus, and substrate processing apparatus
TW201526153A (zh) 具有經減少基板粒子產生的基板支持設備
US8202034B2 (en) Vacuum processing apparatus and substrate transfer method
US6267423B1 (en) End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
KR100989721B1 (ko) 기판 이송용 고온 처짐 방지 엔드 이펙터
TW201605595A (zh) 具有於動作期間把持晶圓之能力的薄端效器
KR20200140641A (ko) 반도체 로봇용 엔드이펙터
JP2010239023A (ja) 基板搬送装置及び基板処理装置
US20230091979A1 (en) End effector and substrate processing apparatus including end effector
CN219190236U (zh) 机械手
JP7250785B2 (ja) 極端に反ったウェハ用の基板ハンドリング装置
KR102646149B1 (ko) 그리퍼
US20120237329A1 (en) Thin Wafer Gripper Using High Pressure Air
TW202345277A (zh) 用於製造系統機器人的靜電端效器