JP6282980B2 - 搬送装置 - Google Patents

搬送装置 Download PDF

Info

Publication number
JP6282980B2
JP6282980B2 JP2014547548A JP2014547548A JP6282980B2 JP 6282980 B2 JP6282980 B2 JP 6282980B2 JP 2014547548 A JP2014547548 A JP 2014547548A JP 2014547548 A JP2014547548 A JP 2014547548A JP 6282980 B2 JP6282980 B2 JP 6282980B2
Authority
JP
Japan
Prior art keywords
substrate
substrate support
pad
friction
support pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014547548A
Other languages
English (en)
Other versions
JP2015502667A (ja
Inventor
ギルクリスト ユリシーズ
ギルクリスト ユリシーズ
テラ モウラ ジャイロ
テラ モウラ ジャイロ
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2015502667A publication Critical patent/JP2015502667A/ja
Application granted granted Critical
Publication of JP6282980B2 publication Critical patent/JP6282980B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

[関連出願の相互参照]
本願は、2011年12月16日に提出された米国特許仮出願第61/576,450号の非仮出願であって、その利益を主張する。当該出願の開示内容は、その全体が参照により本明細書に組み込まれる。
代表的な実施形態は概して搬送装置に係り、より詳細にはロボット搬送装置に関する。
一般的に、搬送装置は、基板とエンドエフェクタとの間の受動的な接触(例えば受動的なグリップ)を利用するエンドエフェクタ又は基板ホルダを採用する。この受動的なグリップのエンドエフェクタで達成される最大加速度閾値は、基板に受動的に接触するために用いられる材料の選択に大きく依存する。より高い加速度閾値は、アルミナ又は石英等の他の材料に比べて元来より柔軟な、カルレッツ(登録商標)4079などの「ゴム状の」材料を用いることによって得ることができる。その一方で、ゴム状の材料はより高い接着力を有する傾向があり、このことは基板の配置精度ならびに処理の清浄度に悪影響を及ぼし得る。また、ゴム状の材料は、加熱基板に対応できない可能性があることも注目される。アルミナ及び石英のような材料は、比較的高い温度で動作することが可能であり、ゴム状の材料よりも接着力が低いが、ゴム状の材料と比較すると加速閾値(又は摩擦係数)が低下する。
米国特許出願第11/442,511号明細書 米国特許出願第11/178,615号明細書 米国特許第6,002,840号明細書 米国特許出願第12/117,415号明細書 米国特許出願第12/123,329号明細書 米国特許第6,158,941号 米国特許第6,464,448号 米国特許第6,485,250号 米国特許第7,578,649号 米国特許第8,016,541号
最大限の加速度閾値を可能にしながら少なくとも低い接着力を維持する、受動的なグリップのエンドエフェクタを備えた搬送部を有することが有利であろう。
前述の態様及び開示される実施形態の他の特徴を、添付の図面と関連付けて、以下の記述において説明する。
開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による搬送カートの略図。 開示される実施形態の態様による搬送装置の略図。 開示される実施形態の態様による搬送装置の略図。 開示される実施形態の態様による搬送装置の略図。 開示される実施形態の態様による搬送装置の略図。 開示される実施形態の態様による搬送装置の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の一態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の態様による搬送装置の一部の略図。 開示される実施形態の一態様による基板保持装置の略図。
図面に図示された特徴の大きさは単に説明を目的とするものであって、その特徴の実際の大きさを表現するものではないことに留意されたい。
開示される実施形態の態様を図面を参照して説明するが、この開示される実施形態の態様は様々な形で体現され得ることが理解されるべきである。また、任意の適当な寸法、形状、あるいは要素又は材料の種類が用いられ得る。
図1A乃至1Dを参照すると、本明細書においてさらに開示されるように、開示される実施形態の態様を組み込んだ基板処理装置又はツールの概略図が示されている。
図1A及び1Bを参照すると、例えば半導体ツールステーション1090のような、開示される実施形態の一態様による処理装置が示されている。図面には半導体ツールが示されているが、本明細書において説明される、開示される実施形態の態様は、ロボットマニピュレータを採用するどんなツールステーション又は用途にも適用することができる。
この例においては、ツール1090はクラスタツールとして示されているが、開示される実施形態の態様は、例えば図1C及び1Dに示される線形ツールステーションのような、任意の適当なツールステーションに適用されてもよい。そのようなツールステーションは、2006年5月26日に出願された「直線状に分布された半導体ワークピース処理ツール」と題される特許文献1に説明されており、その開示内容は全体が参照により本明細書に組み込まれる。ツールステーション1090は一般に、大気フロントエンド1000と、真空ロードロック1010と、真空バックエンド1020とを備えている。
別の態様においては、ツールステーションは任意の適当な構成を有していてもよい。フロントエンド1000、ロードロック1010及びバックエンド1020の各々の構成要素は制御部1091に接続されていてもよく、該制御部は、例えばクラスタ型アーキテクチャ制御などの任意の適当な制御アーキテクチャの一部であってもよい。制御システムは、マスタ制御部と、クラスタ制御部と、自立した遠隔制御部とを有する閉ループ制御部であってもよい。そのような制御部は、2005年7月11日に出願された「拡張可能な動作制御システム」と題される特許文献2に開示されており、その開示内容は全体が参照により本明細書に組み込まれる。別の態様においては、任意の適当な制御部及び/又は制御システムが利用されてもよい。
ツールモジュールのうち1つ以上は、ツールの全体を通じて単数又は複数のワークピースを運搬するための(本明細書中に説明されるような)ワークピース搬送部又はロボットを備えていてもよいことに注意されたい。ワークピース搬送部は、運搬中に単数又は複数のワークピースを保持するための(本明細書中に説明されるような)エンドエフェクタを備えていてもよく、該エンドエフェクタは柔軟なミクロ構造及び/又はナノ構造を有する1つ以上の接触パッドを備えていてもよい。このミクロ構造及び/又はナノ構造は、以下において別に詳述されるように、従来の平坦な(例えば無方向性摩擦)面と比較して、実質的にワークピース上の接着力(例えば、ワークピース上の、該ワークピースの面に対して略垂直であり得る力)を増大させることなく、エンドエフェクタ上に装着された単数又は複数のワークピースに対する高い方向性摩擦力を発生させる。
開示される実施形態の態様においては、フロントエンド1000は概して、ロードポートモジュール1005と、例えば機器フロントエンドモジュール(EFEM:equipment front end module)などのミニエンバイロメント1060とを備えている。ロードポートモジュール1005は、300mmロードポート、フロントオープニング又はボトムオープニングボックス/ポッド及びカセットに関するSEMIスタンダードE15.1,E47.1,E62,E19.5又はE1.9に準拠するボックスオープナ/ローダ・ツー・ツール・スタンダード(BOLTS:box opener/loader to tool standard)インタフェースであってもよい。
別の態様においては、本明細書に記載のロードポートモジュール及びツールの他の構成要素は、200mm,300mm又は450mmウエハ、あるいは例えばより大型又はより小型のウエハ、長方形又は正方形のウエハなど、任意の他の適当な寸法及び形状の基板、あるいはフラットパネルディスプレイ、発光ダイオード又は太陽電池アレイ用のフラットパネルとインタフェースするように、さもなければその基板上で動作するように、構成されてもよい。別の態様においては、本明細書に記載の、例えば基板搬送部を備えるツールの構成要素は、本明細書に記載の半導体製造工程のうちいずれか1つ以上からの加熱ウエハを処理するよう構成されていてもよい。
図1Aには2つのロードポートモジュールが示されているが、別の態様においては、任意の適当な数のロードポートモジュールがフロントエンド1000に組み込まれてもよい。ロードポートモジュール1005は、天井搬送システム、自動誘導搬送車、無軌道人力台車、有軌道無人搬送車から、あるいは任意の他の適当な搬送方法から基板キャリア又はカセット1050を受け取るように構成されていてもよい。ロードポートモジュール1005は、ロードポート1040を通じてミニエンバイロメント1060とインタフェースしてもよい。ロードポート1040が基板カセット1050とミニエンバイロメント1060との間での基板の通過を可能にしてもよい。
ミニエンバイロメント1060は概して、以下で詳述する搬送ロボット1013を備えている。開示される実施形態の一態様においては、ロボット1013は、例えば特許文献3に記載されているような軌道搭載ロボットであってもよい。該文献の開示内容は全体が参照により本明細書に組み込まれる。ミニエンバイロメント1060は、複数のロードポートモジュール間での基板搬送のための制御された清浄域を提供してもよい。
真空ロードロック1010は、ミニエンバイロメント1060とバックエンド1020との間に位置してこれらに接続されていてもよい。ロードロック1010は一般に、大気スロット弁及び真空スロット弁を備えている。これらのスロット弁によって、大気フロントエンドから基板をロードした後でロードロックを空にするため、及び窒素などの不活性ガスで該ロックを通気する際に搬送チャンバ内の真空状態を維持するため用いられる、環境隔離を図ることができる。また、ロードロック1010は、基板の基準を処理のための所望の位置に整列させるアライナ1011を備えていてもよい。別の態様においては、真空ロードロックは、処理装置の任意の適当な位置にあって任意の適当な構成を有していてもよい。
真空バックエンド1020は一般的に、搬送チャンバ1025と、1つ以上の処理ステーション1030と、搬送ロボット1014とを備える。搬送ロボット1014は、以下において説明するが、搬送チャンバ1025内にあってロードロック1010と様々な処理ステーション1030との間で基板を搬送してもよい。処理ステーション1030は、基板上に電気回路又は他の所望の構造を形成するための様々な成膜、エッチング、又は他の種類の処理を通じて、基板を加工してもよい。
典型的な処理は、プラズマエッチング又は他のエッチング処理、化学蒸着(CVD:chemical vapor deposition)、プラズマ蒸着(PVD:plasma vapor deposition)、イオン注入などの注入、計測、急速加熱処理(RTP:rapid thermal processing)、ドライストリップ原子層堆積(ALD:atomic layer deposition)、酸化/拡散、窒化物の形成、真空リソグラフィ、エピタキシ(EPI)、ワイヤボンダ及び蒸発などの真空を使用する薄膜処理、あるいは真空圧力を使用する他の薄膜処理を含むが、これらに限定されない。処理ステーション1030は搬送チャンバ1025に接続され、基板が搬送チャンバ1025から処理ステーション1030へ、及びその逆で引き渡されることを可能にする。
次に図1Cを参照すると、線形基板処理システム2010の概略平面図が示されている。同図においては、ツールインタフェース部2012が、該インタフェース部2012が搬送チャンバ3018の長手軸Xに(例えば内側に)面しているが該長手軸Xからオフセットされるように、搬送チャンバモジュール3018に搭載されている。搬送チャンバモジュール3018は、先に参照により本明細書に組み込まれている特許文献1に記載されているとおり、他の搬送チャンバモジュール3018A,3018I,3018Jをインタフェース2050,2060,2070に取り付けることにより、任意の適当な方向に延長されてもよい。各搬送チャンバモジュール3018,3018A,3018I,3018Jは、以下で詳述するように、処理システム2010の全体を通じて基板を搬送するとともに例えば処理モジュールPMに基板を搬入出するための基板搬送部2080を備える。理解されるであろうが、各チャンバモジュールは、隔離雰囲気、制御雰囲気、又は密閉雰囲気(例えばN2、清浄空気、真空)を保持することができるものであってもよい。
図1Dを参照すると、例示的な処理ツール410の概略正面図が示されており、これは例えば線形の搬送チャンバ416の長手軸Xに沿ったものであってもよい。一態様においては、図1Dに示されるように、ツールインタフェース部12が代表的に搬送チャンバ416に接続されていてもよい。この態様では、インタフェース部12がツール搬送チャンバ416の一端を規定してもよい。図1Dに見られるとおり、搬送チャンバ416は、例えばインタフェースステーション12とは反対端に、別のワークピース出入ステーション412を有していてもよい。別の態様においては、搬送チャンバに/からワークピースを挿入する/取り出すための他の出入ステーションが、ツール搬送チャンバ416の両端の間などに設けられてもよい。
開示される実施形態の一態様においては、インタフェース部12及び出入ステーション412によってツールからのワークピースのロード及びアンロードを可能にしてもよい。別の態様においては、ワークピースは一端からツールにロードされ、他端から取り出されてもよい。一態様においては、搬送チャンバ416は1つ以上の搬送チャンバモジュール18B,18iを有していてもよい。各チャンバモジュールは、隔離雰囲気、制御雰囲気、又は密閉雰囲気(例えばN2、清浄空気、真空)を保持することができるものであってもよい。
前述のように、図1Dに示される搬送チャンバ416を形成する搬送チャンバモジュール18B,18i、ロードロックモジュール56A,56及びワークピースステーションの構成/配置は例示的なものに過ぎず、他の態様においては、搬送チャンバは任意の所望のモジュール配置で配設されたより多数又はより少数のモジュールを有していてもよい。一態様においては、ステーション412はロードロックであってもよい。別の態様においては、ロードロックモジュールは(ステーション412に類似の)端部出入ステーション間に配置されてもよく、あるいは、(モジュール18iに類似の)隣接する搬送チャンバモジュールはロードロックとして動作するよう構成されてもよい。
また、やはり前述したように、搬送チャンバモジュール18B,18iは、その内部に位置する1つ以上の対応する搬送装置26B,26iを有する。各搬送チャンバモジュール18B,18iの搬送装置26B,26iは、連携して搬送チャンバ内に線形分布ワークピース搬送部システム420を設けてもよい。別の態様においては、搬送チャンバモジュール18Bは、任意の適当な搬送カート900(図1E)が線形搬送チャンバ416の長さの少なくとも一部に沿って搬送チャンバモジュール18B間で移動できるよう構成されていてもよい。理解されるであろうが、搬送カート900は、該搬送カートに搭載された、本明細書中に説明される搬送装置と略同様の、任意の適当な搬送装置を備えていてもよい。
図1Dに示すように、一態様においては、搬送装置26Bのアームは、以下においても詳述されるように、搬送部がウエハをピック/プレイス位置から迅速に交換できるようにする高速交換構成と称してもよいものを提供するように配列される。搬送アーム26Bは、従来の駆動システムと比較して簡略化された駆動システムから各アームに3(例えば、Z軸運動を伴う肩ジョイント及び肘ジョイントを中心とした独立回転)自由度を提供するのに適した駆動部を有していてもよい。別の態様においては、駆動部は、3自由度より多い又は少ない自由度をアームに提供してもよい。
図1Dに見られるように、一態様においては、モジュール56A,56,30iは搬送チャンバモジュール18B,18iの間に介在して位置していてもよく、適当な処理モジュール、ロードロック、バッファステーション、計測ステーション又は任意の他の所望のステーションを定義してもよい。例えば、ロードロック56A,56及びワークピースステーション30iなどの介在モジュールは、それぞれ搬送アームと連携して、搬送チャンバの線形軸Xに沿って搬送チャンバの全長に亘りワークピースの搬送に影響を与え得る固定的なワークピース支持部/シェルフ56S,56S1,56S2,30S1,30S2を有していてもよい。
一例として、ワークピースはインタフェース部12によって搬送チャンバ416内にロードされてもよい。ワークピースはインタフェース部の搬送アーム15によってロードロックモジュール56Aの支持部上に配置されてもよい。ワークピースは、ロードロックモジュール56Aにおいては、モジュール18B内の搬送アーム26Bによってロードロックモジュール56Aとロードロックモジュール56との間で移動されてもよく、同様に連続的に、(モジュール18i内の)アーム26iによってロードロック56とワークピースステーション30iとの間、ならびにモジュール18i内のアーム26iによってステーション30iとステーション412との間で移動されてもよい。この処理は、ワークピースを反対方向に移動させるために、全体的又は部分的に逆転させてもよい。
したがって、一態様においては、ワークピースは軸Xに沿った任意の方向で、搬送チャンバに沿った任意の位置に移動されてもよく、搬送チャンバと連通する任意の所望のモジュール(処理用又はその他)へ/からロード/アンロードされてもよい。別の態様では、静的なワークピース支持部又はシェルフを備えた介在する搬送チャンバモジュールは、搬送チャンバモジュール18B,18iの間には設けられないかもしれない。
開示される実施形態のそのような態様においては、搬送チャンバの全体に亘ってワークピースを移動させるために、隣接する搬送チャンバモジュールの搬送アームが、1つの搬送アームのエンドエフェクタから別の搬送アームのエンドエフェクタへと直接(又はバッファステーションの使用を通じて)ワークピースを引き渡してもよい。処理ステーションモジュールは、様々な堆積、エッチング、又は他の種類の処理を通じて基板を加工し、電気回路又は他の所望の構造を基板上に形成してもよい。処理ステーションモジュールは搬送チャンバモジュールに接続され、基板が搬送チャンバから処理ステーションへ、及びその逆で、引き渡されることを可能にする。図1Dに図示される処理装置に類似の一般的特徴を備えた処理ツールの適当な例は、先に参照により全体が組み込まれている特許文献1に記載されている。
次に図2A乃至Eを参照すると、例示的な基板搬送装置200乃至204が示されている。搬送装置200乃至204は、上述の搬送装置26B,26i,1013,1014,2080と実質的に類似していてもよい。一態様において、搬送装置200は、一般的なスカラ(SCARA)アーム構造を有していてもよい。ここで、搬送装置200は、半導体製造工程において、半導体ウエハ202を1つの基盤保持ステーションから別の基盤保持ステーションへと移動させるためのものである。
搬送装置200はアーム220を備えており、該アームは、該アームを垂直に上げ下げするための昇降機構(図示しない)を収容する中心柱及びアーム220の伸縮を引き起こすための回転駆動部などの、支持部又は駆動部200Dに搭載されている。アーム220は、内アーム211と、外アーム212と、エンドエフェクタ213とを備える。内アーム211は回転可能な「肩」ジョイント226で中心柱200Dにより支持され、中心柱200Dの全体に亘り縦軸を中心とした回転をもたらす。同様に、外アーム212は、縦軸を中心とした回転のため、回転可能な「肘」ジョイント228で内アーム211に取り付けられ、エンドエフェクタ213は、縦軸を中心とした回転のため、回転可能な「手首」ジョイント232で外アーム212に取り付けられる。3つの回転可能なジョイント226,228及び232を中心とした回転により、エンドエフェクタ213は水平面内の任意の座標位置へと移動することができ、同時に中心柱上でのアーム220の並進運動が垂直運動をもたらす。
本発明が他のロボットアーム構成とともに用いられ得ることは理解されるであろう。例えば、他の態様においては、任意の適当な数のアームリンク211,212及びエンドエフェクタ213が任意の適当な手法によって駆動部200Dにより駆動されてもよい。2つのアームリンク及び1つのエンドエフェクタを有する1つのアームだけが駆動部に取り付けられている様子が示されているが、他の態様においては、各々が任意の数のエンドエフェクタを有する任意の数のアームが駆動部200Dに取り付けられ、該駆動部により駆動されてもよいことに注意されたい。
別の態様においては、搬送装置は、カエル脚構造201、左右相称構造202、跳躍カエル構造203、線形摺動構造204など、任意の適当なアーム構造を有していてもよい。基板搬送装置の適当な例は、2008年5月8日に出願された「機械スイッチ機構を利用した複数の可動アームを備えた基板搬送装置」と題される特許文献4、2008年5月19日に出願された「コンパクト基板搬送システム」と題される特許文献5、ならびに参照により開示内容の全体が本明細書に組み込まれる特許文献6、特許文献7、特許文献8、特許文献9及び特許文献10に見られる。
次に図3を参照すると、開示される実施形態の一態様による例示的なエンドエフェクタ213が図示されている。エンドエフェクタはベース部302と基板保持部303とを有していてもよい。ベース部302は、一般的にエンドエフェクタ213が例えば上述の搬送アーム200乃至204のいずれかの手首ジョイント(例えば手首ジョイント232)又は任意の他の適当なジョイントに取付け可能となるよう構成されてもよく、同時に基板保持部303は一般的に、半導体基板、フラットパネル、太陽電池パネル、発光ダイオード、有機発光ダイオードなどを含むがこれらに限られない、任意の適当な基板202を支持するとともに受動的にグリップするよう構成されてもよい。
エンドエフェクタ213は一体型の部材(例えばモノリシック部材)であってもよく、あるいはエンドエフェクタ213の重量を軽減するための所望のアセンブリであってもよい。エンドエフェクタ213は、金属、及び/又は炭素材料、プラスチック、カルレッツ4079、ステンレス鋼、アルミナ、石英又は任意の他の適当な材料を含むがこれらに限られない合成物などの、堅い軽量材料から作成されてもよい。エンドエフェクタ213は、図示されるように略平坦であってもよく、あるいは他の任意の適当な構成を有していてもよい。
エンドエフェクタ213の上面324Uは任意の適当な基板支持構造を有していてもよい。一態様においては、この支持構造は基板202の端部グリップをもたらすよう構成された1つ以上の基板支持パッド213Pであってもよい。この態様においては、パッド213P(例示目的で4つが示されているが、他の態様においては4つより多数又は少数のパッド213Pがあってもよい)が上面324Uから隆起している。一態様においては、パッド213Pは、メカニカルファスナー又はボンディングによってなど、任意の適当な手法で個々にエンドエフェクタ213に取り付けられてもよい。別の態様においては、パッド213Pは、エンドエフェクタの一体型構造の一部を形成するように、モノリシックなエンドエフェクタに組み込まれてもよい。
図中に示された基板支持パッド213Pの位置は例示的なものにすぎない点に注意するとともに、基板支持パッドはエンドエフェクタ上の任意の適当な位置に配置されてよいことを理解されたい。例えば、一態様においては、基板支持パッド213Pは、SEMI(Semiconductor Equipment and Material International:国際半導体製造装置材料協会)スタンダードに規定されるように基板の除外領域(例えば基板の周囲、外縁など)において、あるいは基板202との接触が可能な該基板の任意の他の適当な位置において、基板202に接触するよう配置されていてもよい。
一態様においては、パッド213Pは基板202の底面に接触するよう配置されていてもよく(例えば図3A及び3Bを参照)、また別の態様においては、パッド213Pは基板の周縁に接触するよう配置されていてもよい(例えば図4のエンドエフェクタ213’を参照)。基板は、エンドエフェクタ213によって保持されると、パッド213Pの上に装着され、この基板202とエンドエフェクタ213の上面324Uとの間には図3Bに示すように隙間が形成される。
一態様においては、パッド213Pは受動的なパッドであってもよく、また別の態様においては、(空気圧手段又は電磁気的手段などによって)移動可能であって基板の端部に係合してパッド間に該基板をグリップする1つ以上のパッドが提供されてもよい。さらに別の態様においては、1つ以上のパッド213Pは、エンドエフェクタ、基板202、又はエンドエフェクタと基板202との両方に対するパッド213Pの位置及び/又は配向を変更するよう選択的に作動可能(例えば制御可能)であってもよい(例えば図6Cを参照。
同図では、角度β’が変更されるようにパッド640が配向640’へと回転可能である)。例えば、パッド213Pの位置及び/又は配向は、所定のパッド配向を有するあるエンドエフェクタを異なる所定のパッド配向を有する別のエンドエフェクタと交換することにより手動で変更されてもよいし、あるいは、パッド213Pは基板搬送部内の任意の適当な駆動部によって任意の適当な手法で(例えば直線的に又は回転可能に)移動されてもよい。
さらに別の態様においては、エンドエフェクタ213の一部は、少なくとも部分的に基板202をチャックに固定するための真空源(図示しない)を備えていてもよい。エンドエフェクタ213,213’の構成及び形状は例示的なものにすぎず、別の態様においてはエンドエフェクタは任意の適当な構成及び/又は形状を有していてもよい点に注意されたい。また、エンドエフェクタ213,213’は1つの基板202を保持するものとして構成され示されているが、別の態様においては、エンドエフェクタは、任意の適当な数の基板を、実質的に積み重ねられた構成(例えば実質的に垂直柱の形)及び実質的に並列の構成(例えば実質的に水平方向の列の形)のうち1つ以上の形で支持又は保持するよう構成されていてもよい点にも注意されたい。
図5Aも参照すると、支持パッド213Pの各々は、基板202を受動的にグリップするための所定の摩擦係数を提供するよう構成された所定の表面形状を備えていてもよい。この所定の摩擦係数は、1つ以上の方向における摩擦係数が他の方向におけるよりも大きくなるように所定の手法で方向的に可変であり、その結果(例えば基板202上の一般的な垂直力の単位から)対応するより大きな所定の摩擦力が生じて、基板202を摩擦係数のより大きな方向で受動的にグリップする。一態様においては、支持パッド213Pの所定の表面形状は、パッドを形成する材料(任意の適当な材料であってよい)に、(所定の表面形状を有さない同一の材料のパッドと比較して)より高い摩擦係数及びより低い接着力を提供すると同時に、(パッド213Pの材料の選択次第で)パッド213Pがより高い温度で動作できるようにしてもよい。本明細書において、パッド213Pは、該パッド213Pの表面の設計及び製造において方向性摩擦の原理又は「ゲッコー効果(Gecko effect)」を利用する方向性摩擦パッドと称されてもよい。
一態様においては、所定の表面形状は、小さくて非対称的又は対称的な毛状の特性の1つ以上のアレイ500を備えていてもよい。非限定的な一例として、アレイ500は、本明細書中ではまとめてナノ構造501と称される、任意の適当な整列されたマイクロスコピック又はナノスコピックな柱状体、あるいはナノチューブ(例えば単層ナノチューブ及び/又は多層ナノチューブ)又はナノロッド(例えば略固体の棒状ナノ構造)を含むがこれらに限定されない、任意の他の適当なマイクロスコピックな構造及び/又はナノスコピックな構造を備えていてもよい。
この実施態様においては、ナノ構造501は、基板202を水平方向に支持するために、Z軸に沿って実質的に垂直方向に整列されているが(図5A)、別の態様においては、ナノ構造501は、任意の適当な配向に基板を支持するか、そうでなければ保持するために、任意の適当な方向に整列されてもよい。例えば、別の態様においては、図5F,5G及び5Hを参照すると、ナノ構造501は、基板202を水平に支持するために、Z軸に対して任意の適当な量Ψだけ角度をつけられていてもよい。ナノ構造501は、後述するように、所定の軸又は摩擦/抵抗の方向に対しても角度をつけられていてもよいことに注意されたい。ナノ構造501は、ナノ構造501により、例えば基板202上において任意の適当な方向で提供される摩擦係数の直接変化に起因する可変摩擦力を提供してもよい。一態様においては、より高い摩擦係数に起因する増大した摩擦力の方向は、運動により生じる、より高い予想慣性負荷に対応するように選択される。
単なる例示の目的で図2Bを簡単に参照すると、同図では運搬ロボットが中心軸を中心として矢印299の方向に回転されるものであり、増大した摩擦力の方向は、矢印299の方向での移動中にパッド213P上に載置されている基板202にかかる予想慣性負荷に起因して、矢印298の方向であってもよい。例えば、この可変摩擦力は、後述するように各基板支持パッドの軸に沿っていてもよいし、あるいは任意の適当な方向であってもよい。別の態様においては、ナノ構造501は、可変摩擦力が2以上の方向で(例えば、方向が互いに対して角度をつけられている場合には2つ以上の軸に沿って)基板202に印加されてもよいように配置されてもよい。
単なる例示の目的で図5Hを簡潔に参照すると、一態様において、ナノ構造501は、基板支持パッド500からの接触が基板表面202Sに対して略垂直となるように、ナノ構造501の自由端501FEが、基板表面202Sと略一致する表面SPを有する基板接触/装着面SSを規定するよう配列されてもよい。別の態様においては、(表面SPを含む)装着面は、基板支持パッド213Pの外形が例えば基板支持パッド213Pと基板202と間の摩擦の方向に基板表面に対して角度をつけられるように、基板表面202Sに対して斜めに配置されてもよい。さらに別の態様においては、装着面は、支持パッド213Pの外形が基板支持パッド213Pと基板202との摩擦の方向に対して任意の適当な配向を有するように配置されてもよい。
アレイ500の各ナノ構造501は、以下で詳述されるように、炭素材料、プラスチック、カルレッツ4079、ステンレス鋼、アルミナ、石英、又は加えられる基板202の重量に対応して変形する(例えば、ナノ構造501は基板の重量によって偏向する。角度をつけられた柔軟なナノ構造を図示する図5K及び図5Lを参照)あるいは加えられる基板の重量に対応して変形しない(例えば、ナノ構造501は基板の重量によっては実質的に偏向しない。角度をつけられた実質的に非柔軟なナノ構造を図示する図5I及び図5Jを参照)任意の他の材料を含むがこれらに限定されない、任意の適当な材料から構成されてもよい。認識されるとおり、ナノ構造用に選択される材料は、搬送されている基板の温度に依存していてもよい。一例として、エンドエフェクタにより搬送される際の基板202の温度は、約250℃(又はそれ以下)乃至約800℃(又はそれ以上)であってもよい。エンドエフェクタが熱いすなわち高温の基板(例えば約300℃又はそれ以上の温度の基板)を搬送できるようにするためには、基板支持パッド213Pは、エンドエフェクタにより搬送されている基板(例えば、シリコンウエハ、ガラス基板、サファイア基板など)との間に高い摩擦係数を有し得る、耐高温性の材料から構成されてもよい。
図5I及び図5J(ならびに図5K及び図5L)も参照すると、ナノ構造501が、抵抗の方向Fc(例えば、それに沿ってナノ構造501により基板202に摩擦力が印加される軸の方向)に角度をつけられて図示されている。一態様においては、抵抗の方向Fcは最大抵抗の方向であってもよい。認識されるとおり、抵抗の方向Fcは、基板支持パッド及び基板202のうち1つ以上に対して、所定の単数又は複数の軸に沿って配列されてもよい。別の態様においては、ナノ構造501は、例えば抵抗の方向Fcとは略反対の方向など、任意の適当な方向に角度をつけられていてもよい。さらに別の態様においては、抵抗の方向Fcは、ナノ構造501の勾配の方向に対して角度をつけられていてもよい。ナノ構造501に角度/勾配をつけることによって各ナノ構造501と基板202との面接触面積を増大させてもよく、それによりナノ構造501と基板202との間の摩擦係数が高められ得る。一態様においては、アレイ500のナノ構造501は、アレイ500が印加される基板の重量に実質的に対応して変形しないように構成されてもよい。例えば、ナノ構造501は、基板202がナノ構造501上に載置される前後には、Z軸に対して実質的に角度/勾配αで配置されていてもよい。認識されるとおり、ナノ構造501はまた、図5Jに示すように、任意の適当な角度α’’だけ抵抗の軸599に対して角度をつけられていてもよい。
別の態様においては、図5K及び図5Lも参照すると、アレイ500のナノ構造501は、アレイ500が、ナノ構造501の歪み及び湾曲によって、加えられる基板の重量への高い対応変形性を示すように構成されてもよい。例えば、弛緩(例えばアンロード)状態のときには、ナノ構造501はZ軸に対して角度α(図5I)で配列されてもよい。ナノ構造501が柔軟性のナノ構造である場合には、アレイ500上に基板202をロードすると(例えば基板202がナノ構造と接触すると)、ナノ構造が、角度αがα’に変化するように、任意の適当な量だけ偏向してもよい。ナノ構造501の偏向又は湾曲の方向は、抵抗の方向Fcであってもよい(例えば、ナノ構造の偏向は、抵抗の方向へのナノ構造の勾配を増大させる)。別の態様においては、ナノ構造501の偏向は、抵抗の方向Fcと略反対の方向であってもよい。上述のとおり、ナノ構造501は、抵抗の軸599に対して、図5Lに示されるように任意の適当な角度α’’’だけ角度をつけられていてもよい。
一態様においては、ナノ構造の柔軟性によって、ナノ構造501と基板202の面(例えば底部及び又は端部)との実質的な界面接触が見込まれるとともに可能となってもよい。このナノ構造501と基板202との実質的な界面接触により、基板の面内(例えばX−Y面内。図3A,3Bを参照)で高い動摩擦がもたらされてもよい。基板202の重量がナノ構造501に及ぼす垂直な負荷は、ナノ構造の負荷周期の全体に亘って正であると思われる点にも注意されたい。例えば、アレイ500は、基板202が、アレイの垂直配列に対して略垂直(例えば基板213の表面又はX−Y面に対して略垂直)なエンドエフェクタ213の移動によって設置されるとき、基板202が適当な基板保持位置に設置されている間、圧縮を支持する一方で、実質的に全く引張抵抗(例えば、実質的に全く粘着力)を生じない。
ナノ構造アレイ500は、マイクロ鋳造又は他の成形工程、アーク放電、レーザ切断、高圧一酸化炭素、及び化学蒸着(CVD)を含むがこれらに限られない任意の適当な手法で、パッド213P上に、又は該パッド213Pと一体に、形成されてもよい。別の態様においては、ナノ構造アレイ500は、任意の適当な手法でパッド213Pに貼付された任意の適当な基板上に形成されてもよい。各ナノ構造501は、例えばテーパ状の先端510(図5B)、凸状の先端511(図5C及び図5G)、凹状の先端512(図5D)(又は他の丸みを帯びた先端)、略平坦な先端513(図5E及び図5F)、及びへら状もしくは張り出した先端(図5H)など、任意の適当な先端形状を有していてもよい。一態様においては、ナノ構造501の先端の形状は、ナノ構造アレイ500と基板202との間に最大限の面接触面積が提供されるように、基板202の重量によるナノ構造501の対応変形性の度合いに依存してもよい。別の態様においては、ナノ構造501の側部が(ナノ構造の先端と基板との接触に代わって又はそれに加えて)、上述のようなナノ構造501の柔軟性の結果として、少なくとも部分的に方向性摩擦を提供してもよい。
次に図6A及び6Bを参照すると、パッド213Pは、該パッドが基板202の平面又はパッド接触表面に沿ったいずれの方向でも高い摩擦係数を提供するように、協調的にエンドエフェクタ213上に配列されてもよい。一態様においては、摩擦力はパッド213Pにより1つ以上の方向で基板202に印加されてもよい。パッド213Pの各々の配向は、摩擦力が印加される所定の方向に基づいていてもよく、及び/又は所定の摩擦力プロファイルに基づいていてもよい。例えば、図6Aを参照すると、エンドエフェクタ(実質的にエンドエフェクタ213又は213’と同様であってもよい)は、エンドエフェクタの表面上に任意の適当な手法で配列された(又はエンドエフェクタと一体に形成された)3つの基板支持パッド601乃至603を有していてもよい。各基板支持パッド601乃至603は、パッド601乃至603のナノ構造501が実質的に各方向611乃至613に沿って摩擦力を提供するように、上述のパッド213Pと実質的に同様であってもよい。
同じように、図6Bを参照すると、別の態様においては、エンドエフェクタは4つの基板支持パッド620乃至623を有していてもよい。この態様においては、支持パッド620乃至623は略直交する対となって配列されており(例えばパッド620,622はパッド621,623に対して略直角に配列されている)、ここで、パッド620乃至623は各方向630乃至633に沿って摩擦力を提供する。摩擦力の方向611乃至613,630乃至633は双方向の矢印で図示されているが、個々のナノ構造501によって又はパッド500として集合的に提供される摩擦力は、単方向、双方向、及び/又は互いに対して角度をつけられた複数の方向に作用してもよい。また、パッド601乃至603,620乃至623は対称的に配列されているが、別の態様においては(後述するように)、パッドは非対称的に配列されてもよい点にも注意されたい。さらに別の態様においては、パッドは、対称と非対称とを組み合わせた配列を有していてもよい。
この態様においては、パッド601乃至603は長方形状を有し、摩擦力は実質的にパッドの長手軸に沿って提供される点に注意されたい。別の態様においては、ナノ構造501により提供される摩擦力は、パッドに対して任意の適当な一方向又は複数の方向の組み合わせで提供されてもよい。また、別の態様では、パッドは任意の適当な形状を有していてもよい点にも注意されたい。この態様においては、パッド601乃至603は、該パッドにより提供される摩擦力の方向611乃至613が互いに対して任意の適当な角度θで配置されるように配列されている。一態様においては、各パッドの力方向611乃至613は図6Aに示されるように変化し得る点に注意されたい。ここでは例えばパッド602と603とが角度θで配置され、パッド601がパッド602及び603に対して角度θ’で配置されている。別の態様においては、各パッドの各力方向間の角度は等角であってもよい。また、図6Aには3つのパッドが示されているが、別の態様においては任意の適当な数のパッドが用いられてもよいことも理解されるべきである。
基板支持パッド213P,601乃至603,620乃至623,640乃至643,650乃至655,670乃至675(図6A乃至6E)により提供される摩擦力の配列は、例えば、基板202がエンドエフェクタ213,213’により輸送される際に基板202に印加される加速度ベクトル(又は他の慣性因子)に依存していてもよい点に注意されたい。例えば、上述のように、各パッドは最大摩擦係数を発生可能な線形軸に沿った方向を有していてもよい。パッド213P,601乃至603,620乃至623,640乃至643,650乃至655,670乃至675は、その動作の組み合わせが、実質的に基板202の平面P(図3B)に沿って基板202に印加される加速度ベクトルを相殺できるように、又はそうでなければ該加速度ベクトルに対する高い摩擦係数を提供するように、エンドエフェクタ213,213’上に、互いに対して所定のパターンで配置されてもよい。基板202がエンドエフェクタ上で移動される際に該基板に作用する加速度は、約0.1g(又はそれ以下)乃至約0.3g(又はそれ以上)であってもよい点に注意されたい。
図6Bを参照すると、4つの基板支持パッド620乃至623が示されている。パッド620乃至623は、上述のパッド213Pと実質的に同様であってもよい。この態様においては、パッド620乃至623は、パッド620乃至623の各々の摩擦力方向630乃至633が略90度ずつ離隔するように配列されている。別の態様では、パッドは、基板202に印加される任意の適当な摩擦力を提供するために、任意の適当な配列を有していてもよい。
次に図6C乃至6Eを参照すると、基板支持パッド640乃至643,650乃至655,670乃至675の別の例示的な配列が、開示される実施形態の態様に従って図示されている。基板支持パッド640乃至643,650乃至655,670乃至675は、各パッドにより提供される摩擦力が各矢印644乃至647,656乃至661,676乃至681の方向に単方向又は双方向で印加され得る上述のパッドと実質的に同様であってもよい。上述したように、各基板支持パッド640乃至643,650乃至655,670乃至675により提供される摩擦力は2以上の方向に印加されてもよく、また、各矢印644乃至647,656乃至661,676乃至681に沿った印加に限定されない。
図6Cに見られるように、対で配列された4つの基板支持パッド640乃至643がある。別の態様では、対、他のグループ分け、あるいは単独で配列された任意の適当な数の基板支持パッドがあってもよい。パッド640,642は、それぞれ角度β’,β’’だけ軸XLに対して角度をつけられていてもよい。上述のように、角度β’,β’’は、パッド640,642の配向が変化するように調整可能/作動可能であってもよい。一態様においては角度β’,β’’は略同一であってもよいが、別の態様においては角度β’,β’’は互いに異なっていてもよい。パッド641,643は、軸XLに対して任意の適当な角度に配向され得る共通の軸XMに実質的に沿って配列されていてもよい。別の態様においては、パッド641,643は共通の軸に沿って配列されていなくてもよく、パッド640,642と任意の適当な角度関係を有していてもよい。
図6Dは基板支持パッド配列を図示しており、基板支持パッド650乃至655は放射状に配列されている。例えば、各パッドの摩擦力が印加される方向は、基板202の中心と一致する中心点CPに集中しても、中心点CPから分散しても、あるいは集中と分散との組み合わせであってもよい。6つの基板支持パッド650乃至655が図示されているが、別の態様においては任意の適当な数の基板支持パッドが提供されてもよいことが理解されるべきである。
認識されるとおり、基板支持パッドは、任意の適当な力プロファイル/方向が提供されるように配列されてもよい。例えば、図6Eはパッド670乃至675を有する基板支持パッド配列を図示しており、ここで各パッドの摩擦力は各方向に沿って(例えば各矢印676乃至681の方向で)印加される。認識されるとおり、各パッド670乃至675の各摩擦力方向は、パッド670乃至675のうちの別のパッドにより提供される1つ以上の他の摩擦力方向とは異なっていてもよい。一態様においては、1つ以上のパッド670乃至675が実質的に共通の方向に摩擦力を印加してもよい点に注意されたい。
図7A及び7Bを参照すると、一態様においては、エンドエフェクタ713,713’(エンドエフェクタ213,213’と実質的に同様であってもよい)は任意の適当な数の基板支持パッドを有していてもよく、各基板支持パッドは1つ以上の方向性パッドを含む。例えば、図7Aを参照すると、エンドエフェクタ713は3つの基板支持パッド701乃至703を備え、ここで各パッドは、パッド601乃至603と略同じように、あるいは上述の任意の他の適当な配置で配列され得る、3つの方向性パッド705乃至707を有している。図7Bを参照すると、エンドエフェクタ713’は、パッド620乃至623と略同じように、あるいは上述の任意の他の適当な配置で配列され得る4つの方向性パッド731乃至734を各々が有する、4つの基板支持パッド721乃至724を備えている。
別の態様においては、図8を参照すると、本明細書に記載の方向性摩擦パッドは、回転チャック810を採用する任意の装置などの回転装置800とともに使用されてもよい。回転チャック装置の一例は基板アライナである。一態様においては、チャック810は、上述のものと実質的に同様であってもよい任意の適当な数の基板支持パッド820を備えていてもよい。例示の目的で、図8には8つのみのパッド820が示されているが、チャック810が8つより多い又は少ないパッドを有していてもよいことは理解されるべきである。各パッド820は、チャック810の回転方向801に対して、各パッドのナノ構造501により提供される力方向が回転方向801の略接線方向となるように配列されてもよい。別の態様においては、パッド820は、各パッドの力の方向821が回転方向801に対して任意の適当な方向関係を有するように配列されてもよい。
開示される実施形態の1つ以上の態様によれば、基板を搬送するための基板搬送装置が提供される。この基板搬送装置は、フレームと、該フレームに接続された少なくとも1つの搬送アームと、該少なくとも1つの搬送アームに取付けられた少なくとも1つのエンドエフェクタと、該少なくとも1つのエンドエフェクタ上に配設された少なくとも1つの基板支持パッドとを備える。該少なくとも1つの基板支持パッドは、増大された摩擦係数から生じる増大された摩擦力を少なくとも1つの所定の方向に作用させる構成を有する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、力を基板に該基板の平面に略垂直な方向で実質的に提供することなく、方向性摩擦力を該基板の平面内で印加するように構成されている。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、少なくとも1つのエンドエフェクタの各々とモノリシックな構造を形成する。
開示される実施形態の1つ以上の態様によれば、摩擦力は方向性摩擦力であり、少なくとも1つの基板支持パッドの各々の摩擦力が作用する方向は、基板の平面に沿った該基板の加速度ベクトルに依存する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドの各々は少なくとも1つの方向性摩擦パッドを備え、各方向性摩擦パッドは、増大された摩擦係数から生じる増大された摩擦力を少なくとも1つの所定の方向に印加するよう構成された表面特徴を備える。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの方向性摩擦パッドは2つ以上の方向性摩擦パッドを備え、各方向性摩擦パッドの少なくとも1つの所定の方向は1つの共通点に集中する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは2つ以上の基板支持部を備え、各基板支持パッドの少なくとも1つの所定の方向は少なくとも1つのエンドエフェクタの各々の1つの共通点に集中する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドの各々は、摩擦力を提供するマイクロスコピックな構造及びナノスコピックな構造のうち1つ以上を備える。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、少なくとも1つの基板支持部の配向が1つの方向から別の方向へと変化するように制御可能である。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、加熱ウエハを支持するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板保持装置が提供される。この基板保持装置は、駆動部と、該駆動部と接続された基板支持部とを備える。該基板支持部は、増大された摩擦係数から生じる増大された摩擦力を少なくとも1つの所定の方向に作用させる構成を有する少なくとも1つの基板支持パッドを備えている。
開示される実施形態の1つ以上の態様によれば、駆動部は、回転軸を中心に基板支持部を回転させるよう構成されている。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドの各々の少なくとも1つの所定の方向は、基板支持部の回転に対して接線方向に配向される。
開示される実施形態の1つ以上の態様によれば、基板保持装置は駆動部に回転可能に結合された少なくとも1つのアームリンクを備え、少なくとも1つの基板支持部は該少なくとも1つのアームリンクに回転可能に結合される。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、力を基板に該基板の平面に略垂直な方向で実質的に提供することなく、方向性摩擦力を該基板の面内で印加するように構成される。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、少なくとも1つの基板支持部の各々とモノリシックな構造を形成する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドの各々は少なくとも1つの方向性摩擦パッドを備え、該少なくとも1つの方向性摩擦パッドの各々は、該少なくとも1つの基板支持パッドの各々に対して所定の方向で方向性摩擦力を印加するよう構成された表面特徴を備える。さらなる態様においては、少なくとも1つの方向性摩擦パッドは2つ以上の方向性摩擦パッドを備え、各方向性摩擦パッドの所定の方向は各方向性摩擦パッドの1つの共通点に集中する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは2つ以上の基板支持部を備え、各基板支持パッドの少なくとも1つの所定の方向は、該少なくとも1つの基板支持部の各々の1つの共通点に集中する。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドの各々は、摩擦力を提供するマイクロスコピックな構造及びナノスコピックな構造のうち1つ以上を備える。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、少なくとも1つの基板支持部の配向が1つの方向から別の方向へと変化するように制御可能である。
開示される実施形態の1つ以上の態様によれば、少なくとも1つの基板支持パッドは、加熱ウエハを支持するよう構成されている。
前述の記載は単に開示される実施形態の態様を説明するものであることが理解されるべきである。当業者であれば、開示される実施形態の態様から逸脱することなく、様々な代替案及び変形を考え出すことができる。したがって、開示される実施形態の態様は、添付の特許請求の範囲に該当するそのような代替案、変形及び変化のすべてを包含することを意図されている。また、互いに相違する従属請求項又は独立請求項に異なる特徴が記載されているという事実のみでは、これらの特徴の組み合わせが有利に用いられ得ないことを表すものではなく、そのような組み合わせは本発明の態様の範囲内にとどまる。

Claims (20)

  1. 基板を搬送するための基板搬送装置であって、
    フレームと、
    前記フレームに接続された少なくとも1つの搬送アームと、
    前記少なくとも1つの搬送アームに取付けられた少なくとも1つのエンドエフェクタと、
    前記少なくとも1つのエンドエフェクタ上に配設された少なくとも1つの基板支持パッドと、
    を備え、
    前記少なくとも1つの基板支持パッドは、方向によって摩擦係数が変化し、摩擦係数の増大により摩擦力の増大をもたらす構造を有し、
    少なくとも1つの所定の方向において、他の所定の方向の摩擦係数よりも大きい基板搬送装置。
  2. 前記少なくとも1つの基板支持パッドは、力を前記基板に前記基板の平面に略垂直な方向で実質的に提供することなく、方向性摩擦力を前記基板の平面内で印加するように構成されている、請求項1に記載の基板搬送装置。
  3. 前記少なくとも1つの基板支持パッドは、少なくとも1つのエンドエフェクタの各々とモノリシックな構造を形成する、請求項1に記載の基板搬送装置。
  4. 前記摩擦力は方向性摩擦力であり、前記少なくとも1つの基板支持パッドの各々の、増大された摩擦係数から生じる増大された摩擦力が作用する方向は、前記基板の平面に沿った前記基板の加速度ベクトルに依存する、請求項1に記載の基板搬送装置。
  5. 前記少なくとも1つの基板支持パッドの各々は少なくとも1つの方向性摩擦パッドを備え、各方向性摩擦パッドは、増大された摩擦係数から生じる増大された摩擦力を少なくとも1つの所定の方向に印加するよう構成された表面特徴を備える、請求項1に記載の基板搬送装置。
  6. 前記少なくとも1つの方向性摩擦パッドは2つ以上の方向性摩擦パッドを備え、各方向性摩擦パッドの前記少なくとも1つの所定の方向は1つの共通点に集中する、請求項5に記載の基板搬送装置。
  7. 前記少なくとも1つの基板支持パッドは2つ以上の基板支持部を備え、各基板支持パッドの前記少なくとも1つの所定の方向は少なくとも1つのエンドエフェクタの各々の1つの共通点に集中する、請求項1に記載の基板搬送装置。
  8. 前記少なくとも1つの基板支持パッドの各々は、前記摩擦力を提供するマイクロスコピックな構造及びナノスコピックな構造のうち1つ以上を備える、請求項1に記載の基板搬送装置。
  9. 前記少なくとも1つの基板支持パッドは、前記少なくとも1つの基板支持部の配向が1つの方向から別の方向へと変化するように制御可能である、請求項1に記載の基板搬送装置。
  10. 前記少なくとも1つの基板支持パッドは、加熱ウエハを支持するよう構成されている、請求項1に記載の基板搬送装置。
  11. 駆動部と、
    前記駆動部と接続された基板支持部と、
    を備え、
    前記基板支持部は、少なくとも1つの基板支持パッドを備え、
    前記少なくとも1つの基板支持パッドは、方向によって摩擦係数が変化し、摩擦係数の増大により摩擦力の増大をもたらす構造を有し、
    少なくとも1つの所定の方向において、他の所定の方向の摩擦係数よりも大きい基板保持装置。
  12. 前記駆動部は、回転軸を中心に前記基板支持部を回転させるよう構成されている、請求項11に記載の基板保持装置。
  13. 前記少なくとも1つの基板支持パッドの各々の少なくとも1つの所定の方向は、前記基板支持部の回転に対して接線方向に配向される、請求項12に記載の基板保持装置。
  14. 前記基板保持装置は前記駆動部に回転可能に結合された少なくとも1つのアームリンクを備え、前記少なくとも1つの基板支持部は前記少なくとも1つのアームリンクに回転可能に結合される、請求項12に記載の基板保持装置。
  15. 前記少なくとも1つの基板支持パッドは、力を基板に前記基板の平面に略垂直な方向で実質的に提供することなく、方向性摩擦力を前記基板の面内で印加するように構成される、請求項11に記載の基板保持装置。
  16. 前記少なくとも1つの基板支持パッドは、前記少なくとも1つの基板支持部の各々とモノリシックな構造を形成する、請求項11に記載の基板保持装置。
  17. 前記少なくとも1つの基板支持パッドの各々は少なくとも1つの方向性摩擦パッドを備え、各方向性摩擦パッドは、少なくとも1つの所定の方向で、増大された摩擦係数から生じる増大された摩擦力を印加するよう構成された表面特徴を備える、請求項11に記載の基板保持装置。
  18. 前記少なくとも1つの方向性摩擦パッドは、2つ以上の方向性摩擦パッドを備え、各方向性摩擦パッドの前記少なくとも1つの所定の方向は1つの共通点に集中する、請求項17に記載の基板保持装置。
  19. 前記少なくとも1つの基板支持パッドは2つ以上の基板支持パッドを備え、各基板支持パッドの前記少なくとも1つの所定の方向は、前記少なくとも1つの基板支持部の各々の1つの共通点に集中する、請求項11に記載の基板保持装置。
  20. 前記少なくとも1つの基板支持パッドの各々は、前記摩擦力を提供するマイクロスコピックな構造及びナノスコピックな構造のうち1つ以上を備える、請求項11に記載の基板保持装置。
JP2014547548A 2011-12-16 2012-12-17 搬送装置 Expired - Fee Related JP6282980B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161576450P 2011-12-16 2011-12-16
US61/576,450 2011-12-16
PCT/US2012/070095 WO2013090898A1 (en) 2011-12-16 2012-12-17 Transport apparatus

Publications (2)

Publication Number Publication Date
JP2015502667A JP2015502667A (ja) 2015-01-22
JP6282980B2 true JP6282980B2 (ja) 2018-02-21

Family

ID=48613270

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014547548A Expired - Fee Related JP6282980B2 (ja) 2011-12-16 2012-12-17 搬送装置

Country Status (7)

Country Link
US (3) US10137576B2 (ja)
EP (1) EP2791034B1 (ja)
JP (1) JP6282980B2 (ja)
KR (1) KR102135466B1 (ja)
CN (1) CN104271474B (ja)
TW (1) TWI615341B (ja)
WO (1) WO2013090898A1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111489987A (zh) * 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US9698035B2 (en) * 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
JP2016046521A (ja) * 2014-08-21 2016-04-04 日東電工株式会社 半導体搬送部材および半導体載置部材
JP2016046520A (ja) * 2014-08-21 2016-04-04 日東電工株式会社 半導体搬送部材および半導体載置部材
WO2016027600A1 (ja) * 2014-08-21 2016-02-25 日東電工株式会社 半導体搬送部材および半導体載置部材
WO2016027601A1 (ja) * 2014-08-21 2016-02-25 日東電工株式会社 半導体搬送部材および半導体載置部材
WO2016174565A1 (en) * 2015-04-26 2016-11-03 Frumkin Ted Greg Lee Gecko carrier
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
EP3341831A1 (en) * 2015-07-13 2018-07-04 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US10790181B2 (en) * 2015-08-14 2020-09-29 M Cubed Technologies, Inc. Wafer chuck featuring reduced friction support surface
JP2017175126A (ja) * 2016-03-18 2017-09-28 日東電工株式会社 搬送固定治具
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
JP6894034B2 (ja) * 2016-07-25 2021-06-23 株式会社アドテックエンジニアリング ワーク吸着保持方法、ワークステージ及び露光装置
US11020852B2 (en) * 2017-10-05 2021-06-01 Brooks Automation, Inc. Substrate transport apparatus with independent accessory feedthrough
KR102478384B1 (ko) * 2017-12-26 2022-12-16 주식회사 케이씨텍 기판 처리 장치
TW202401627A (zh) * 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
JP7143018B2 (ja) * 2018-05-30 2022-09-28 川崎重工業株式会社 箱詰装置
KR102078309B1 (ko) * 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
WO2020066571A1 (ja) * 2018-09-27 2020-04-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
JP6811794B2 (ja) 2019-02-22 2021-01-13 本田技研工業株式会社 人工表皮構造
CN110454554A (zh) * 2019-08-26 2019-11-15 苏州领裕电子科技有限公司 一种单轴折叠手臂直线模组
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
KR102432439B1 (ko) * 2019-12-23 2022-08-16 주식회사 아이에스케이 기판 이송 모듈용 슬립 방지 척
KR102104487B1 (ko) * 2019-12-23 2020-04-24 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20220081216A (ko) * 2020-12-08 2022-06-15 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드
CN114695216A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 传送晶圆的方法和机械手臂

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4824816B1 (ja) 1970-07-27 1973-07-24
KR100625485B1 (ko) * 1998-02-18 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템 내 웨이퍼 핸들러를 위한 엔드 이펙터
IL143467A (en) * 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
TW543079B (en) * 1999-06-03 2003-07-21 Applied Materials Inc Robot blade for semiconductor processing equipment
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6409452B1 (en) 2000-07-12 2002-06-25 Overhead Door Corporation Electrically actuated vehicle restraint apparatus
US7575406B2 (en) * 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7055875B2 (en) * 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
JP4299111B2 (ja) * 2003-11-18 2009-07-22 株式会社ディスコ 研削装置
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US7290813B2 (en) * 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US20080025822A1 (en) * 2006-04-17 2008-01-31 Sangbae Kim Device and method for handling an object of interest using a directional adhesive structure
US7717481B2 (en) * 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
JP4824816B2 (ja) 2007-06-13 2011-11-30 株式会社アルバック 基板支持機構
US20090065995A1 (en) * 2007-09-11 2009-03-12 Atmel Corporation Ambidexturous Shuttle Spoon
US20120315113A1 (en) 2010-02-05 2012-12-13 Tokyo Electron Limited Substrate holder, substrate transfer apparatus, and substrate processing apparatus

Also Published As

Publication number Publication date
US20140301818A1 (en) 2014-10-09
US10556351B2 (en) 2020-02-11
TW201341290A (zh) 2013-10-16
JP2015502667A (ja) 2015-01-22
US11420337B2 (en) 2022-08-23
WO2013090898A1 (en) 2013-06-20
US20190160690A1 (en) 2019-05-30
EP2791034A4 (en) 2015-07-29
CN104271474A (zh) 2015-01-07
KR102135466B1 (ko) 2020-07-17
US20200180163A1 (en) 2020-06-11
KR20140109953A (ko) 2014-09-16
US10137576B2 (en) 2018-11-27
EP2791034A1 (en) 2014-10-22
TWI615341B (zh) 2018-02-21
EP2791034B1 (en) 2021-01-27
CN104271474B (zh) 2018-01-09

Similar Documents

Publication Publication Date Title
JP6282980B2 (ja) 搬送装置
US9343350B2 (en) Anti-slip end effector for transporting workpiece using van der waals force
US9202738B2 (en) Pneumatic end effector apparatus and substrate transportation systems with annular flow channel
KR101475790B1 (ko) 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치
US7641247B2 (en) End effector assembly for supporting a substrate
US8752872B2 (en) Edge grip end effector
JP2017505994A (ja) 基板搬送装置
US10930542B2 (en) Apparatus for handling various sized substrates
US9812343B2 (en) Load station
US20210118719A1 (en) Dual Arm with Opposed Dual End Effectors and No Vertical Wafer Overlap

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170403

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170914

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180125

R150 Certificate of patent or registration of utility model

Ref document number: 6282980

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees