KR101475790B1 - 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치 - Google Patents

관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치 Download PDF

Info

Publication number
KR101475790B1
KR101475790B1 KR1020097024587A KR20097024587A KR101475790B1 KR 101475790 B1 KR101475790 B1 KR 101475790B1 KR 1020097024587 A KR1020097024587 A KR 1020097024587A KR 20097024587 A KR20097024587 A KR 20097024587A KR 101475790 B1 KR101475790 B1 KR 101475790B1
Authority
KR
South Korea
Prior art keywords
end effector
substrate
pads
centering
passive
Prior art date
Application number
KR1020097024587A
Other languages
English (en)
Other versions
KR20100023821A (ko
Inventor
앤토니 브이. 디벨라
데니스 풀
윌리암 포스나이트
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20100023821A publication Critical patent/KR20100023821A/ko
Application granted granted Critical
Publication of KR101475790B1 publication Critical patent/KR101475790B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)

Abstract

처리 툴을 위한 기판 이송 장치가 제공된다. 상기 장치는 구동부, 가동 암, 및 엔드 이펙터를 포함한다. 상기 암은 상기 구동부에 동작가능하게 연결된다. 상기 엔드 이펙터는 상기 처리 툴 내의 상기 기판을 홀딩하고 이송하기 위해 상기 가동 암에 연결된다. 상기 장치는 상기 엔드 이펙터에 연결되는 기판 관성 캡처 에지 그립을 포함하고, 상기 기판 관성 캡처 에지 그립은 상기 기판 관성 캡처 에지 그립이 기판 관성을 이용하여 상기 엔드 이펙터 위로 상기 기판의 캡처 및 센터링을 달성하도록 배열된다.

Description

관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치{Inertial wafer centering end effector and transport apparatus}
본 개시는 일반적으로 평평하고 얇은 피처리체들(work pieces)을 핸들링(handling)하고 이송하기 위한 장치에 관한 것이다. 더욱 구체적으로는, 반도체 웨이퍼들을 핸들링하고 이송하기 위한 기판 운반 장치에 연결된 엔드 이펙터(end effector)에 관한 것이다. 더더욱 구체적으로는, 반도체 웨이퍼를 수령(receiving), 캡처(capture), 및 센터링(centering)하기 위한 수동 에지 그립(passive edge grip)을 갖는 엔드 이펙터에 관한 것이다.
집적 회로들은 반도체 물질의 웨이퍼들로부터 생성된다. 웨이퍼들은 통상적으로 카세트(cassette) 내에 하우징 되며, (SEMI 표준에 명기된 바와 같이) 근접하게 이격된 하나 이상의 슬롯들을 갖는 캐리어(carrier)(예컨대, FOUP, SMIF 등) 내에서 지탱될 수 있으며, 각각의 슬롯은 웨이퍼를 수용할 수 있다. 카세트는 통상적으로 처리 스테이션(processing station)으로 이동되며, 여기서 웨이퍼들은 카세트로부터 제거되어, 전정렬기(prealigner)에 의해 소정의 방향으로 위치되거나 처리되고, 추가 처리를 위해 다른 위치로 반송된다.
처리 스테이션들 내에서, 그리고 처리 스테이션들 사이에서, 카세트로 웨이 퍼들을 이송하거나 카세트로부터 웨이퍼들을 이송하기 위한 다양한 타입의 웨이퍼 핸들링 장치들이 공지되어 있다. 웨이퍼를 제거하거나 삽입하기 위해 카세트 안으로 삽입되는 엔드 이펙터를 갖는 로봇 암(robotic arm)이 대부분 사용된다. 엔드 이펙터는 일반적으로 한 쌍의 인접한 웨이퍼들 사이의 좁은 틈을 통해 카세트 안으로 들어가고, 웨이퍼의 배면에 맞물림(engage)으로써, 카세트로부터 웨이퍼를 꺼낸다. 엔드 이펙터는 얇고 단단해야 하며, 카세트 내의 근접 이격된 웨이퍼들을 건들이지 않고 웨이퍼들 사이에 꼭 맞도록 매우 정확하게 위치될 수 있는 것이 바람직하다. 웨이퍼가 하나 이상의 처리 단계들을 거친 후에, 로봇 암은 웨이퍼를 카세트 안으로 다시 위치시킨다.
실리콘 웨이퍼를 엔드 이펙터에 센터링하고 캡처하기 위한 다양한 수단들이 고안되었다. 일부 캡처 시스템(capture system)들은 엔드 이펙터 상에 하나 이상의 기동가능한(actuable) 요소들을 갖는 능동 시스템(active system)들이다. 엔드 이펙터의 일 타입에서, 일련의 진공 흡입(suction) 패드들 또는 컵들은 웨이퍼를 엔드 이펙터에 방출가능하게 고정시키고 센터링시킨다. 흡입 패드들 또는 컵들은 웨이퍼의 배면과 접촉하여 핸들링 동안 기계적으로 단단하게 고정시킨다. 웨이퍼의 배면과 접촉하는 것은 웨이퍼의 배면을 오염시키고 (예컨대, 이송 중에) 적층된 다른 웨이퍼들의 처리된 표면들 위에 떨어질 수 있는 입자 물질을 야기할 수 있기 때문에 바람직하지 않다. 엔드 이펙터의 다른 타입에서, 수압 또는 기압 기동되는 암(arm)들 또는 핑거(finger)들을 사용하는 기계적 기동(actuation)이 핸들링 동안 웨이퍼를 기계적으로 고정시키는데 사용된다. 통상적으로, 기동되는 암들 또는 핑거들은 웨이퍼를 센터링 및 캡처하기 위해 웨이퍼의 둘레에 압축 힘(compressive force)을 가한다.
이러한 기계적 기동 및 진공-보조(vacuum-assisted) 장치들 및 엔드 이펙터들 상에 실리콘 웨이퍼들을 센터링 및 캡처하는 방법은 설계 관점에서 복잡하며, 따라서 설치하고 유지하는데 많은 비용이 든다. 또한, 진공 보조 방법은 웨이퍼의 부주의한 접촉 및 의도적인 맞물림이 입자들을 떨어뜨려 입자들이 다른 웨이퍼들 위로 떨어져 내려앉을 수 있기 때문에, 웨이퍼 배면 손상 및 다른 웨이퍼들의 오염을 야기할 수 있다. 웨이퍼 배면 손상은 스크래치들뿐만 아니라 웨이퍼 물질의 금속 및 유기 오염을 포함할 수 있다.
기계적으로 기동되는 에지 그립 엔드 이펙터들은 입자 오염의 양 및 웨이퍼 배면 손상을 최소화하지만, 웨이퍼를 정확하게 픽업(picking)하고, 센터링하고, 캡처하고, 이송하는데 어려움을 갖는다. 또한, 기계적으로 기동되는 에지 그립 엔드 이펙터들은 엔드 이펙터의 에지 영역을 손상시킬 수도 있다. 더구나, 기계적 엑튜에이터(actuator)들은 엔드 이펙터의 복잡도, 중량 및 측면 높이를 증가시킨다. 따라서, 기계적으로 기동되는 웨이퍼 그립을 갖는 엔드 이펙터들은, SEMI에 명기된 바와 같이 웨이퍼들 사이의 거리는 오직 약 5-10 mm 정도이기 때문에, 웨이퍼들이 저장되어 있고 수직으로 적층된 카세트들에 대해 차례로 처리하는 것은 어려울 수 있다. 또한, 진공 보조 및 기계적으로 기동되는 엔드 이펙터들, 및 상술된 방법들의 결과로서 야기되는 웨이퍼들의 오염, 스크래치, 및 손상은 낮은 생산 수율을 야기할 수 있으며, 그에 따라 제조비용을 증가시킬 수 있다.
따라서, 웨이퍼 표면의 오염 및 손상을 감소시키고 장치의 설계 복잡도를 감소시키며 카세트 내의 수직 유격(clearance)를 극대화하고 엔드 이펙터에 웨이퍼를 더욱 정확하고 효율적으로 센터링 및 캡처하는, 기판 이송 장치에 결합되는 개선된 엔드 이펙터가 요구된다.
예시적인 실시예에 따라서, 처리 툴을 위한 기판 이송 장치가 제공된다. 상기 장치는 구동부, 가동 암, 및 엔드 이펙터를 갖는다. 상기 암은 상기 구동부에 동작가능하게 연결된다. 상기 엔드 이펙터는 상기 처리 툴 내의 상기 기판을 홀딩 및 이송하기 위해 상기 가동 암에 연결된다. 상기 장치는 엔드 이펙터에 연결되는 기판 관성 캡처 에지 그립을 포함하며, 상기 기판 관성 캡처 에지 그립은 상기 그립이 기판 관성을 이용하여 상기 엔드 이펙터 위로 상기 기판의 캡처 및 센터링을 달성할 수 있도록 배열된다.
일 예시적인 실시예에서, 평평한 피처리체들을 위한 기판 이송 장치가 제공된다. 상기 장치는, 구동축을 포함하는 구동부; 상기 구동축에 연결되는 가동 암; 상기 가동 암에 연결되는 엔드 이펙터로서, 평평한 피처리체의 외주를 지지하기 위해 엔드 이펙터 블레이드에 장착되는 비대칭 전방 후방 패드들, 및 상기 전방 및 후방 패드들 상의 상기 평평한 피처리체를 매핑, 검출 및 포지셔닝하기 위해 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 포함하는 엔드 이펙터; 및 상기 구동부, 상기 가동 암, 상기 엔드 이펙터 및 상기 스루 빔 센서를 조정하기 위한 컨트롤러를 포함하며, 상기 엔드 이펙터는 상기 전방 및 후방 패드들의 상기 지지 표면들 상에서 기울어지고 편심된 위치의 상기 평평한 피처리체를 픽업한 후, 상기 엔드 이펙터의 운동에 의해 제공되는 측방향 힘을 통해 상기 전방 및 후방 패드들 사이의 상기 평평한 피처리체를 수평 상태로 동시에 센터링 및 캡처한다.
다른 예시적인 실시예에서, 평평한 피처리체들을 위한 기판 이송 장치가 제공된다. 상기 장치는, 구동축을 포함하는 구동부; 상기 구동축에 연결되는 둘 이상의 평행한 가동 암들; 상기 둘 이상의 가동 암들에 연결되는 둘 이상의 평행한 엔드 이펙터들로서, 평평한 피처리체의 외주를 지지하기 위해 엔드 이펙터 블레이드에 장착되는 비대칭 전방 및 후방 패드들, 및 상기 비대칭 전방 및 후방 패드들 상의 상기 평평한 피처리체를 매핑, 검출 및 포지셔닝하기 위해 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 각각 포함하는 둘 이상의 평행한 엔드 이펙터들; 및 상기 구동부, 상기 둘 이상의 평행한 가동 암들, 상기 둘 이상의 평행한 엔드 이펙터들 및 상기 스루 빔 센서들을 조정하기 위한 하나 이상의 컨트롤러들을 포함하며, 상기 평행한 엔드 이펙터들 중 하나 이상은 상기 전방 및 후방 패드들의 상기 지지 표면들 상에서 기울어지고 편심된 위치의 하나 이상의 평평한 피처리체들을 픽업한 후, 상기 하나 이상의 평행한 엔드 이펙터들의 운동에 의해 제공되는 측방향 힘을 통해 상기 전방 및 후방 패드들 사이의 상기 하나 이상의 평평한 피처리체들을 수평 상태로 동시에 센터링 및 캡처한다.
또 다른 예시적인 실시예에서, 평평한 피처리체들을 이송하는 방법이 제공된다. 상기 방법은, 구동축, 상기 구동축에 연결되는 가동 암, 상기 가동 암에 연결되며, 엔드 이펙터 블레이드에 장착되는 비대칭 전방 및 후방 패드들, 및 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 포함하는 엔드 이펙터, 및 상기 구동부, 상기 가동 암, 상기 엔드 이펙터 및 상기 스루 빔 센서를 조정하기 위한 컨트롤러를 포함하는 평평한 피처리체 이송 장치를 제공하는 단계; 상기 스루 빔 센서를 이용하여 상기 엔드 이펙터 블레이드의 상기 전방 및 후방 패드들의 위치에 대하여 상기 평평한 피처리체의 위치를 매핑하는 단계; 상기 엔드 이펙터 블레이드의 상기 전방 및 후방 패드들의 상기 지지 표면들 상에서 기울어지고 편심된 위치의 상기 평평한 피처리체를 픽업하는 단계; 상기 스루 빔 센서를 이용하여 상기 전방 및 후방 패드들의 상기 지지 표면들 상의 상기 평평한 피처리체의 1 mm 미만의 편심 반경을 검출하는 단계; 및 상기 전방 및 후방 패드들 사이의 상기 평평한 피처리체를 수평 상태로 동시에 센터링 및 캡처하기 위해 상기 평평한 피처리체에 측방향 힘을 제공하도록 상기 엔드 이펙터를 이동시키는 단계를 포함한다.
본원에 개시되는 기판 이송 장치 및 평평한 피처리체들을 이송하는 방법 및 이의 사용례/응용예로부터 수많은 장점들이 도출된다.
본 개시의 전술한 측면들 및 다른 특징들은 첨부한 도면들을 참조로 후술되는 설명에서 설명된다.
도 1은 예시적인 실시예에 따르는 특징들을 포함하는 기판 이송 장치의 사시도이다.
도 2a 및 2b는 본 개시의 기판 이송 장치의 특징들을 포함하는 반도체 툴 스테이션(tool station)을 도시한다.
도 3a는 포크(fork)형 디자인을 갖는 관성 웨이퍼 센터링 엔드 이펙터를 포함하는 본 개시의 실시예의 상부 사시도이다
도 3b는 포크형 디자인을 갖는 관성 웨이퍼 센터링 엔드 이펙터를 포함하는 본 개시의 실시예의 평면도이다.
도 4는 패들(paddle)형 디자인을 갖는 관성 웨이퍼 센터링 엔드 이펙터를 포함하는 반 개시의 실시예의 평면도이다.
도 5a는 관성 웨이퍼 센터링 엔드 이펙터의 실시예의 측면도이다.
도 5b는 평평한 피처리체가 픽업된 후에 중심이 어긋나고 빗나가게 위치하는 관성 웨이퍼 센터링 엔드 이펙터의 실시예의 측면도이다.
도 5c는 평평한 피처리체가 센터링된 후에 중앙에 수평하게 위치하는 관성 웨이퍼 센터링 엔드 이펙터의 실시예의 측면도이다.
도 6a는 관성 웨이퍼 센터링 엔드 이펙터의 다른 실시예의 측면도이다.
도 6b는 평평한 피처리체가 픽업된 후에 중심이 어긋나고 빗나가게 위치하는 관성 웨이퍼 센터링 엔드 이펙터의 다른 실시예의 측면도이다.
도 6c는 평평한 피처리체가 중앙에 수평하게 위치하는 관성 웨이퍼 센터링 엔드 이펙터의 다른 실시예의 측면도이다.
도 7a는 스루 빔 센서(thru beam sensor)를 위한 광섬유 라우팅 채널(fiber routing channel)을 도시하는 엔드 이펙터 블레이드 팁(blade tip)의 상면 설계도이다.
도 7b는 평평한 피처리체 및 이의 존재에 의해 인터럽트(interrupt)되는 스 루 빔 센서를 갖는 엔드 이펙터의 측면 설계도이다.
도 8은 초기 평평한 피처리체의 포지셔닝(positioning), 센터링 및 캡처를 위한 엔드 이펙터 지지 패드 및 표면들의 상부 사시도이다.
도 9a는 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치의 다른 실시예의 측면도이다.
도 9b는 도 9a의 후방 패드부의 확대 측면도이다.
도 9c는 도 9a의 전방 패드부의 확대 측면도이다.
도 10a는 관성 웨이퍼 센터링 엔드 이펙터의 후방 패드 어셈블리(rear pad assembly)의 실시예의 상부 사시도이다.
도 10b는 관성 웨이퍼 센터링 엔드 이펙터의 후방 패드 어셈블리(rear pad assembly)의 실시예의 하부 사시도이다.
도 10c는 관성 웨이퍼 센터링 엔드 이펙터의 후방 패드 및 후방 패드 암(rear pad arm)의 실시예의 상부 사시도이다.
도 11은 관성 웨이퍼 센터링 엔드 이펙터의 후방 패드 어셈블리의 다른 부분적인 상부 사시도이다.
본 개시는 실리콘 웨이퍼를 센터링 및 캡처하기 위한 엔드 이펙터를 갖는 기판 이송 장치에 관한 것이다. 엔드 이펙터 및 기판 이송 장치는 중심을 벗어나 어긋난 위치의 기판을 픽업(pick up)한 후, 기판의 관성 운동으로부터 상기 평평한 피처리체를 센터링하고, 수평으로 만들고, 캡처할 수 있는 엔드 이펙터 패드들을 갖는 관성 캡처 에지 그립을 제공하고 사용한다는 점에서 종래 기술과 구별될 수 있다. 본원에 개시되는 엔드 이펙터 및 기판 이송 장치는 종래 엔드 이펙터 및 이송 장치에 비해 주목할 만한 장점들을 제공한다. 아래에서 자세히 설명될 것이다.
본 개시의 특징들을 포함하는 기판 이송 장치(100)와 기판(S)이 도 1에 도시된다. 도면에 도시된 예시적인 실시예들을 참조로 본 개시가 설명될 것이지만, 본 개시가 다양한 대체가능한 타입의 실시예로 구현될 수 있음을 이해하여야 한다. 또한, 임의의 적절한 크기, 모양 또는 타입의 요소들 또는 물질들이 사용될 수 있다.
도 1을 참조하면, 이송 장치(100)는 필요에 따라 예컨대 (도 1에서 화살표 Z, θ, T로 표시되는) 적어도 3개의 축을 따라 평평한 피처리체 또는 기판(S)을 이동시킬 수 있다. 평평한 피처리체는 예시적인 피처리체로서, 오로지 예시적인 목적으로 원형 외주를 갖는 것으로 도시된다. 피처리체(S)는, 예컨대, 반도체 소자의 제조에 사용되는 200mm, 300mm, 400mm 또는 임의 크기의 반도체 웨이퍼, 마스크 또는 레티클과 같은 반도체 리소그래피 패널(panel) 또는 평판 디스플레이와 같은 임의의 평평한 피처리체일 수 있다. 도 1에 도시된 실시예에서, 이송 장치(100)는 범용 SCARA(selective compliant articulated robot arm) 구성을 갖는 가동 암(movable arm)(44)을 갖는다. 대안적인 실시예들에서, 이송 장치는 임의의 적절한 타입의 다관절 암(articulated arm)(예컨대, frogleg)을 갖거나, 다관절 암을 갖지 않는, 다른 적절한 구성을 가질 수도 있다.
도 1에서 일반적으로 도시된 바와 같이, 본 실시예에서 가동 암(44)은 상부 암(upper arm)(60), 포어암(forearm)(62) 및 엔드 이펙터(64)를 포함하는 스카라(scara) 타입의 암이다. 대안적인 실시예들에서, 임의의 적절한 타입의 이송 암이 사용될 수 있다. 상부 암(60)과 포어암(62)은 피봇으로(pivotally) 직렬로 연결된다. 상부 암(60)은 구동부(drive section)(42)에 연결된다. 본 실시예에서, 이송 장치(100)의 구동부(42)는 피처리체 워크스테이션(미 도시)의 프레임(미 도시)에 고정되어 장착될 수 있다. 대안적인 실시예들에서, 구동부(42)는 장치의 프레임에 대하여 수평 평면으로 운동할 수 있는 카(car) 상에 장착될 수 있다. 구동부(42)는 3개의 축(Z, θ, T)을 따라 가동 암(44)을 운동시킬 수 있는 3축 구동부일 수 있다. 구동부(42)는 가동 암(44)을 수직으로 상승 및 하강(즉, "Z" 축을 따라 운동)시키기에 적합한 구동기(미 도시)를 포함할 수 있다. 또한, 구동기(42)는 회전 축(θ)을 중심으로 가동 암(44)을 이동(즉, θ 운동)시키고, 방사 축(T)을 따라 암을 연장시키거나 수축시키기 위해(즉, T 운동), 상부 암(60), 포어암(62) 및 엔드 이펙터(64)를 회전시키기 위한 동축(co-axial) 구동 어셈블리(미 도시)를 포함할 수 있다.
동축 구동 어셈블리(co-axial drive assembly)의 적절한 예는 미국 특허 제5,899,658호에 개시되며, 이의 전체 내용은 본원에 참조로서 포함된다. 대안적인 실시예들에서, 동축 구동기는 θ 운동과 T 운동 모두를 만들기 위해 가동 암을 이동시킬 수 있는 임의의 적절한 구동기일 수 있다. 컨트롤러(400)는 구동부(42)에 연결되어, 암 운동들 및 이에 대응하는 엔드 이펙터(64)의 위치를 제어한다.
도 1에 도시된 바와 같이, 본 실시예에서, 엔드 이펙터(64)는 포어암(62)의 단부에 결합되도록 구성되며 안정된 방식으로 피처리체(S)를 지지하도록 적응된다. 엔드 이펙터(64)는 결합부(attachment)(4) 및 원위 단부(distal end)(5)와 함께 프레임(3)을 갖도록 구성된다. 피처리체는 원주 에지(circumferential edge)(6)를 따라 피처리체(S)와 접촉하도록 위치된 지지 패드들(support pads)(미 도시) 상에서 아래로부터 지지된다.
도 2a 및 2b를 참조하면, 도 1의 기판 이송 장치는 예시적인 실시예에 따라서 반도체 처리 툴 스테이션(190)에 포함될 수 있다. 반도체 처리 툴이 도 2a 및 2b에 도시되었지만, 본원에 개시된 기판 이송 장치는 로봇 매니퓰레이터(robotic manipulator)들을 이용하는 어떠한 툴 스테이션 또는 어플리케이션에 적용될 수 있다. 본 예시적인 실시예에서, 툴(190)은 클러스터 툴(cluster tool)로 도시되지만, 예시적인 실시예는 예컨대 선형 툴 스테이션(linear tool station)과 같은 어떠한 적절한 툴 스테이션에 적용될 수 있다. 툴 스테이션(190)은 일반적으로 대기 프론트 엔드(atmospheric front end)(101), 진공 로드락(vacuum load lock)(110) 및 진공 백 엔드(vacuum back end)(120)를 포함한다. 대안적인 실시예들에서, 툴 스테이션은 임의의 적절한 구성을 가질 수 있다. 프론트 엔드(101), 로드락(110) 및 백 엔드(120)의 각각의 구성요소들은 컨트롤러에 연결될 수 있으며, 컨트롤러는 예컨대 클러스터형 아키텍처 컨트롤(clustered architecture control)과 같은 임의의 적절한 컨트롤 아키텍처의 일부분일 수 있다. 컨트롤 시스템은 마스터 컨트롤러, 클러스터 컨트롤러들 및 독립 원격 컨트롤러들을 갖는 폐루프(closed loop) 컨트롤러일 수 있다. 대안적인 실시예들에서, 임의의 적절한 컨트롤러가 사용될 수 있다.
예시적인 실시예들에서, 프론트 엔드(101)는 일반적으로 장비 프론트 엔드 모듈(EFEM; equipment front end module)과 같이 로드 포트 모듈(load port module)들(105) 및 소형-환경부(mini-environment)(160)를 포함한다. 로드 포트 모듈들(105)은 300mm 로드 포트들, 전방 오프닝(front opening) 또는 하부 오프닝(bottom opening) 박스들/포드들 및 카세트들(150)을 위한, SEMI 표준들 E15.1, E47.1, E62, E19.5 또는 E1.9를 충족하는 BOLTS(box opener/loader to tool standard) 인터페이스들일 수 있다. 대안적인 실시예들에서, 로드 포트 모듈들은, 200mm 웨이퍼 인터페이스 또는 예컨대, 더 크거나 더 작은 웨이퍼들 또는 평판 디스플레이용 평판과 같은 임의의 다른 적절한 기판 인터페이스로 구성될 수 있다. 도 2a에 2개의 로드 포트 모듈들이 도시되어 있지만, 임의의 적절한 개수의 로드 포트 모듈들이 프론트 엔드(101)에 포함될 수 있다. 로드 포트 모듈들은 오버헤드 이송 시스템, 자동 유도 운반기(automated guided vehicle), 수동 유도 운반기(person guided vehicle), 레일 유도 운반기(rail guided vehicle)로부터, 또는 임의의 다른 적절한 이송 방법으로부터 기판 캐리어들 또는 카세트들(150)을 수령하도록 구성될 수 있다. 로드 포트 모듈들(105)은 로드 포트들(140)을 통해 소형-환경부(160)와 인터페이스 할 수 있다. 로드 포트들(140)은 기판 카세트들(150)과 소형-환경부(160) 사이에서 기판들이 이동할 수 있도록 할 수 있다. 소형-환경부(160)는 일반적으로 도 1에 도시된 기판 이송 장치(100)를 포함한다. 소형-환경부(160)에는 다수의 로드 포트 모듈들 간의 기판 이송을 위한 제어된 클린 존(controlled clean zone)이 제공될 수 있다.
진공 로드락(110)은 소형-환경부(160)와 백 엔드(120) 사이에 위치하며 이들과 연결될 수 있다. 로드락(110)은 일반적으로 대기 슬롯 밸브(atmospheric slot valve)와 진공 슬롯 밸브(vacuum slot valve)를 포함한다. 슬롯 밸브들은 대기 프론트 엔드로부터 기판을 로딩한 후 로드락을 배기(evacuate)하고, 질소와 같은 비활성 가스로 로드락을 벤팅(venting)할 때 이송 챔버 내의 진공을 유지하는데 사용되는 환경 분리(environmental isolation)를 제공할 수 있다. 또한, 로드락(110)은 처리를 위해 기판의 기준점(fiducial)을 원하는 위치에 정렬시키기 위한 정렬기(310)를 포함할 수 있다. 대안적인 실시예들에서, 진공 로드락은 처리 장치의 임의의 적절한 위치에 위치될 수 있으며, 임의의 적절한 구성을 가질 수 있다.
진공 백 엔드(120)는 일반적으로 이송 챔버(125), 하나 이상의 처리 스테이션(들)(130) 및 도 1에 도시된 바와 같은 기판 이송 장치(100)를 포함한다. 백엔드 기판 이송 장치(220)는 로드락(110)과 다양한 처리 스테이션들(130) 간에 기판들을 이송하기 위해 이송 챔버(125) 내에 위치될 수 있다. 처리 스테이션들은 기판들 상에 전기 회로 또는 다른 원하는 구조물들을 형성하기 위해 다양한 증착, 식각 또는 다양한 종류의 처리들을 통해서 기판들을 처리할 수 있다. 한정되지 않는 예시적인 처리들은 식각, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 이온 주입, 메트롤로지(metrology), 급속 열 처리(RTP), 및 건식 스트립핑(dry stripping)을 포함한다. 처리 스테이션들은 기판들이 이송 챔버(125)로부터 처리 스테이션들(130)로, 또는 반대로 전달될 수 있도록 이송 챔버(125)에 연결된다.
도 3a 및 3b를 참조하면, 본원에 개시되는 엔드 이펙터(64)의 일 예시적인 실시예는 포크형 엔드 이펙터 블레이드(8)를 포함할 수 있다. 엔드 이펙터는 결합부(4)를 통해 기판 이송 장치의 포어암(62)에 연결될 수 있다. 엔드 이펙터(64)는 결합부(4)와 원위 단부(5)를 갖는 프레임을 갖도록 구성된다. 엔드 이펙터(64)는 평평한 피처리체를 센터링 및 캡처하기 위해 포크형 블레이드(8)에 부착된 3개의 경계 패드들(perimeter pads)(22, 32a, 32b)을 가질 수 있다. 일 예시적인 평평한 피처리체는 실리콘 웨이퍼(S)이며, 본원에서 반도체 웨이퍼 또는 기판으로 언급될 수도 있다. 실리콘 웨이퍼(S)는 (본원에서 지지 패드들로 언급될 수도 있는) 상기 패드들(22, 32a, 32b)에 의해 엔드 이펙터 블레이드 상에 지지되며, 상기 패드들(22, 32a, 32b)은 웨이퍼(S)의 원주 에지(6)와 접촉하도록 엔드 이펙터 블레이드(8) 상에 위치된다. 예시적인 실시예에서 도시된 바와 같이, 2개의 전방 지지 패드들(32a, 32b)은 엔드 이펙터(64)의 원위 단부(5)에서 엔드 이펙터 블레이드 팁들(12a, 12b)에 부착되며, 하나의 후방 지지 패드(22)는 후방 결합부(4) 근처에서 엔드 이펙터 블레이드(8)에 부착된다. 대안적인 실시예들에서, 에지 그립 패드들은 임의의 원하는 구성으로 배열될 수 있다. 패드들(22, 32a, 32b)의 설계도는 아래에서 더욱 자세히 설명될 것이다. 전방 패드들(32a, 32b)과 후방 패드들(22) 사이에 중앙점(9)이 위치하며, 이것은 예시적인 실시예에서 센터링 위치를 의미하며, 기판(S)은 상기 센터링 위치를 기준으로 관성 캡처 센터링 그립에 의해 센터링될 수 있으며, 이에 대해서는 아래에서 설명될 것이다.
다시 도 3a 및 3b를 참조하면, 엔드 이펙터(64)의 예시적인 실시예는 매핑 및 웨이퍼 존재 센서(mapping and wafer presence sensor)(14)도 포함한다. 예시적인 실시예에서, 센서(14)는 엔드 이펙터 블레이드 팁들(12a, 12b)에 포함되는 적절한 소스(14a)와 적절한 소스 검출기(14b)를 포함하는 스루 빔 센서(14)이다. 스루 빔 센서(14)는, 기판 이송 장치에 의해 핸들링되기 전에 캐리어 또는 카세트(예컨대 도 2A, 2B의 캐리어들(150)) 내의 실리콘 웨이퍼들(S)을 매핑하고, 엔드 이펙터 블레이드(8) 상의 실리콘 웨이퍼(S)의 존재를 검출하고, 실리콘 웨이퍼(S)가 엔드 이펙터(64)의 전방 및 후방 패드들(22, 32a, 32b) 상의 적절한 위치에 위치하는지를 결정하는데 사용될 수 있다. 본 개시의 스루 빔 센서(14)의 다기능 성능은 별도의 웨이퍼 존재 센서에 대한 필요를 제거하며, 그에 따라 엔드 이펙터의 디자인을 단순화 한다. 따라서, 단순화된 디자인은 본원에 개시되는 관성 웨이퍼 센터링 엔드 이펙터들에 관련된 제조 및 유지비용을 감소시킨다. 대안적인 실시예들에서, 상기 매핑 및 존재 센서는 임의의 적절한 타입의 센서일 수 있다.
본원에 개시되는 관성 웨이퍼 센터링 엔드 이펙터들은 다양한 모양들을 갖는 엔드 이펙터 블레이드들과 함께 사용될 수 있다. 도 4를 참조하면, 도시된 바와 같이, 엔드 이펙터의 다른 예시적인 실시예는 패들형 엔드 이펙터 블레이드(8)를 가질 수 있다. 패들형 엔드 이펙터(64)의 경우, 전방 지지 패드들은 웨이퍼(S)의 원주 에지(6)와 접촉하기 위해서 엔드 이펙터 블레이드(8)의 전방 단부 근처에 부착된다. 웨이퍼 존재 센서(미 도시)는 엔드 이펙터(64) 상의 웨이퍼(S)의 존재를 검출하기 위해서 엔드 이펙터 블레이드(8)의 전방에 포함되도록 재포지셔닝 될 수 있다. 별도의 매핑 센서(미 도시)도 패들형 엔드 이펙터 디자인[r1]과 함께 사용 될 수 있다.
도 5a, 5b 및 5c를 참조하면, 본원에 개시되는 관성 웨이퍼 센터링 엔드 이펙터의 지지 패드 디자인의 일 예시적인 실시예가 설명될 것이다. 엔드 이펙터(64)는 비대칭적인 전방 지지 패드들(32a, 32b) 및 후방 지지 패드(22)를 갖는 엔드 이펙터 블레이드(8)를 포함한다. 후방 패드와 비교하여 비대칭적인 전방 패드들은 2개의 전방 패드들이 후방 패드와 다른 디자인을 갖는다는 것을 의미한다. 상술된 바와 같이, 후방 패드(22)는 엔드 이펙터(64)의 결합 단부(4) 쪽에 위치하고, 전방 패드들(32a, 32b)은 엔드 이펙터(64)의 원위 단부(5) 쪽에 위치한다. 전방 및 후방이란 용어는 (예컨대 축들(T, θ, Z)을 따라) 엔드 이펙터가 이동하는 관점에서 엔드 이펙터의 위치적 참조를 설명하기 위해 편의상 사용되며, 엔드 이펙터가 (예컨대, 화살표(T)로 지시되는 방향으로) 전진하는 경우에는 주어진 위치에 전방이 도달한 후 후방이 도달하며, (예컨대 화살표(T)의 반대 방향으로) 복귀하는 경우에는 주어진 위치에 후방이 도달한 후 전방이 도달한다. 또한, 개의 전방 패드들(32a, 32b)과 하나의 후방 패드(22) 사이에 중앙 선(9)이 표시된다. 지지 표면들(23, 33)은 본원에서 평평한 피처리체의 원주 에지(6)가 각각 후방 및 전방 패드들(22, 32a, 32b)과 접촉하는 표면들로 정의된다. 대안적인 실시예들에서, 패드들은 관성 센터링 및 캡처를 달성하기 위해 임의의 다른 바람직한 방향으로 위치될 수 있다.
도 5a는 평평한 피처리체가 없는 전방 및 후방 패드들을 도시한다. 예시적인 실시예에서, 2개의 전방 패드들(32a, 32b)은 서로 동일할 수 있으며, 상기 패드 들의 지지 표면들(33a, 33b)을 위해 γ의 입사각을 갖는 일반적인 웨지(wedge) 모양을 갖는다. 전방 패드들(32a, 32b)의 입사각(γ)은 약 5° 내지 약 70°, 또는 약 20° 내지 약 60°, 또는 약 30° 내지 약 50°의 범위일 수 있으며, 본 예시적인 실시예에서, 입사각(γ)은 약 8°이다. 2개의 전방 패드들(32a, 32b)의 각진(angled) 지지 표면들(33a, 33b)은 초기 포지셔닝(positioning) 동안 웨이퍼와 접촉하고 이를 지지하고, 엔드 이펙터(64) 상에 평평한 피처리체 또는 웨이퍼의 캡처를 달성하도록 기능하기 위해 사용되는 접촉 및 슬라이딩(sliding) 또는 경사(ramp) 표면을 제공한다. 패드들은 관성 센터링 및 캡처가 달성되는 동안 경사 표면(들)(33a, 33b) 위에서 피처리체의 주변 에지(peripheral edge)가 실질적으로 자유롭게 슬라이딩할 수 있도록 하는 적절히 매끄러운 성질을 갖는, 예컨대, PVC 또는 DELRINTM과 같은 적절한 물질로 만들어질 수 있으며, 이에 대해서는 아래에서 설명된다. 예를 들면, 웨이퍼가 엔드 이펙터(64) 및 기판 이송 시스템(100)(도 1 참조)에 의해 캐리어, 카세트 또는 처리 위치(예컨대, 카세트(150), 로드락들, 처리 모듈들(130))로부터 픽업되면, 초기 픽업 위치의 웨이퍼들의 주변 에지는 경사 표면 상에 안착할 수 있다. 전방 패드들(32a, 32b)의 웨지형 경사 표면들(33a, 33b)은 엔드 이펙터(64)가 운동하는 동안 평평한 피처리체의 인도 에지(leading edge)가 슬라이딩하여 패드들 사이에 고정 또는 캡처되도록 한다. 또한, 경사 표면들은 웨이퍼의 센터링을 달성할 수 있다. 패드들(32a, 32b)은 각각 버퍼 표면들(35a, 35b)을 가질 수 있으며, 상기 버퍼 표면들(35a, 35b)은 상기 경사 표면 상에서 웨이퍼 슬라이딩을 멈추게 하고, 엔드 이펙터 상에 웨이퍼를 캡처하도록 웨이 퍼의 원주를 고정시키도록 기능한다. 버퍼 표면(35a, 35b)은 경사 표면과 버퍼 표면 사이에 웨이퍼 주변 에지의 일부분을 붙잡기 위해서 실질적으로 수직으로 배향될 수 있으며, 또는 안쪽으로 (중앙 선(9)을 향하여) 기울어지거나 경사질 수 있다. 본 예시적인 실시예에서, 패드들(32a, 32b)의 높이는, 패드들(32a, 32b)의 위치에서 엔드 이펙터의 단면 높이가 충분한 유격을 가지면서 트랙킹된(tracked) 기판들 사이의 SEMI 명시 간격보다 작아서 스택된 기판들 사이에 엔드 이펙터가 자유롭게 삽입될 수 있게 하는 크기일 수 있다.
다시 도 5a를 참조하면, 본 예시적인 실시예에서, 후방 패드(22)도 웨지 모양을 가질 수 있지만, (평면을 기준으로) 각각 α와 β의 입사각을 갖는 2개의 각진 지지 표면들(23a, 23b)을 포함한다. 일반적으로, 입사각(β)은 입사각(α)보다 크다. 가파른 입사각(β)을 갖는 경사 표면(23b)은 중앙 선(9)에 가깝게 위치되며, 약 30° 내지 약 80°의 범위일 수 있으며, 본 예시적인 실시예에서, 입사각(β)은 약 74°이다. 입사각(α)을 갖는 얕은 표면(23a)은 결합 단부(4)에 가깝게 위치되며, 약 10° 내지 약 70°의 범위일 수 있으며, 본 예시적인 실시예에서, 입사각(α)은 약 45°이다. 후방 패드(22)의 각진 지지 표면들(23a, 23b)은 엔드 이펙터(64) 상에 평평한 피처리체를 초기에 포지셔닝, 캡처, 및 센터링하는데 이용되는 접촉 및 슬라이딩 또는 경사 표면들을 제공한다. 더욱 구체적으로, 후방 패드(22)는 평평한 피처리체가 엔드 이펙터(64) 및 기판 이송 시스템(100)에 의해 캐리어, 카세트, FOUP 또는 처리 위치 또는 스테이션(미 도시)로부터 픽업될 때, 상기 평평한 피처리체의 트레일링 에지(trailing edge)를 캡처 및 센터링하는데 이용 된다. 일반적으로, 후방 패드(22)의 결합 단부(4)에 가깝게 위치한 경사 표면(23A)은 픽업 동안 임의의 원하는 편심 반경(eccentricity)뿐만 아니라 (웨이퍼 스테이션 도는 카세트에서의 웨이퍼의) SEMI 명시 웨이퍼 위치 범위를 수용할 수 있는 크기일 수 있으며, 그에 따라 초기 포지셔닝 동안, 기판이 엔드 이펙터(64)에 의해 픽업될 때 기판 에지가 경사 표면(23A) 상에 초기에 안착할 것을 보장할 수 있다. 따라서, 경사 표면(23A)은 관성 캡처 그립의 캡처 범위(capture range; CR)를 정의할 수 있다. 도시된 본 예시적인 실시예에서, 경사 표면(23A)은 패드들(32a, 32b)의 경사 표면(들)(33a, 33b)보다 위에 위치될 수 있으며, 그에 따라, 경사 표면들(23A, 33a, 33b)과 접촉하는 주변 에지들을 갖는 기판이 경사 표면(23A) 상의 부분이 경사 표면(들)(33a, 33b) 상의 부분보다 높이 위치하도록 경사지게 되는 것을 이해할 수 있을 것이다. 더구나, 본 예에서, 후방 경사 표면(23A)과 전방 경사 표면들(33a, 33b)의 각각 다른 입사각들(α, γ)(예컨대, 후방 경사는 전방 경사에 비해 더욱 가파르게 기울어짐)로 인하여, 후방 경사 표면(23A)이 기판을 전방으로 가압하는 기판에 대한 무보상 힘 성분(uncompensated force component)을 생성할 수 있다는 것을 이해할 수 있을 것이다. 중앙 선(9)에 더 가깝게 위치하는 지지 표면(23B)은 엔드 이펙터(64)의 운동 후에, 기판 에지의 최종 포지셔닝을 위해 센터링 범위를 한정하는데 사용될 수 있으며, 이에 대해서는 아래에서 더욱 상세히 설명될 것이다. 이해할 수 있을 것이지만, 전방 및 후방 패드들(32a, 32b, 22)은, 버퍼들(34a, 35b)에 기댄 주변 에지를 갖는 캡처된 기판이, 오직 에지 접촉에 의해 실질적으로 수평 상태로 기판을 고정시키는 위치에서 패 드(22)의 캡처 표면(23B)과 접촉하도록, 서로에 대해 위치될 수 있다. 또한, 패드들은 캡처된 기판이 예컨대 센터링 위치(9)에 대해 센터링되도록 위치된다.
도 5b는 예컨대 카세트, 캐리어, FOUP 또는 처리 위치 또는 스테이션(도 2a-2b 참조)으로부터 초기 픽업된 평평한 피처리체(S)를 갖는 전방 및 후방 패드들을 도시한다. 평평한 피처리체(S)는 예컨대 전방 및 후방 패드들(32a, 32b, 22) 사이의 편심 위치(eccentric position)(기판 중심(SC)이 센터링 위치(9)로부터 이격될 수 있음)로 초기에 픽업될 수 있다. 본 예시적인 실시예에서, 초기 픽업의 기판 편심 반경(eccentricity)은 약 1.0mm일 수 있으며, 기판 스테이션에서 기판의 임의의 위치 변동을 극복할 수 있기에 충분하다. 대안적인 실시예에서, 임의의 원하는 편심 반경이 사용될 수 있다. 패드(22)를 향한 방향의 편심 반경(e)은 초기 픽업 동안 기판의 주변 에지가 경사 표면(23A)과 접촉하게 한다. 전술된 바와 같이, 이러한 위치에서, 기판의 주변 에지가 경사 표면들(33a, 33b) 상에 놓이며, 기판은 도시된 바와 같이 비뚤어질 수 있다. 스루 빔 센서(도 3a 참조) 및 컨트롤러(200)(도 2a 참조)는 본 예에서 도시된 기울어지고 편심된 위치의 기판(S)을 픽업하도록 엔드 이펙터(64)를 가이드(guide)하는데 사용될 수 있다.
도 5c는, 지지 패드들(22, 32a, 32b) 사이에서 기판(S)을 재포지셔닝(repositioning)하는데 사용되는, 예컨대 엔드 이펙터(64)의 운동에 의한 기판의 관성 재포지셔닝 후의 평평한 피처리체 또는 기판(S)을 갖는 전방 및 후방 패드들(32a, 32b, 22)을 도시한다. 이해할 수 있을 것이지만, 엔드 이펙터를 이용한 기판 픽업에 의해 생겨난 편심 위치에서, 기판(S)은 본 예시적인 실시예에서 기 판(S)이 놓인 경사 표면들(23, 33a, 33b)의 기하 구조(geometry)로 인하여, 오직 정적으로 정적 평형 상태(static equilibrium)일 수 있다. 따라서, 본 예시적인 실시예에서, 경사 표면들의 기하 구조는 원하는 크기의 관성 외란(disturbance)과 조합하여 기판을 센터링된 위치뿐만 아니라 캡처된 위치로 가이드하기 위해 정적 하중(static force)들을 압도하도록 기능한다. 예를 들면, 엔드 이펙터(64)가 예컨대 수평 평면 상에서 기판 이송 장치(도 1 참조)에 의해 이동될 때, 예컨대, 엔드 이펙터(64)의 가속에 의해 생성된 관성력은 기울어진 위치(도 5b)에서 수평 위치(도 5c)로 기판의 재포지셔닝을 달성하며, 센터링 기준(9)에 대하여 기판(S)의 센터링을 달성한다. 엔드 이펙터는 도 1의 화살표(T, θ)로 표시된 방향들로 가속될 수 있다. 도 5b에 도시된 예에서, 엔드 이펙터는 화살표(T'')로 표시된 방향으로 가속될 수 있으며, 이송 암의 방사상 방향으로 정렬될 수 있다. 예를 들면, 엔드 이펙터는 기판 스테이션에서 기판 픽업으로부터 가속될 수 있다. 이해할 수 있을 것이지만, 엔드 이펙터 가속(T'')으로 인해 생긴 기판(S)에 대한 관성 효과들은 (기판을 기울어진 위치로 유지시키는) 정적 하중을 압도하며, 그 결과, 엔드 이펙터의 운동에 실질적으로 반대되는 화살표(V')로 표시되는 방향으로 기판과 패드들(22, 32a, 32b) 간의 상대적 변위를 야기한다. 본 예시적인 실시예에서, 관성 운동은 기판(S)을 센터링된 위치로 이동시킨다. 또한, 경사(23A)는 기판을 버퍼들(34a, b)로 이동시키는 (관성 성분과 직접 성분(direct component)을 모두 포함할 수 있는) 힘들에 추가로 기여하는 바이어스 힘(biasing force)을 기판(S)에 생성한다. 따라서, 엔드 이펙터(64)의 운동에 의해 제공된 측방향 관성력은 기판(S) 이 입사각(α)을 갖는 후방 패드 표면(23A) 아래로, 그리고 후방 패드(22)의 더 큰 입사각(β)을 갖는 경사 표면(23B) 위로 슬라이딩하게 한다. 본 예시적인 실시예에서, 경사 표면(23B)의 가파른 경사는 기판을 버퍼들(35a, 35b) 방향으로 가압하는 바이어스 힘을 추가로 증가시키며, 기판에 웨지 힘(wedging force)들을 증가시켜 기판의 캡처를 달성한다. 입사각(β)을 갖는 지지 표면(23)의 길이는 엔드 이펙터(64)의 운동 후의 기판의 최종 캡처를 위한 센터링 범위(CR)를 한정한다.(도 5A 참조)
도 3a 및 3b를 다시 참조하면, 본 예시적인 실시예에서, 전방 패드들(32a, 32b)의 경사 표면들(33a, 33b) 및 버퍼들(35a, 35b)은 X 방향으로 센터링 힘들을 제공하도록 배열될 수 있다.(Y 방향의 센터링 힘들은 상술된 바와 같이 버퍼들(35a, 35b)과 함께 패드(22)의 경사 표면들(23A, 23B) 및 관성 효과들에 의해 제공됨) 도 3b에서 가장 잘 도시된 바와 같이, 경사 표면들 및 버퍼들에 수직한, 화살표(N)로 표시된, 경사 표면들(33a, 33b) 및 버퍼들(35a, 35b)은 X 및 Y 축들에 경사지도록 위치될 수 있다. 본 예시적인 실시예에서, 경사 표면들 및 버퍼들의 방향은 Y축을 기준으로 대칭일 수 있다. 경사 표면들 및 버퍼들의 경사진 방향은 X 축을 따라 대향하는 힘 성분들을 야기할 수 있으며, 이러한 힘 성분들은 센터링 기준점(9)에 대해 X 축을 따라 기판을 센터링할 수 있다. 이해할 수 있을 것이지만, 참조 기준점(9)에 대하여 X 축을 따라 센터링된 위치로 이동하는 기판을 캡처하기 위해 이동됨에 따라, X축을 따르는 기판과 센터링 기준점(9) 사이의 편심 반경은, 관성 효과들에 의해 발생된 힘(impetus) 및/또는 경사들(23A, 23b)에 의해 발생된 바이어스 하에서, 경사 표면들 또는 버퍼들 위에서 슬라이딩하는 기판에 대하여, 경사 표면들(33a, 33b) 및/또는 버퍼들(35a, 35b)에 의해 생성되는 센터링 힘 바이어스(centering force bias)를 생성할 것이다. 대응하여, 2개의 전방 패드들(32a, 32b)에 대하여, 기판(S)의 에지는 전방 및 후방 패드들(32a, 32b, 22) 사이에 기판을 센터링하고 (X 및 Y 축들을 따라) 이를 수평 위치로 재포지셔닝하기 위해, 지지 표면들(33a, 33b)의 입사각(Y)을 따라 슬라이딩 한다. 스루 빔 센서(미 도시) 및 컨트롤러(미 도시)는 엔드 이펙터(64)의 운동 후에 기판이 센터링되고 수평 상태인지를 결정하기 위해 사용될 수 있다.
도 5에 설명되고 도시된 실시예의 대안적인 실시예에서, 전방 패드들은 패드들 사이의 기판을 캡처하기 위한 경사형 구동 힘(ramp type driving force)을 제공하기 위해서, 중앙선에서 먼 쪽의 입사각보다 중앙선에서 가까운 쪽의 입사각이 일반적으로 더 큰, 2, 3, 4 또는 그 이상 개수의 각진 경사 표면들 또는 라운드형 경사 표면을 포함할 수 있다. 후방 패드는 패드들 사이의 기판을 캡처하고 센터링하기 위한 경사형 구동 힘을 제공하기 위해서, 중앙선에서 먼 쪽의 입사각보다 중앙선에서 가까운 쪽의 입사각이 일반적으로 더 큰, 3, 4, 5 또는 그 이상 개수의 각진 경사 표면들, (또는 라운드형 경사 표면)을 포함할 수 있다. 다른 대안적인 실시예들에서, 하나 이상의 패드들은 일반적으로 동일한 디자인을 가질 수 있는 반면에, 하나 이상의 패드들은 기판의 불안정한 정적 및 동적 위치들을 설정하는데 도움을 주고자 기판을 캡처 및/또는 센터링 위치로 바이어싱(biasing)할 수 있는 상이한 디자인을 가질 수 있다. 따라서, 전방 패드들 및 후방 패드는, 상술된 바와 같이, 서로에 대해 비대칭적이게 정의될 수 있다. 도 3, 4 및 5에 도시되고 설명된 실시예의 다른 대안적인 실시예에서, 전방 패드들의 개수는 3, 4, 5 또는 그 이상일 수 있다. 후방 패드들의 개수는 2, 3, 4 또는 그 이상일 수 있다.
도 2를 다시 참조하면, 컨트롤러(200)는, 상술된 바와 같이, 기판(S)과 엔드 이펙터 패드들(22, 32a, 32b) 사이에 원하는 편심 반경을 생성하기 위해서, 기판을 픽업할 때, 엔드 이펙터를 위치시키도록, 예컨대, 기판 이송 장치(100)에게 기판 픽업 위치들을 지시하도록, 프로그램될 수 있다. 이해할 수 있을 것이지만, 본 예시적인 실시예에서, 주어진 툴 위치로서, 기판들을 각각 픽업하고 위치시키기 위한, 상기 컨트롤러(200)에 의해 지시된 위치들은 다를 수 있다. 또한, 본 예시적인 실시예에서, 캡처를 달성하기 위한 기판 내의 관성 효과들은, 기판 캡처에 특정된 이송 운동들 없이, 기판을 원하는 목적지로 이송하는데 이용되는 동일한 이송 운동으로부터 생성될 수 있다. 예를 들며, 초기 픽업시, 툴의 기판 홀딩 위치로부터 엔드 이펙터 및 기판을 제거하기 위해 이송을 후퇴시킴으로써, 엔드 이펙터 상에 기판의 캡처 및 센터링을 달성할 수 있다.
본원에 개시되는 (그리고 도 6a-6c에 도시되는) 관성 웨이퍼 센터링 엔드 이펙터의 다른 예시적인 실시예에서, 2개의 전방 지지 패드들(132a, 132b)은 각각 2개의 각진 지지 표면 표면들(133a, 133b)을 포함할 수 있으며, 상기 경사 지지 표면 표면들(133a, 133b)은 각각 입사각(α) 및 입사각(β)을 갖는다. 대응하여, 하나의 후방 패드(122)는 입사각(γ)을 갖는 웨지형이다. 패드들(132a, 132b)은 상술된 패드(22)와 동일할 수 있으며, 패드(122)는 패드들(32a, 32b)과 동일할 수 있 다. 동일한 특징들은 동일하게 도면번호가 부여된다. 도 6a(기판이 도시되지 않음)를 참조하면, 2개의 전방 패드들은 각각 2개의 경사 지지 표면들(133a, 133b)을 포함할 수 있으며, 상기 2개의 각진 지지 표면들(133a, 133b)은 각각 입사각(α) 및 입사각(β)을 가질 수 있다. 일반적으로 입사각(β)은 입사각(α) 보다 크다. 더 가파른 입사각(β)은 중앙선(9)에 가깝게 위치된다. 더 얕은 입사각(a)은 원위 단부(5)에 가깝게 위치된다. 2개의 전방 패드들(132a, 132b)의 각진 지지 표면들(133a, 133b)은 엔드 이펙터(64) 상에 평평한 피처리체를 초기 위치시킨 후 캡처 및 센터링하는데 이용되는 접촉 및 슬라이딩 표면들을 제공한다. 다시 도 6a를 참조하면, 후방 패드(122)는 경사 표면(123)의 단일 입사각(γ)을 갖는 웨지형일 수 있다. 후방 패드(122)의 각진 지지 표면(123)은 상술된 것과 동일한 방식으로 엔드 이펙터(64) 상에 평평한 피처리체를 초기 위치시킨 후 캡처하기 위한 접촉 및 슬라이딩 표면을 제공한다. 도 6b는 카세트, 캐리어, FOUP 또는 처리 위치 또는 스테이션(미 도시)로부터 초기 픽업된 후의 평평한 피처리체(S)를 갖는 전방 및 후방 패드들을 도시한다. 평평한 피처리체(S)는 전방 및 후방 패드들(132a, 132b, 122) 사이에서 기울어지고 편심된 위치로 초기에 픽업된다.
도 6c는 엔드 이펙터(64)의 이동 후의 평평한 피처리체(S)를 갖는 전방 및 후방 패드들은 상술된 것과 동일한 방식으로 지지 패드들(122, 132a, 132b) 사이에서 기판(S)을 재포지셔닝하는데 사용된다. 본 예시적인 실시예에서, 엔드 이펙터(64)의 가속에 의해 제공되는 측방향 힘은, 기판이 전방 패드들(132a, 132b)의 입사각(α)에서 입사각(β)로 2개의 전방 패드 표면들(133a, 133b) 아래로 슬라이 딩하게 한다. 입사각(β)을 위한 지지 표면들(133a, 133b)의 길이는 엔드 이펙터(64)의 운동 후의 기판(S)의 최종 캡처를 위한 센터링 범위를 한정한다. 대응하여, 후방 패드(122)의 경우, 기판(S)의 에지는 전방 및 후방 패드들(32a, 32b, 22) 사이에서 기판을 센터링하고 이를 수평 상태로 재포지셔닝하기 위해, 지지 표면(23)의 입사각(γ)을 따라 슬라이딩 한다. 스루 빔 센서(미 도시) 및 컨트롤러(미 도시)는 엔드 이펙터(64)의 운동 후에 기판이 센터링되고 수평 상태인지를 결정하는데 사용된다.
도 7a는 포크형 엔드 이펙터 블레이드 팁(12)의 광섬유 라우팅 채널의 일 예를 도시하는 예시적인 패드(32)의 배면을 도시한다. 광섬유 라우팅 채널(15)은 엔드 이펙터 블레이드(8) 내에 위치되며, 엔드 이펙터 블레이드 팁(12)의 바깥으로 진행하며, 여기에 스루 빔 센서(14)가 블레이드 팁(12)의 내면(16)에 인접하게 위치될 수 있다. 도 3a를 다시 참조하면, 전방 지지 패드들(32a, 32b)은 엔드 이펙터 블레이드 팁(12)에 부착될 수 있다. 스루 빔 센서(14)의 이러한 위치는 스루 빔 센서(14)가 캐리어들, 카세트들 및 처리 스테이션들 내의 기판들을 매핑하는데 이용될 수 있도록 하며, 또한, 지지 패드들 상에 기판들의 존재 및 적절한 위치(도 5c 및 6c 참조)를 검출하는데 이용될 수 있도록 한다.
도 7b는 (상술된 패드들(32a, 32b)과 동일한) 전방 지지 패드(32)에 의해 지지되는 평평한 피처리체(S)와 함께, 본원에 개시되는 포크형 엔드 이펙터의 일부분의 측면 설계도이다. 전방 지지 패드(32)는 블레이드 팁(12)에서 포크형 엔드 이펙터 블레이드(8)에 부착된다. 도 7b는 웨지형 전방 지지 패드(32) 상에 평평한 피처리체(S)의 존재하는지를 검출할 수 있도록 위치되는, (적절한 소스의) 센서(14)에 의해 생성된 스루 빔의 위치를 도시한다. 스루 빔 센서 소스(14)가 평평한 피처리체(S)에 의해 완전히 차단되는 경우, 평평한 피처리체(S)가 엔드 이펙터 상에 정확하게 위치되었음을 의미한다. 센서는 컨트롤러에 연결되어, 기판(S)이 원하는 위치에 위치되어 캡처되고 센터링되었음을 나타내는 검출 신호를 전송할 수 있다. 또한, 컨트롤러는 센서로부터 웨이퍼 존재 신호가 없음을 저장하도록 프로그램될 수 있으며, 이에 응답하여, 컨트롤러는 적절한 프로그램에 접속하여, 웨이퍼가 유실되지 않음을 보장하는 모션 프로파일(motion profile)로 이송이 멈추게 한다. 컨트롤러는 예컨대, 오류 신호를 개시할 수 있으며, 멈춘 후에, (기판이 이전에 완전히 안착되지 않았던 이벤트에서) 기판 캡처 모션을 재시도할 수 있으며, 또는 일부의 다른 바람직한 회복 프로그램을 시작할 수 있다.
도 8은 예시적인 실시예에 따르는 예시적인 후방 지지 패드(22)의 상부 사시도이다. 도시된 본 실시예에서, 패드는 엔드 이펙터 블레이드(8)에 패드를 고정시키기 위한 마운팅 홀(mounting hole)들(24, 26)(예시적으로 2개가 도시됨), 및 관성 피처리체 포지셔닝, 피처리체 센터링 및 캡처를 위한 2개의 방사상 또는 각진 지지 표면들(23A, 23B)을 포함할 수 있다. 도 8B에서, 볼트, 나사 또는 기타 적절한 고정 수단(미 도시)이 지지 패드(22)를 엔드 이펙터 블레이드(8)에 부착하기 위해 사용될 수 있다.
본원에 개시되는 관성 웨이퍼 센터링 엔드 이펙터의 다른 실시예에서, 기판 이송 장치는 서로에 대해 실질적으로 위치하는 다수의 엔드 이펙터들을 포함할 수 있다. 한정하지 않는 예들은 서로에 대해 평행하게 위치되는 2, 3, 4, 5 또는 그 이상 개수의 관성 웨이퍼 센터링 엔드 이펙터들을 갖는 기판 이송 장치를 포함한다. 주어진 이송 장치의 다수의 엔드 이펙터들은 둘 이상의 평평한 피처리체들이 동시에 픽업되고, 포지셔닝되고, 센터링되고, 캡처되고, 이송될 수 있게 한다. 도 9a는 2개의 엔드 이펙터들(164)을 갖는 예시적인 기판 이송 시스템(200)을 도시하며, 상기 2개의 엔드 이펙터들(164)은 구동부(142)에 연결된 대응하는 포어암들(162)에 부착된다. 2개의 평행한 엔드 이펙터들(164)은 서로 동일할 수 있으며, 상술된 엔드 이펙터(64)(2개의 전방 패드들(132a, 132b) 및 하나의 후방 패드들(122)이 부착될 수 있는 엔드 이펙터 블레이드(108)를 각각 구비함)와 동일할 수 있으며, 동일한 특징들에는 동일한 도면 번호가 부여된다. 엔드 이펙터 블레이드들은 동일하거나 상이한 모양(포크형, 패들형 또는 기타)을 가질 수 있다. 부착된 전방 및 후방 지지 패드들(132a, 132b, 122)을 포함하는 각각의 평행한 엔드 이펙터(162)의 높이는, 이것이 카세트 내에 저장된 매우 가까이 이격된 실리콘 웨이퍼들(미 도시) 사이에 적합할 수 있는 크기일 수 있다. 도 9b는 2개의 평행한 후방 패드들(122)의 예시적인 측면 설계도이다. 2개의 평행한 후방 패드들(122) 각각은 기판의 초기 포지셔닝, 센터링 및 캡처를 위한, 2개의 각진 지지 표면들(123)을 갖는다.
도 10a를 참조하면, 본원에 개시되는 관성 웨이퍼 센터링 엔드 이펙터의 후방 패드 어셈블리(30)의 예시적인 실시예의 개념도가 도시되며, 이는 후방 패드 어셈블리(30)가 앞에서 뒤로 조절할 수 있도록 슬롯에 끼워질 수 있음을 도시한다. 이것은 다양한 크기의 평평한 피처리체들을 수용할 수 있도록 엔드 이펙터 블레이드(8)에 대한 후방 패드(22)의 위치를 쉽게 변경할 수 있도록 한다. 엔드 이펙터(64)를 포어암(62)에 연결하는 결합부(4)도 도시된다. 도 10b는 후방 패드 어셈블리(30)의 배면도이며, 본 예시적인 실시예에서, 후방 패드(도 10a 참조)가 볼트 또는 나사(24, 26)와 같은 적절한 패스너(fastener)들을 통해 후방 패드 암(27)에 장착될 수 있음을 도시한다. 또한 후방 패드 암(27)은 볼트 또는 나사(28, 29)를 통해 결합부(4)에 부착될 수 있다. 후방 패드 암(27) 및 후방 패드(22)를 포함하는 후방 패드 어셈블리(30)는 도 10c에도 도시된다. 후방 패드 어셈블리(30)는 후방 패드(22)의 교체나 수리와 같은 이유로 필요할 할 때 엔드 이펙터(64)로부터 쉽게 제거될 수 있다.
도 11을 참조하면, 다른 예시적인 실시예에 따르는 후방 패드 어셈블리(30)는 스프링 로딩형 메커니즘(spring loaded type mechanism)(31)으로 장착될 수 있으며, 이것은 엔드 이펙터 블레이드(8)에 대해 후방 패드(22)를 전방 또는 후방으로 조절할 수 있게 하는 추가적인 적응성을 제공한다. 또한, 후방 패드 및 후방 패드 암(27)을 포함하는 후방 패드 어셈블리(30)는 후방 지지 패드(22)의 전방 또는 후방으로 추가로 용이하게 조절할 수 있도록, 핀 앤 슬롯형(pin and slot type) 메커니즘(35)을 통해 포어암(62)과 엔드 이펙터(64) 사이의 결합부(4)를 따라 용이하게 이동될 수 있다. 하나 이상의 핀들(36)이 결합 플레이트(4)에 위치될 수 있으며, 하나 이상의 슬롯들(37)이 후방 패드 암(27)에 위치될 수 있다. 이러한 (슬라이딩 텅형(sliding tongue type) 메커니즘으로도 언급되는) 핀 앤 슬롯형 메커니 즘(35)은 후방 지지 패드가 엔드 이펙터의 전방 또는 후방으로 빠르게 조절될 수 있도록 허용함으로써, 표면 마모로 인한 평평한 피처리체 직경 및 후방 패드(22) 수치들의 변화를 수용할 수 있게 한다.
본원에 개시되는 초기 웨이퍼 센터링 엔드 이펙터의 대안적인 실시예에서, 평평한 피처리체를 이송하기 위한 유리한 방법이 제공된다. 본 방법은 구동축(drive shaft), 상기 구동축에 연결되는 가동 암, 상기 가동 암에 연결되며 엔드 이펙터로서, 상기 말단 블레이드에 장착되는 비대칭 전방 및 후방 패드들 및 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 포함하는 엔드 이펙터, 및 상기 구동부, 상기 가동 암, 상기 엔드 이펙터 및 상기 스루 빔 센서를 조정하기 위한 컨트롤러를 초기에 제공하는 단계를 포함한다. 상기 스루 빔 센서는 상기 엔드 이펙터 블레이드의 상기 전방 및 후방 패드들의 위치에 대해 상기 평평한 피처리체의 위치를 매핑하는데 사용된다. 이것은 상기 엔드 이펙터 블레이드가 도 5b 및 6b에 도시된 바와 같이 상기 엔드 이펙터 블레이드의 상기 전방 및 후방 패드들의 지지 표면들 상에 기울어지고 편심된 위치의 평평한 피처리체를 픽업할 수 있게 한다. 그 후, 상기 스루 빔 센서는 상기 전방 및 후방 패드들의 상기 지지 표면들 상의 상기 평평한 피처리체의 편심 반경을 검출하는데 사용될 수 있다. 상기 엔드 이펙터는 도 5c 및 6c에 도시된 바와 같이 상기 전방 및 후방 패드들 사이의 상기 평평한 피처리체를 수평 상태로 동시에 센터링하고 캡처할 수 있도록 상기 평평한 피처리체에 측방향 힘을 제공하도록 이동될 수 있다. 그 후, 상기 센터링되고 캡처된 평평한 피처리체는 하나 이상의 처리 스테이션들에 또는 실리콘 웨이퍼 처리 를 위한 특정 스테이션들 내로 이송될 수 있다. 한정되지 않는 예시적인 처리 스테이션들은 식각, 화학 기상 증착, 물리 기상 증착, 이온 주입, 메트롤로지, 급속 열 처리, 건식 스트립핑 및 이들의 조합을 포함한다.
전술한 설명은 오직 예시적으로 개시하기 위한 것임을 이해해야 한다. 본 개시로부터 벗어나지 않고도 본 기술분야의 당업자들은 다양한 대체 및 수정을 고안할 수 있다. 따라서, 본 개시가 첨부된 청구범위 내에 속하는 이러한 대체, 수정 및 변형 모두를 포함할 것으로 의도된다.

Claims (22)

  1. 기판 처리 툴(substrate processing tool)을 위한 기판 이송 장치로서,
    구동부(drive section);
    상기 구동부에 동작 가능하게 연결되는 가동 암(movable arm);
    상기 기판 처리 툴 내에서 기판을 홀딩(holding)하고 이송하기 위해 상기 가동 암에 연결되는 엔드 이펙터(end effector); 및
    상기 엔드 이펙터에 연결되고 수동 접촉 패드들을 갖는 기판 관성 캡처 에지 그립(substrate inertial capture edge grip)을 포함하며,
    상기 수동 접촉 패드들은 상기 기판 관성 캡처 에지 그립이 오직 3개의 상기 수동 접촉 패드들을 이용하여 기판 관성으로부터 상기 엔드 이펙터 위로 상기 기판의 캡처(capture) 및 센터링(centering)을 동시에 달성하도록 배열되고,
    상기 기판은 캡처 전에 모든 수동 접촉 패드들 상에 안착(seat)되고, 캡처는 상기 기판의 확정적인 센터링(determinative centering)을 달성하는 것을 특징으로 하는 기판 이송 장치.
  2. 제1 항에 있어서,
    상기 기판 관성 캡처 에지 그립은 상기 엔드 이펙터에 의해 정의되고 상기 기판의 외주와 접촉하기 위한, 엔드 이펙터 블레이드 상의 비대칭 전방 및 후방 접촉 패드들을 포함하며,
    상기 비대칭 전방 및 후방 접촉 패드들은 비대칭적으로 경사진(angled) 기판 접촉 면들을 한정하는 기판 접촉 표면들을 가지며,
    상기 구동부에 연결되는 컨트롤러를 더 포함하며,
    상기 컨트롤러는 기판 관성이 상기 기판들을 센터링하고 캡처하기 위해 기판과 적어도 하나의 상기 접촉 패드들 간의 운동을 생성하도록 상기 가동 암을 이동시키도록 프로그램되는 것을 특징으로 하는 기판 이송 장치.
  3. 제2 항에 있어서,
    상기 컨트롤러는 상기 비대칭 전방 및 후방 접촉 패드들의 상기 접촉 표면들 상에 편심된 위치(eccentric position)의 상기 기판을 픽업하도록 상기 엔드 이펙터를 위치시키도록 프로그램되는 것을 특징으로 하는 기판 이송 장치.
  4. 제2 항에 있어서,
    상기 비대칭 전방 및 후방 접촉 패드들은 2개의 전방 패드들 및 하나의 후방 패드를 포함하는 것을 특징으로 하는 기판 이송 장치.
  5. 제2 항에 있어서,
    상기 엔드 이펙터 블레이드는 포크형(fork-shaped)인 것을 특징으로 하는 기판 이송 장치.
  6. 제4 항에 있어서,
    상기 2개의 전방 패드들은 각각의 엔드 이펙터 블레이드 팁에 부착되는 것을 특징으로 하는 기판 이송 장치.
  7. 제2 항에 있어서,
    상기 엔드 이펙터 블레이드는 패들형(paddle-shpaed)인 것을 특징으로 하는 기판 이송 장치.
  8. 제4 항에 있어서,
    상기 2개의 전방 패드들의 각각은 둘 이상의 경사진 기판 접촉 표면들을 포함하며, 상기 경사진 기판 접촉 표면들 중 적어도 하나는 기판 경사(substrate ramp)이며, 상기 후방 패드는 하나 이상의 기판 경사 표면들을 포함하는 것을 특징으로 하는 기판 이송 장치.
  9. 제8 항에 있어서,
    상기 적어도 하나의 기판 경사는 수평면에 대해 복합적으로 경사지고, 상기 기판을 센터링하기 위한 기판 운동을 바이어싱하도록 배열되는 것을 특징으로 하는 기판 이송 장치.
  10. 제6 항에 있어서,
    상기 후방 패드는 상기 기판의 트레일링 에지(trailing edge)를 캡처하기 위한 제1 경사진 지지 표면, 및 상기 제1 경사진 지지 표면과 상이한 제2 경사진 지지 표면을 갖는 것을 특징으로 하는 기판 이송 장치.
  11. 제2 항에 있어서,
    상기 비대칭 전방 및 후방 접촉 패드들은 상기 접촉 표면들이 상기 기판을 소정의 방향으로 바이어싱하는 불균형힘(unbalanced force)을 상기 기판에 대하여 생성하도록 배열되는 것을 특징으로 하는 기판 이송 장치.
  12. 제1 항에 있어서,
    상기 엔드 이펙터는 상기 기판 처리 툴의 홀딩 스테이션(holding station) 내의 기판들을 매핑하기 위한 기판 맵퍼(substrate mapper)를 가지며, 상기 기판 맵퍼는 상기 기판 관성 캡처 에지 그립에 의해 홀딩되는 상기 기판의 존재를 검출하는 것을 특징으로 하는 기판 이송 장치.
  13. 제12 항에 있어서,
    상기 기판 맵퍼는 기판들을 센싱하기 위한 방사 암 센서(radiation arm sensor)를 가지며, 상기 센서는 기판 매핑 및 존재 검출을 위한 공통 센서인 것을 특징으로 하는 기판 이송 장치.
  14. 평평한 피처리체를 이송하기 위한 평평한 피처리체 이송 장치로서,
    구동축(drive shaft)을 포함하는 구동부;
    상기 구동축에 연결되는 적어도 하나의 가동 암; 및
    상기 적어도 하나의 가동 암에 연결되는 적어도 하나의 엔드 이펙터를 포함하며,
    상기 적어도 하나의 엔드 이펙터는 상기 평평한 피처리체의 외주를 지지하기 위해 엔드 이펙터 블레이드에 장착되는 수동 비대칭 전방 및 후방 패드들을 포함하며, 상기 수동 비대칭 전방 및 후방 패드들 중 적어도 하나는 상기 수동 비대칭 전방 및 후방 패드들 중 다른 하나에 대하여 비대칭적인 피처리체 지지 표면들을 가지고,
    상기 적어도 하나의 엔드 이펙터는 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 포함하며, 상기 스루 빔 센서는 피처리체 홀딩 스테이션을 매핑하고 상기 수동 비대칭 전방 및 후방 패드들 상의 상기 평평한 피처리체의 위치를 확인하도록 구성되고,
    상기 수동 비대칭 전방 및 후방 패드들의 비대칭성은 상기 수동 비대칭 전방 및 후방 패드들의 상기 피처리체 지지 표면들 상의 상기 평평한 피처리체의 기울어지고 편심된 위치를 한정하고, 상기 평평한 피처리체가 상기 기울어지고 편심된 위치로부터 관성에 의해 변위될 때, 상기 적어도 하나의 엔드 이펙터 상에서 상기 평평한 피처리체의 센터링 및 캡처를 동시에 달성하며,
    상기 평평한 피처리체는 캡처 전에 모든 수동 비대칭 전방 및 후방 패드들 상에 안착(seat)되고, 캡처는 상기 평평한 피처리체의 확정적인 센터링(determinative centering)을 달성하는 것을 특징으로 하는 이송 장치.
  15. 제14 항에 있어서,
    상기 적어도 하나의 엔드 이펙터 상에서 상기 평평한 피처리체의 관성 캡처 및 센터링을 달성하기 위해, 상기 구동부, 상기 적어도 하나의 가동 암, 상기 적어도 하나의 엔드 이펙터, 및 상기 스루 빔 센서를 조정하기 위한 하나 이상의 컨트롤러들을 더 포함하는 것을 특징으로 하는 평평한 피처리체 이송 장치.
  16. 제14 항에 있어서,
    상기 수동 비대칭 전방 및 후방 패드들은 2개의 전방 패드들 및 하나의 후방 패드를 포함하며,
    상기 적어도 하나의 엔드 이펙터는 둘 이상의 실질적으로 평행한 엔드 이펙터들을 포함하고,
    상기 둘 이상의 실질적으로 평행한 엔드 이펙터들의 각각은 상기 수동 비대칭 전방 및 후방 패드들을 갖는 것을 특징으로 하는 평평한 피처리체 이송 장치.
  17. 제16 항에 있어서,
    각각의 엔드 이펙터 블레이드는 포크형인 것을 특징으로 하는 평평한 피처리체 이송 장치.
  18. 제16 항에 있어서,
    상기 2개의 전방 패드들은 둘 이상의 경사진 지지 표면들을 포함하며, 상기 하나의 후방 패드는 하나 이상의 경사진 지지 표면을 포함하는 것을 특징으로 하는 평평한 피처리체 이송 장치.
  19. 제14 항에 있어서,
    상기 엔드 이펙터 상의 상기 평평한 피처리체의 센터링 및 캡처를 위한 관성 변위(inertial displacement)는 상기 적어도 하나의 엔드 이펙터의 가속 운동에 의해 제공되는 것을 특징으로 하는 평평한 피처리체 이송 장치.
  20. 제14 항의 평평한 피처리체 이송 장치를 포함하는 것을 특징으로 하는 반도체 툴 스테이션.
  21. 제16 항에 있어서,
    상기 후방 패드는 스프링 로딩형 조절 메커니즘(spring loaded adjustment mechanism)을 통해 상기 엔드 이펙터 블레이드에 장착되는 것을 특징으로 하는 평평한 피처리체 이송 장치.
  22. 평평한 피처리체를 이송하는 방법으로서,
    구동축, 상기 구동축에 연결되는 가동 암, 상기 가동 암에 연결되며, 엔드 이펙터 블레이드에 장착되는 수동 비대칭 전방 및 후방 패드들, 및 상기 엔드 이펙터 블레이드 내에 장착되는 스루 빔 센서를 포함하는 엔드 이펙터, 및 상기 구동축, 상기 가동 암, 상기 엔드 이펙터 및 상기 스루 빔 센서를 조정하기 위한 컨트롤러를 포함하는 평평한 피처리체 이송 장치를 제공하는 단계;
    상기 스루 빔 센서를 이용하여, 소정의 위치를 기준으로 피처리체 홀딩 스테이션 내의 상기 평평한 피처리체의 위치를 매핑하는 단계;
    상기 엔드 이펙터를 이용하여, 상기 엔드 이펙터 블레이드의 상기 수동 비대칭 전방 및 후방 패드들의 지지 표면들 상에서 기울어지고 편심된 위치의 상기 평평한 피처리체를 픽업하는 단계; 및
    상기 평평한 피처리체가 관성 변위될 때 상기 평평한 피처리체가 상기 엔드 이펙터 상에서 동시에 센터링 및 캡처되도록 상기 평평한 피처리체에 작용하기 위해, 상기 평평한 피처리체를 상기 기울어지고 편심된 위치로부터 상기 비대칭 전방 및 후방 패드들로 관성 변위시키기 위해 상기 엔드 이펙터를 이동시키는 단계를 포함하며,
    상기 평평한 피처리체는 캡처 전에 모든 수동 비대칭 전방 및 후방 패드들 상에 안착(seat)되고, 캡처는 상기 평평한 피처리체의 확정적인 센터링(determinative centering)을 달성하는 것을 특징으로 하는 평평한 피처리체를 이송하는 방법.
KR1020097024587A 2007-04-27 2008-04-28 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치 KR101475790B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/741,416 2007-04-27
US11/741,416 US9437469B2 (en) 2007-04-27 2007-04-27 Inertial wafer centering end effector and transport apparatus

Publications (2)

Publication Number Publication Date
KR20100023821A KR20100023821A (ko) 2010-03-04
KR101475790B1 true KR101475790B1 (ko) 2014-12-23

Family

ID=39887178

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097024587A KR101475790B1 (ko) 2007-04-27 2008-04-28 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치

Country Status (5)

Country Link
US (1) US9437469B2 (ko)
JP (1) JP2010525608A (ko)
KR (1) KR101475790B1 (ko)
TW (1) TWI527149B (ko)
WO (1) WO2008134041A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4313824B2 (ja) * 2007-03-23 2009-08-12 東京エレクトロン株式会社 基板移載装置及び基板移載方法並びに記憶媒体
US8430226B2 (en) * 2008-03-05 2013-04-30 Hirata Corporation Work transfer apparatus
JP5091316B2 (ja) * 2008-05-28 2012-12-05 平田機工株式会社 生産装置
TWI614831B (zh) * 2011-03-11 2018-02-11 布魯克斯自動機械公司 基板處理裝置
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
JP5859236B2 (ja) * 2011-07-15 2016-02-10 ファスフォードテクノロジ株式会社 フレーム供給装置およびフレーム供給方法
US9431282B2 (en) * 2011-12-27 2016-08-30 Rudolph Technologies, Inc. Wafer inversion mechanism
TWI456685B (zh) * 2012-05-02 2014-10-11 Advanced Wireless Semiconductor Company 應用於聚光型太陽能電池之蝕刻設備之晶圓傳輸裝置
TWI625814B (zh) * 2012-07-27 2018-06-01 荏原製作所股份有限公司 工件搬送裝置
JP5425998B2 (ja) * 2012-11-13 2014-02-26 東京エレクトロン株式会社 基板保持部材、基板搬送アーム及び基板搬送装置
KR101416591B1 (ko) * 2012-12-20 2014-07-08 주식회사 선익시스템 기판의 수동 이동 장치
DE102013208301A1 (de) * 2013-05-06 2014-10-16 Siltronic Ag Anordnung umfassend eine Halbleiterscheibe und eine stufenförmige Auflage zum Unterstützen der Halbleiterscheibe
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
CN103700612B (zh) * 2013-12-02 2016-06-29 合肥京东方光电科技有限公司 一种末端执行器组件
JP6313972B2 (ja) 2013-12-26 2018-04-18 川崎重工業株式会社 エンドエフェクタおよび基板搬送ロボット
US9991152B2 (en) * 2014-03-06 2018-06-05 Cascade Microtech, Inc. Wafer-handling end effectors with wafer-contacting surfaces and sealing structures
US9425076B2 (en) * 2014-07-03 2016-08-23 Applied Materials, Inc. Substrate transfer robot end effector
US9779977B2 (en) 2015-04-15 2017-10-03 Lam Research Corporation End effector assembly for clean/dirty substrate handling
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
WO2017106263A1 (en) 2015-12-16 2017-06-22 Comau Llc Adaptable end effector and method
USD822735S1 (en) * 2017-03-17 2018-07-10 Donald Dimattia, Jr. Positionable end effector link
AU2018263972B2 (en) 2017-05-03 2021-06-17 Lsi Solutions, Inc. Surgical equipment holder
WO2018204612A1 (en) * 2017-05-03 2018-11-08 Lsi Solutions, Inc. Surgical equipment holder
KR102353494B1 (ko) 2017-06-30 2022-01-20 삼성전자주식회사 사용자의 근접을 검출하기 위한 전자 장치 및 그의 동작 방법
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
CN110676204A (zh) * 2019-09-26 2020-01-10 长园启华智能科技(珠海)有限公司 晶圆定位机构
CN111958615A (zh) * 2020-08-19 2020-11-20 西安奕斯伟硅片技术有限公司 一种用于搬送晶圆的组件及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124289A (ja) * 1998-10-19 2000-04-28 Mecs Corp 薄型基板搬送ロボットのハンド
WO2003008157A2 (en) * 2001-07-14 2003-01-30 Brooks Automation, Inc. Centering double side edge grip end effector with integrated mapping sensor
JP2004273847A (ja) * 2003-03-10 2004-09-30 Tokyo Electron Ltd 基板搬送装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153818A (ja) * 1993-11-30 1995-06-16 Daihen Corp 半導体ウエハ認識装置
US5669644A (en) 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
JPH10308436A (ja) * 1997-05-08 1998-11-17 Olympus Optical Co Ltd 基板搬送装置
JP3441365B2 (ja) 1998-05-15 2003-09-02 東京エレクトロン株式会社 基板搬送装置及び基板処理装置
CN1238882C (zh) * 1998-12-02 2006-01-25 纽波特公司 试片夹持机械手末端执行器
JP4027526B2 (ja) 1999-03-01 2007-12-26 川崎重工業株式会社 基板搬送方法及び基板搬送装置並びに基板搬送アーム
WO2000051920A1 (en) * 1999-03-03 2000-09-08 Pri Automation, Inc. Robot to remove a substrate carrier door
US6491330B1 (en) * 1999-05-04 2002-12-10 Ade Corporation Edge gripping end effector wafer handling apparatus
JP4258964B2 (ja) * 2000-09-26 2009-04-30 神鋼電機株式会社 ウェハ移載装置
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
US8016541B2 (en) 2003-09-10 2011-09-13 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
JP4439464B2 (ja) * 2005-12-06 2010-03-24 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置
JP2008235810A (ja) 2007-03-23 2008-10-02 Tokyo Electron Ltd 熱処理方法及び熱処理装置並びに被処理基板移載方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124289A (ja) * 1998-10-19 2000-04-28 Mecs Corp 薄型基板搬送ロボットのハンド
WO2003008157A2 (en) * 2001-07-14 2003-01-30 Brooks Automation, Inc. Centering double side edge grip end effector with integrated mapping sensor
JP2004273847A (ja) * 2003-03-10 2004-09-30 Tokyo Electron Ltd 基板搬送装置

Also Published As

Publication number Publication date
JP2010525608A (ja) 2010-07-22
WO2008134041A1 (en) 2008-11-06
TW200908195A (en) 2009-02-16
TWI527149B (zh) 2016-03-21
US20080267747A1 (en) 2008-10-30
KR20100023821A (ko) 2010-03-04
US9437469B2 (en) 2016-09-06

Similar Documents

Publication Publication Date Title
KR101475790B1 (ko) 관성 웨이퍼 센터링 엔드 이펙터 및 이송 장치
US11420337B2 (en) Transport apparatus
US20200388523A1 (en) Wafer aligner
TWI710440B (zh) 工具自動教導方法及設備
US20230386879A1 (en) Process apparatus with on-the-fly substrate centering
JP4404481B2 (ja) 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
US8651539B1 (en) Integrated gripper for workpiece transfer
CN113853673B (zh) 处理配件环适配器和更换处理配件环的方法
US8752872B2 (en) Edge grip end effector
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
US20210296149A1 (en) Enclosure system shelf
US20060157998A1 (en) Contamination-free edge gripping mechanism and method for loading/unloading and transferring flat objects
US20070018469A1 (en) Contamination-free edge gripping mechanism with withdrawable pads and method for loading/unloading and transferring flat objects
US20090092470A1 (en) End effector with sensing capabilities

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171211

Year of fee payment: 4