JP2010525608A - 慣性ウエハ心合わせエンドエフェクタおよび搬送装置 - Google Patents

慣性ウエハ心合わせエンドエフェクタおよび搬送装置 Download PDF

Info

Publication number
JP2010525608A
JP2010525608A JP2010506290A JP2010506290A JP2010525608A JP 2010525608 A JP2010525608 A JP 2010525608A JP 2010506290 A JP2010506290 A JP 2010506290A JP 2010506290 A JP2010506290 A JP 2010506290A JP 2010525608 A JP2010525608 A JP 2010525608A
Authority
JP
Japan
Prior art keywords
end effector
substrate
pad
pads
flat workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010506290A
Other languages
English (en)
Inventor
アンソニー ヴィー. ディベラ
デニス プール
ウィリアム フォスナイト
Original Assignee
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2010525608A publication Critical patent/JP2010525608A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/1005Programme-controlled manipulators characterised by positioning means for manipulator elements comprising adjusting means
    • B25J9/1015Programme-controlled manipulators characterised by positioning means for manipulator elements comprising adjusting means using additional, e.g. microadjustment of the end effector
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40562Position and orientation of end effector, teach probe, track them

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)

Abstract

処理ツールのための基板搬送装置を開示する。この装置は駆動部、可動アーム、およびエンドエフェクタを有する。可動アームは動作的に駆動部と連結している。エンドエフェクタは、処理ツール内で基板を保持、搬送する可動アームと連結している。この装置は、エンドエフェクタに連結して、エンドエフェクタ上で基板の慣性により基板を捕捉および心合わせできるように配置されている基板慣性捕捉エッジグリップを有する。

Description

本開示は、概して言えば、平坦で薄いワークピースを処理し、搬送する装置に関する。具体的には、半導体ウエハの処理および搬送のための基板搬送装置に結合しているエンドエフェクタに関する。さらに具体的には、本開示は、半導体ウエハの受容、捕捉、および心合わせのための受動エッジグリップを有するエンドエフェクタに関する。
集積回路は半導体物質のウエハから製造される。ウエハは通常はカセットに収納され、カセットはキャリヤ(例えば、FOUP、SMIFなど)で保管できる。カセットには1つまたはそれ以上の近接するスロット(SEMI規格により規定)があり、各スロットに1つのウエハを入れることができる。カセットは通常、処理ステーションに移動されるが、処理ステーションではカセットからウエハが取り出され、ウエハはプリアライナによって所定の方向に置かれるか、他の方法によって処理され、さらに処理するために他の場所へ戻される。
あらゆる種類のウエハ処理装置は、処理ステーション内で、および処理ステーション間で、カセットへのおよびカセットからのウエハの搬送用として知られている。ウエハ処理装置の多くはエンドエフェクタを有するロボットアームを使用している。エンドエフェクタはウエハを取り出したり、ウエハを挿入するためにカセットに挿入される。エンドエフェクタは通常、隣接する2つのウエハの間の狭い間隙を通り抜けてカセット内に入り、カセットからウエハを取り出すためにウエハの背面に係合する。エンドエフェクタは薄く硬質であり、カセット内で近接する間隔のウエハに接触せずにウエハの間に収めるために、極めて正確に配置できることが望ましい。ウエハが1またはそれ以上の処理工程を通過した後、ロボットアームはウエハをカセットに戻す。
エンドエフェクタ上のシリコンウエハの心合わせおよび捕捉について、あらゆる方法が考案されている。一部の捕捉システムには、エンドエフェクタ上で1つまたはそれ以上の作動可能な部品を有する能動的システムもある。あるタイプのエンドエフェクタでは、一連の真空吸引パッドまたはカップが解放可能に止められており、エンドエフェクタに対してウエハの心合わせをする。真空吸引パッドまたはカップは、処理過程でウエハを機械的に固定するようにウエハの背面に接触している。ウエハの背面に接触することは、粒子状物質がウエハの背面を汚す可能性や、積み重ねられた他のウエハ(例えば搬送)の処理済みの表面に落ちる可能性があるため、望ましいことではない。他のタイプのエンドエフェクタでは、油圧または空気圧で作動するアームまたはフィンガを使用した機械的作動が、処理過程でウエハを機械的に固定する目的で使用されている。通常、作動するアームまたはフィンガは、ウエハの周囲に圧縮力を加え、ウエハの心合わせおよび捕捉を行う。
以上のようなエンドエフェクタ上のシリコンウエハを心合わせ、捕捉するための機械的作動および真空補助による装置ならびに方法は、設計の観点から見ると複雑であり、それゆえ構築および維持に費用がかかる。さらに、ウエハに対する意図的な係合も不注意な接触も、他のウエハ上に落ちて留まりうる粒子を押しやる場合もあるため、真空補助方式はウエハの背面に損傷を与えたり、カセット内の他のウエハを汚す可能性がある。ウエハの背面の損傷には、傷をはじめ、ウエハ素材の金属的および有機的な汚れが含まれる。
機械的に作動するエッジグリップ付のエンドエフェクタは粒子による汚れおよびウエハ背面の損傷の程度を最小限に抑えるが、ウエハを正確に取り上げ、心合わせし、捕捉し、搬送することの難しさも示している。また、機械的に作動するエッジグリップ付のエンドエフェクタは、エンドエフェクタのエッジ部分に損傷を与えうる。さらに、機械アクチュエータのためにエンドエフェクタが複雑になり、エンドエフェクタの重量および側面の高さを増加させる。それゆえ、ウエハを取り上げるための機械的に作動するグリップを有するエンドエフェクタは、ウエハ同士の間隔がSEMIの規定によって約5mmから10mmであるため、ウエハが保管される垂直の積み重ねカセットでの操作が難しい場合がある。さらに、真空補助および機械的に作動するエンドエフェクタ装置ならびに方法に起因するウエハの汚れ、傷、および損傷により生産収率が低下し、その結果として製造費用を上げる。
したがって、基板搬送装置に結合しているエンドエフェクタには改良の必要性がある。改良されたエンドエフェクタとは、ウエハ表面の汚れおよび損傷を軽減し、装置の設計上の複雑性を低下させ、カセット内の垂直の間隙を最大にし、エンドエフェクタ上のウエハをより正確かつ効果的に心合わせおよび捕捉するものである。
模範実施例に従い、処理ツール用の基板搬送装置を提供するものである。この装置は、駆動部、可動アーム、およびエンドエフェクタを有する。可動アームは動作的に駆動部と連結している。エンドエフェクタは、処理ツール内で基板を保持、搬送する可動アームと連結している。この装置は、エンドエフェクタに連結して、エンドエフェクタ上で基板の慣性により基板を捕捉および心合わせできるように配置されている基板慣性捕捉エッジグリップを有する。
模範実施例の1つでは、平坦なワークピース用の基板搬送装置を提示する。装置は、駆動軸を含む駆動部、駆動軸に連結している可動アーム、および可動アームに連結しているエンドエフェクタを含む。ここでは、エンドエフェクタは、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッドを有し、これは平坦なワークピースの周辺を支持する。また、エンドエフェクタは、そのブレード内に装着されているスルービームセンサを有し、これは前パッドおよび後パッド上で平坦なワークピースのマッピング、検出、および配置を行う。さらに、装置は、駆動部、可動アーム、エンドエフェクタ、およびスルービームセンサを調整するコントローラを有する。ここでは、エンドエフェクタは前パッドおよび後パッドの支持面上で平坦なワークピースを傾斜かつ偏心した状態で取り上げ、次に、エンドエフェクタの動作が生み出す横方向の力で、前パッドと後パッドとの間で水平状態で平坦なワークピースの心合わせおよび捕捉を同時に行う。
別の模範実施例でも、平坦なワークピース用の基板搬送装置を提示する。装置は、駆動軸を含む駆動部、駆動軸に連結している平行する2つまたはそれ以上の可動アーム、および2つまたはそれ以上の可動アームに連結している平行する2つまたはそれ以上のエンドエフェクタを含む。ここでは、平行する2つまたはそれ以上のエンドエフェクタはそれぞれ、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッドを有し、これは平坦なワークピースの周辺を支持する。また、エンドエフェクタは、そのブレード内に装着されているスルービームセンサを有し、これは前パッドおよび後パッド上で平坦なワークピースのマッピング、検出、および配置を行う。さらに、装置は、駆動部、平行する2つまたはそれ以上の可動アーム、平行する2つまたはそれ以上のエンドエフェクタ、およびスルービームセンサを調整する1つまたはそれ以上のコントローラを有する。ここでは、平行する1つまたはそれ以上のエンドエフェクタは前パッドおよび後パッドの支持面上で1つまたはそれ以上の平坦なワークピースを傾斜かつ偏心した状態で取り上げ、次に、平行する2つまたはそれ以上のエンドエフェクタの動作が生み出す横方向の力で、前パッドと後パッドとの間で水平状態で1つまたはそれ以上の平坦なワークピースの心合わせおよび捕捉を同時に行う。
さらに別の模範実施例でも、平坦なワークピース用の搬送方法を提示する。方法には次のようなステップが含まれる。駆動軸、駆動軸に連結している可動アーム、および可動アームに連結しているエンドエフェクタを具備する平坦なワークピースを搬送する装置を提供するステップ。ここでは、エンドエフェクタは、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッド、ブレード内に装着されているスルービームセンサ、ならびに駆動部、可動アーム、エンドエフェクタ、およびスルービームセンサを調整するコントローラを有する。さらに、スルービームセンサを用い、平坦なワークピースの位置をエンドエフェクタブレードの前パッドおよび後パッドの位置に対してマッピングするステップ。さらに、エンドエフェクタブレードの前パッドおよび後パッドの支持面上で、平坦なワークピースを傾斜かつ偏心した状態で取り上げる方法。さらに、スルービームセンサを用い、前パッドおよび後パッドの支持面上で、平坦なワークピースの1mm以下の偏心を検出する方法。最後に、エンドエフェクタを移動して平坦なワークピースに横方向の力を与え、前パッドと後パッドとの間で水平状態で平坦なワークピースの心合わせおよび捕捉を同時に行うステップ。
本明細書で開示する基板搬送装置および平坦なワークピースの搬送方法、ならびにその使用および応用により、多数の利点が得られる。
前述した本開示の態様および特性について、付随の図面を参照して以下のように説明する。
模範実施例に基づき特性を組み入れた基板搬送装置の斜視図である。 本開示における基板搬送装置の特性を組み入れた半導体ツールステーションを図解している。 本開示における基板搬送装置の特性を組み入れた半導体ツールステーションを図解している。 フォーク型設計の慣性ウエハ心合わせエンドエフェクタを組み入れた本開示実施例の上面斜視図である。 フォーク型設計の慣性ウエハ心合わせエンドエフェクタを組み入れた本開示実施例の上面図である。 パドル型デザインの慣性ウエハ心合わせエンドエフェクタを組み入れた本開示実施例の上面図である。 慣性ウエハ心合わせエンドエフェクタの実施例の側面図である。 平坦なワークピースが取り上げられた後に、偏心および傾斜状態で慣性ウエハ心合わせエンドエフェクタ上にある実施例の側面図である。 心合わせ後に、慣性ウエハ心合わせエンドエフェクタ上で中心が合った平坦なワークピースが水平状態で置かれている実施例の側面図である。 慣性ウエハ心合わせエンドエフェクタの別の実施例の側面図である。 平坦なワークピースが取り上げられた後に、偏心および傾斜状態で慣性ウエハ心合わせエンドエフェクタ上にある別の実施例の側面図である。 中心が合った平坦なワークピースが水平状態で置かれている慣性ウエハ心合わせエンドエフェクタの別の実施例の側面図である。 エンドエフェクタブレード先端部の上面設計図であり、スルービームセンサのためのファイバ経路溝を表している。 平坦なワークピースが置かれているエンドエフェクタと、平坦なワークピースの存在によって中断されたスルービームセンサビームの側面設計図である。 平坦なワークピースの最初の配置、心合わせ、および捕捉を行うエンドエフェクタの支持パッドおよびその表面の上面斜視図である。 慣性ウエハ心合わせエンドエフェクタおよび搬送装置の別の実施例の側面図である。 図9Aの後パッド部分の拡大側面図である。 図9Aの前パッド部分の拡大側面図である。 慣性ウエハ心合わせエンドエフェクタの後パッド構造の実施例の上面斜視図である。 慣性ウエハ心合わせエンドエフェクタの後パッド構造の実施例の底面斜視図である。 慣性ウエハ心合わせエンドエフェクタの後パッドおよび後パッドアームの実施例の上面斜視図である。 は、慣性ウエハ心合わせエンドエフェクタの後パッド構造の別の部分的な上面斜視図である。
本開示は、シリコンウエハの心合わせおよび捕捉を行うエンドエフェクタ付の基板搬送装置に関する。当該エンドエフェクタおよび基板搬送装置は、基板を傾斜かつ偏心した状態で取り上げ、続いて基板の慣性移動により平坦なワークピースを心合わせし、水平にし、捕捉することができるエンドエフェクタパッド付の慣性捕捉エッジグリップの提供および使用に関して、従来の技術から一線を画すものである。本明細書で開示するエンドエフェクタおよび基板搬送装置は、従来のエンドエフェクタおよび搬送装置に比べ、多大な利点を提供するものである。さらに以下で説明する。
本開示における特性を組み入れた基板搬送装置100および基板Sは、図1で図解されている。本開示では図面に示す模範実施例を参照して説明するが、本開示は実施例の多々ある代替形式で実施することが可能であることは言うまでもない。さらに、部材または材料に関して、任意の適切な大きさ、形、または種類の使用が可能である。
図1を参照すると、基板搬送装置100は、必要に応じて平坦なワークピースまたは基板Sを、例えば少なくとも3つの軸に沿って移動することができる(図1の矢印Z、θ、Tで示す)。この平坦なワークピースは模範的な平坦なワークピースであり、例示目的のみで円形の外周を有するよう示されている。ワークピースSは、半導体装置、マスクもしくはレチクルなどの半導体リソグラフィパネル、またはフラットパネルディスプレイの製造で使用される200mm、300mm、400mm、または所望の大きさの半導体ウエハなどの任意の平坦なワークピースでもよい。図1で提示する実施例において、基板搬送装置100は、一般的なSCARA(選択的コンプライアンス組立ロボットアーム)構造である可動アーム44を有する。代替の実施例では、基板搬送装置が適切なタイプの関節式アーム(例えばフロッグレッグ型)を有する、または関節式アームを全く有しない任意の適切な形態でもよい。
図1で示すように、本実施例の可動アーム44は、上アーム60、前アーム62、およびエンドエフェクタ64を含むSCARA型アームである。代替の実施例では、任意の適切な種類の搬送アームを使用してもよい。上アーム60および前アーム62はピボット状で直列に連結している。上アーム60は駆動部42に連結している。本実施例では、搬送装置100の駆動部42はワークピースワークステーション(図示せず)の枠(図示せず)に固定装着してもよい。代替の実施例では、駆動部42は、装置の枠に対して水平方向に移動できる台車に装着してもよい。駆動部42は、可動アーム44をZ軸、θ軸、およびT軸の3つの軸に沿って動かすことができる3軸駆動部でもよい。駆動部42は、可動アーム44を垂直に上昇および下降する(すなわちZ軸に沿っての移動)ための適切な駆動部(図示せず)を含むことができる。さらに、駆動部42は、可動アーム44をθ回転軸の周りで動かし(すなわちθ方向移動)、アームをT放射軸に沿って伸長または引き戻す(すなわちT方向移動)ために上アーム60、前アーム62、およびエンドエフェクタ64を回転する同軸駆動構造(図示せず)を含むことができる。
同軸駆動構造の適切な例は、米国特許第5,899,658号で開示されており、その全体が参照として本明細書に組み入れられている。代替の実施例では、同軸駆動部は、θ方向移動およびT方向移動の両方を発生させるために可動アームを動かすことができる任意の他の適切な駆動部を含んでもよい。コントローラ400は、アームの動きおよびそれに対応するエンドエフェクタ64の位置を制御するために駆動部42に連結している。
図1で示されているように、本実施例では、エンドエフェクタ64は前アーム62の端部に取り付けるものとして構成されており、安定した方法によるワークピースSの支持に適している。エンドエフェクタ64は、取付具4および遠位端部5を含む枠3を有し、構成されている。ワークピースは、外周端6に沿ってワークピースSに接触するよう配置されている支持パッド(図示せず)上で下部から支持されている。
図2Aおよび図2Bを参照すると、図1の基板搬送装置は、模範実施例に従って半導体処理ツールステーション190に組み込むことができる。半導体処理ツールが図2Aおよび図2Bで示されているが、本明細書で開示する基板搬送装置は、ロボットマニピュレータを採用した任意のツールステーションまたは応用で使用することもできる。本模範実施例では、ツール190はクラスタツールとして示されているが、模範実施例は、例えば直線型ツールステーションなどのような、任意の適切なツールステーションにも応用することができる。ツールステーション190は通常、大気前端部101、真空ロードロック110、および真空後端部120を含む。代替の実施例では、ツールステーションは任意の適切な形態を含むことができる。前端部101、ロードロック110、および後端部120のそれぞれの部品は、コントローラに連結できる。コントローラは、例えばクラスタ化されたアーキテクチャ制御など、任意の適切な制御アーキテクチャの一部でもよい。制御システムは、マスタコントローラ、クラスタコントローラ、および自律リモートコントローラを有する閉ループコントローラでもよい。代替の模範例では、任意の適切なコントローラを使用することができる。
模範実施例では、前端部101は通常、ロードポートモジュール105、および、例えば装置フロントエンドモジュール(EFEM)などのミニ環境160を含む。ロードポートモジュール105は、300mmロードポート、前面開口または後面開口のボックス/ポッド、およびカセット150のためのE15.1、E47.1、E62、E19.5またはE1.9のSEMI規格に準拠するツール規格(BOLTS)インターフェースに対するボックスオープナ/ローダでもよい。代替の実施例では、ロードポートモジュールは、200mmウエハのインターフェース、または、例えばフラットパネルディスプレイの大きいもしくは小さいウエハまたはフラットパネルなどの、他の任意の適切な基板のインターフェースとして構築してもよい。図2Aでは2つのロードポートモジュールを示しているが、代替の実施例では、任意の適切な数のロードポートモジュールが前端部101に組み込まれてもよい。ロードポートモジュールは、架空搬送システム、無人搬送車、人力台車、有軌道式無人搬送車から、または他の任意の適切な搬送方法から、基板キャリヤまたはカセット150を受け入れるように構築してもよい。ロードポートモジュール105は、ロードポート140を通してミニ環境160と連動してもよい。ロードポート140は、基板カセット150とミニ環境160との間で基板を通過させてもよい。ミニ環境160は通常、図1で示した基板搬送装置100を含む。ミニ環境160には、複数のロードポートモジュール間での基板の移動のために、制御済みの清浄域を用意してもよい。
真空ロードロック110は、ミニ環境160と後端部120との間に設置し、両者に連結することができる。ロードロック110は通常、大気および真空スロットバルブを含む。スロットバルブは、基板を大気前端部から搬入した後にロードロックを排気するための、また、窒素などの不活性ガスでロックの通気をする際に搬送室の真空状態を維持するための環境分離を提供することができる。また、ロードロック110は、処理において基板の基準を所望の位置に揃えるためのアライナ310を含むこともできる。代替の実施例では、真空ロードロックは処理装置の任意の適切な場所に設置することができ、任意の適切な形態であってもよい。
真空後端部120は通常、1つの搬送室125、1つまたはそれ以上の処理ステーション130、および図1で示した1つの基板搬送装置100を含む。バックエンド基板搬送装置220は、ロードロック110と様々な処理ステーション130との間で基板を搬送するために、搬送室125内に位置することができる。処理ステーションは、基板上で電気回路またはその他の所望の構造を形成するための様々な蒸着法、エッチング、またはその他のタイプの処理を通して、基板上で操作できる。処理の例としてエッチング、化学気相成長法(CVD)、物理気相成長法(PVD)、イオン注入、計測、高速熱処理(RTP)、および乾式ストリッピングが挙げられるが、これらに限らない。処理ステーションは、基板が搬送室125から処理ステーション130まで通過できるように、またその逆の場合も同様に通過できるように、搬送室125と連結している。
図3Aおよび3Bを参照すると、本明細書で開示するエンドエフェクタ64の模範実施例の1つでは、フォーク型のエンドエフェクタブレード8を有してもよい。エンドエフェクタ64は、取付具4で基板搬送装置の前アーム62に連結するものであってもよい。エンドエフェクタ64は、取付具4および遠位端部5を含む枠3を有し、構成されている。エンドエフェクタ64は、平坦なワークピースを心合わせし、捕捉するために、フォーク型のブレード8に装着されている3つの周辺パッド(22、32a、および32b)を有することができる。模範的な平坦なワークピースの1つは、シリコンウエハSである(「半導体ウエハ」または「基板」とも呼ぶ)。シリコンウエハSは、エンドエフェクタブレード上でパッド22、32a、および32b(「支持パッド」とも呼ぶ)によって支持されている。パッド22、32a、および32bはエンドエフェクタブレード8上に位置し、ウエハSの外周端6に接触する。提示する模範実施例では、エンドエフェクタ64の遠位端部5で2つの前支持パッド32aおよび32bがエンドエフェクタブレード先端部12aおよび12bに装着されており、後部取付具4付近では後支持パッド22がエンドエフェクタブレード8に装着されている。代替の実施例では、エッジグリップパッドを任意の所望の形態で配置してもよい。パッド22、32a、および32bの設計について、下記でさらに詳しく説明する。前パッド32aおよび32b、ならびに後パッド22の間には中心点9がある。模範実施例において中心点9は、基板Sが慣性捕捉心合わせグリップ(以下で説明)により心合わせされうる中心の位置を表す。
さらに図3Aおよび図3Bを参照すると、エンドエフェクタ64の模範実施例はマッピングおよびウエハ存在検知センサ14も含む。模範実施例では、センサ14はスルービームセンサ14であり、これはエンドエフェクタブレード先端部12aおよび12bに組み込まれた適切なソース14aおよび適切なソース検出器14bを含む。スルービームセンサ14を使用して、基板搬送装置による処理の前にキャリヤまたはカセット(例えば図2Aおよび図2Bのキャリヤ150を参照)内で、シリコンウエハSをマッピングし、エンドエフェクタブレード8上でシリコンウエハSの存在を検出し、シリコンウエハSがエンドエフェクタ64の前パッド32aおよび32bならびに後パッド22上で正しい位置にあるかどうか判断することができる。本開示のスルービームセンサ14の多機能性により、別個のウエハ存在検知センサの必要がなくなり、そのためエンドエフェクタの設計が簡素化する。それに呼応して、簡素な設計は、本明細書で開示する慣性ウエハ心合わせエンドエフェクタの製造および維持の費用を抑える。代替の実施例では、マッピングおよび存在検知センサは任意の適切な種類のセンサであってもよい。
本明細書で開示する慣性ウエハ心合わせエンドエフェクタは、様々な形のエンドエフェクタブレードとともに使用することができる。図4を参照すると、エンドエフェクタの別の模範実施例では、図に示すようなパドル型のエンドエフェクタブレード8を有してもよい。パドル型のエンドエフェクタ64では、前支持パッドは、ウエハSの外周端6に接触するためにエンドエフェクタブレード8の前端付近に装着されている。ウエハ存在検知センサ(図示せず)は、エンドエフェクタ64上でウエハSの存在を検出するために、エンドエフェクタブレード8の前方に位置を移し、組み込むこともできる。別のマッピングセンサ(図示せず)をパドル型のエンドエフェクタのデザインとともに使用してもよい。
図5A、図5Bおよび図5Cを参照すると、本明細書で開示する慣性ウエハ心合わせエンドエフェクタの支持パッド設計の模範実施例の1つが示されている。エンドエフェクタ64は、非対称の前支持パッド32aおよび32bならびに後支持パッド22を有するエンドエフェクタブレード8を含む。後パッドに対して「非対称」の前パッドというのは、2つの前パッドが設計上、後パッドと異なるという意味である。前述したように、後パッド22はエンドエフェクタ64の取付具端部4に向いて配置されており、前パッド32aおよび32bはエンドエフェクタ64の遠位端部5に向いて配置されている。「前」および「後」という言葉は、エンドエフェクタの移動(T軸、q軸、およびZ軸に沿っての移動など)に関して、エンドエフェクタの位置参照を説明するために便宜上使用する。すなわち、エンドエフェクタの前進時(例えば矢印Tが示す方向)にはエンドエフェクタの「前」が先に所定の位置に到達して次に「後」が続き、エンドエフェクタが戻るとき(例えば矢印Tと反対の方向)はエンドエフェクタの「後」が先に所定の位置に到達して次に「前」が続くということである。2つの前パッド32aおよび32bと1つの後パッド22との間の中心線9も示されている。支持面23および33は本明細書において、平坦なワークピースの外周端6が後パッド22ならびに前パッド32aおよび32bのそれぞれに接触する表面として定義する。代替の実施例では、パッドは、慣性を利用した心合わせおよび捕捉を行うために他の任意の所望の方向で配置してもよい。
図5Aは、平坦なワークピースが置かれていない前パッドおよび後パッドを図解している。模範実施例では、2つの前パッド32aおよび32bは、通常くさび形であり、パッドの支持面33aおよび33bの入射角γを有し、お互いに類似してもよい。前パッド32aおよび32bの入射角γの範囲は、約5度から約70度、または約20度から約60度、または約30度から約50度までになりうる。ただし、提示する模範実施例の入射角γは約8度である。2つの前パッド32aおよび32bの角度のついた支持面33aおよび33bは、接触および滑りの表面、すなわち傾斜面である。傾斜面は、最初の配置においてウエハへの接触および支持に使用され、次にエンドエフェクタ64上の平坦なワークピースまたはウエハの捕捉を行うために使用される。パッドは、後述するように慣性を利用した心合わせおよび捕捉の実行時に、ワークピースの周辺端部が傾斜面33aおよび33bでほぼ自由に滑るようにするために、適切な潤滑効果のあるPVCやDELRINTMなどのような適切な材料から製造されうる。例として、ウエハがエンドエフェクタ64および基板搬送システム100(図1を参照)によってキャリヤ、カセットまたは処理位置(例えば、カセット150、ロードロック、処理モジュール130)から取り上げられる場合、ウエハの周辺端部は、ウエハが最初の取り上げ位置にあるとき、傾斜面に静止しうる。前パッド32aおよび32bのくさび形傾斜面33aおよび33bは、エンドエフェクタ64が移動する際に、パッド間で平坦なワークピースの前縁が滑り、固定または捕捉されるようにする。また、傾斜面はウエハの心合わせが行えるようにする。パッド32aおよび32bはそれぞれ、緩衝面35aおよび35bを有することもできる。緩衝面35aおよび35bは、エンドエフェクタ上のウエハを捕捉するために、ウエハが傾斜面を滑ることを阻止し、ウエハの周面をつかむ。緩衝面35aおよび35bは、傾斜面と緩衝面との間のウエハ周辺端部の一部を捕捉するために、ほぼ垂直か、内側に向けて(中心線9に向かって)傾斜または勾配があってもよい。模範実施例では、パッド32aおよび2bの高さは、積み重ねられた基板の間でエンドエフェクタを自由に挿入できるように、パッド32aおよび32bの位置でエンドエフェクタの側面の高さが、十分な間隙で追跡される基板間のSEMI指定の間隔よりも低くなるような高さにすることができる。
図5Aを再度参照すると、模範実施例では、後パッド22もくさび形であってもよいが、水平参照からの入射角がそれぞれαとβである2つの角度つき支持面23aおよび23bを含むものとする。通常、入射角βはαよりも大きい。傾斜のより急な入射角βを有する傾斜面23bは中心線9に近接して配置されており、角度の範囲は約30度から約80度までになりうる。ただし、提示する模範実施例の角Bは約74度である。入射角αを有するより浅い表面23aは取付具端部4に近接して配置されており、角度の範囲は約10度から約70度までになりうる。ただし、提示する模範実施例の角αは約45度である。後パッド22の角度つき支持面23aおよび23bは、接触および滑りの表面、すなわち傾斜面を提供する。傾斜面は、エンドエフェクタ64上で平坦なワークピースの最初の配置、捕捉、および心合わせを行うために使用される。より具体的には、後パッド22は、平坦なワークピースがエンドエフェクタ64および基板搬送システム100によってキャリヤ、カセット、FOUP、または処理位置もしくはステーション(図示せず)から取り上げられるとき、平坦なワークピースの後縁の捕捉および心合わせを行うために使用される。通常、後パッド22の取付具端部4に近接している傾斜面23Aは、SEMI規格が指定したウエハの位置の範囲(ウエハステーションまたはカセットにあるウエハのための範囲)に適合するような大きさにすることができる。また、傾斜面23Aは、最初の配置で基板がエンドエフェクタ64によって取り上げられたとき、基板端部が傾斜面23A上に最初に静止することを確実にするために、取り上げ中の偏心度を任意の所望の偏心度にすることができる(以下で説明する)。したがって、傾斜面23Aは、慣性捕捉グリップの捕捉範囲CRを定義することができる。提示する模範実施例のように、傾斜面23Aはパッド32Aおよび32Bの傾斜面33Aおよび33Bより上に配置できる。また、起こりうることとして、傾斜面23A、33a、および33bに接触している周辺端部を有する基板は、傾斜面33aおよび33bに載る部分よりも傾斜面23Aに載る部分を高くして傾くことになる。さらに、起こりうることとして、後傾斜面23Aならびに前傾斜面33aおよび33bのそれぞれ異なる傾斜角αおよびγを考慮して(例えば後傾斜面の傾斜が前傾斜面より大きいなど)、例中の後傾斜面は、基板を前方に押しやる基板に対する不平衡な分力を生成できるようなものであってもよい。中心線9に近接している支持面23Bは、エンドエフェクタ64の移動後(下記でさらに詳しく説明する)の、基板端部の最終的な配置のための心合わせ範囲を定義するために使用できる。起こりうることとして、周面が緩衝面34aおよび35bに接触している捕捉済みの基板が、端部の接触だけで基板がほとんど水平に保持されるような位置で後パッド22の捕捉表面23Bに接触できるように、前パッド32aおよび32bならびに後パッド22をそれぞれに関係して配置することができる。さらに、パッドは、捕捉された基板が例えば中心点9に対して心合わせされるように配置されている。
図5Bは、例えば、カセット、キャリヤ、FOUPまたは処理位置もしくはステーション(図2Aおよび図2B参照)から最初に取り上げられた平坦なワークピースSが載っている前パッドおよび後パッドを図解している。平坦なワークピースSは、例えば、前パッド32aおよび32bと後パッド22との間で、最初は偏心して取り上げられてもよい(基板の中心SCは中心点9から外れて置かれてもよい)。模範実施例では、最初の取り上げでの基板の偏心は約1.0mmでもよく、基板ステーションにおいて基板の任意の位置変化を克服できるように十分な偏心度であればよい。代替の模範例では、任意の所望の偏心度を用いることができる。偏心eはパッド22の方向に向いているが、結果として最初の取り上げで基板の周辺端部が傾斜面23Aに接触している。先に述べたように、この位置では、基板の周辺端部は傾斜面33aおよび33b上でも静止し、基板は例中で示すように傾斜しうる。スルービームセンサ(図3A参照)およびコントローラ200(図2A参照)は、例中で示すようにエンドエフェクタ64が基板Sを傾斜かつ偏心した状態で取り上げることを誘導するために使用できる。
図5Cは、エンドエフェクタ64の動作などによる基板の最初の再配置後に平坦なワークピースまたは基板Sが載っている前パッド32aおよび32bならびに後パッド22が、基板Sがそれらのパッド間で再配置される際に使用されることを図解している。起こりうることとして、エンドエフェクタによる基板の取り上げが確立した偏心状態で、基板Sは静的平衡になりうるが、基板Sが静止している模範実施例の傾斜面23A、33a、および33bの形状のために静的なのである。したがって、模範実施例では、傾斜面の形状は静的力を克服するような所望する大きさの慣性の変動との組み合わせで機能して、基板を捕捉および心合わせの位置へ誘導する。例として、エンドエフェクタ64が基板搬送装置(図1参照)によって水平方向などに移動するとき、例えばエンドエフェクタ64の加速で生じた慣性力は、基板を傾斜した位置(図5B参照)から水平位置(図5C参照)へ再配置し、中心参照9に対して基板Sの心合わせを行う。エンドエフェクタは、図1の矢印Tおよび矢印θが示す方向に加速することができる。図5Bで示す例では、エンドエフェクタは矢印T”が示す方向に加速できる。矢印T”は搬送アームの半径方向に揃えることができる。例えば、エンドエフェクタは、基板ステーションでの基板の取り上げから加速できる。起こりうるのは、エンドエフェクタの加速T”による基板Sの慣性効果が静的力(傾斜した位置で基板を支えている)を克服することで、結果として基板と、パッド22、32aおよび32bとの間で、エンドエフェクタの動きとほぼ反対の矢印V’(図5B)が示す方向で相対移動が起こる。模範実施例では、慣性の動きは基板Sを中心の位置に向かって動かす。さらに、傾斜面23Aは、基板Sに付勢力を生じ、基板を緩衝面34a,bへ押しやる力(慣性部分と直接部分の両方を含みうる)にさらに寄与する。したがって、エンドエフェクタ64の動きで生じる横方向の慣性力により、基板Sは入射角αを有する後パッド表面23Aを滑り、後パッド22のより大きな入射角βを有する傾斜面23Bに載る。模範実施例では、傾斜面23Bの急な傾斜が、基板を緩衝面35aおよび35bに押しやる付勢力をさらに増加させ、基板上でくさび力を増加させ、その結果、基板の捕捉が行われる。入射角βに対する支持面23の長さは、エンドエフェクタ64の動作後の基板Sの最終的な捕捉のための、心合わせ範囲CRを定義する(図5A参照)。
図3Aおよび図3Bを再度参照すると、模範実施例では、前パッド32aおよび32b上の傾斜面33aおよび33bならびに緩衝面35aおよび35bは、X方向に向心力を起こすように配置できる(Y方向の向心力は前述のように、慣性効果、パッド22の傾斜面23Aおよび23B、ならびに緩衝面35aおよび35bによって生じる)。図3Bが最もわかりやすいが、矢印Nで示される傾斜面33aおよび33bならびに緩衝面35aおよび35bは、傾斜面および緩衝面に垂直だが、X軸およびY軸に対して角度をつけるように配置してもよい。模範実施例では、傾斜面および緩衝面の向きはY軸に対して対称的にしてもよい。傾斜面および緩衝面の向きに角度があると、X軸に沿って対抗する分力を生じ、対抗する分力は、X軸に沿って中心基準9に対して基板を心合わせする傾向がある。起こりうることとして、X軸に沿った基板と中心基準9との間の偏心により、傾斜面33a、33bおよび/または緩衝面35a、35bが、傾斜面または緩衝面を滑る基板に対して、向心力の偏向を生じる。これは、傾斜面23Aおよび23Bからの慣性効果による弾みおよび/または偏向から生じる。この偏心が捕捉のために移動し、中心基準9に対してX軸に沿って基板を中心が取れた位置へ動かす。それに呼応して、基板を前パッド32aおよび32bと後パッド22との間で心合わせし、水平位置で再配置(X軸およびY軸に沿って)するように、2つの前パッド32aおよび32bに対して、基板Sの端部が支持面33aおよび33bの入射角γに沿って滑る。スルービームセンサ(図示せず)およびコントローラ(図示せず)を使用して、エンドエフェクタ64の動作後に基板の中心が合っているかどうか、水平状態にあるかどうか判断する。
図5で説明した内容の代替の実施例では、前パッドは角度がついた傾斜面を2〜4個またはそれ以上含んでもよい。または、パッド間で基板を捕捉するための駆動力を斜面タイプに与えるために、中心線から離れている入射角よりも一般に大きい中心線に近い入射角がある曲線的な傾斜面を含んでもよい。後パッドは、パッド間で基板の捕捉と心合わせの両方を行うための駆動力を斜面タイプに与えるために、中心線から離れている入射角よりも一般に大きい中心線に近い入射角がある角度がついた傾斜面(または曲線的な傾斜面)を3〜5個またはそれ以上含んでもよい。他の代替の実施例では、1つまたはそれ以上のパッドは通常、類似する設計でもよい。一方、1つまたはそれ以上のパッドが基板の不安定な静的および動的な位置を確立し、基板を捕捉位置および/または心合わせ位置に傾かせることを助けるような異なる設計でもよい。したがって、前パッドおよび後パッドは、前述のように、お互いに対して非対称であると定義されうる。図3、図4、および図5で説明した内容に対する他の代替実施例では、前パッドの数は3〜5個、またはそれ以上でもよい。後パッドの数は2〜4個、またはそれ以上でもよい。
図2を再度参照すると、前述のように基板Sとエンドエフェクタのパッド22、32a、および32bとの間で所望する偏心を生じさせるために、例えば基板の取り上げ場所を基板搬送装置100に教える過程において、基板取り上げ時のエンドエフェクタの位置決めができるように、コントローラ200をプログラムすることもできる。起こりうるのは、模範実施例で、コントローラ200に所定のツール場所として教える基板の取り上げ場所および設置場所は、同一でなくてもよい。さらに、模範実施例では、捕捉を行うための基板の慣性効果は、基板捕捉専用の搬送動作なしに、基板を所望する場所へ搬送するために使用するのと同一である搬送動作から生じうる。例えば、最初の取り上げにおいて、ツールが基板を支えている位置からエンドエフェクタおよび基板を離す搬送の引き下げにより、エンドエフェクタ上で基板の捕捉および心合わせが行われてもよい。
本明細書で開示する慣性ウエハ心合わせエンドエフェクタの別の模範実施例では(図6A〜図6Cで図解)、2つの前支持パッド132aおよび132bはそれぞれ、入射角がそれぞれα、βである角度がついた2つの支持面133aおよび133bを含むことができる。それに対応して、1つの後支持パッド122はくさび形をし、1つの入射角γを有する。パッド132aおよび132bは、前述のパッド22に類似してもよく、パッド122はパッド32aおよび32bに類似してもよい。類似する機能には、類似する番号が振られている。図6A(基板なし)を参照すると、2つの前パッドはそれぞれ、入射角がそれぞれα、βである角度がついた2つの支持面133aおよび133bを含むことができる。通常、入射角βはαよりも大きい。傾斜のより急な入射角βは、中心線9により近接している。より浅い入射角αは、遠位端部5により近接している。2つの前パッド132aおよび132bの角度がついた支持面133aおよび133bは、接触および滑りの表面を提供し、これらの表面は、エンドエフェクタ64上で平坦なワークピースの最初の配置、次に捕捉および心合わせを行うために使用される。図6Aを再度参照すると、後パッド122はくさび形をし、傾斜面123に対する1つの入射角γを有してもよい。後パッド122の角度がついた支持面123は、接触および滑りの表面を提供し、この表面は、前述した方法と類似した方法でエンドエフェクタ64上で平坦なワークピースの最初の配置および捕捉を行うために使用される。図6Bは、カセット、キャリヤ、FOUPまたは処理位置もしくはステーション(図示せず)から最初に取り上げられた平坦なワークピースSが載っている前パッドおよび後パッドを図解している。平坦なワークピースSは、前パッド132aおよび132bと後パッド122との間で傾斜かつ偏心した位置で最初に取り上げられる。
図6Cは、エンドエフェクタ64の動作後に平坦なワークピースSが載っている前パッドおよび後パッドが、前述した方法と類似した方法で、基板Sが支持パッド122、132aおよび132bの間で再配置される際に使用されることを図解している。模範実施例では、エンドエフェクタの加速が生み出す横方向の力で、基板Sは入射角αから前パッド132aおよび132bの入射角βへ、2つの前パッドの表面133aおよび133bを滑る。入射角βに対する支持面133aおよび133bの長さは、エンドエフェクタ64の動作後の基板Sの最終的な捕捉のための、心合わせ範囲を定義する。それに呼応して、基板を前パッド32aおよび32bと後パッド22との間で心合わせし、水平位置で再配置するように、後パッド122に対して、基板Sの端部が支持面23の入射角γに沿って滑る。スルービームセンサ(図示せず)およびコントローラ(図示せず)を使用して、エンドエフェクタ64の動作後に基板の中心が合っているかどうか、水平状態にあるかどうか判断する。
図7Aは、フォーク型エンドエフェクタブレード先端部12のファイバ経路溝の例を示す、代表的なパッド32の下部表面を図解している。ファイバ経路溝15は、エンドエフェクタブレード8内に配置されており、エンドエフェクタブレード先端部12まで延びている。エンドエフェクタブレード先端部12では、スルービームセンサ14がブレード先端部12の内側表面16に近接して配置されてもよい。図3Aを再度参照すると、前支持パッド32aおよび32bは、エンドエフェクタブレード先端部12に装着することもできる。スルービームセンサ14がこの位置にあるのは、カセット、キャリヤ、および処理ステーション内での基板のマッピング、ならびに支持パッド上での基板の存在および適正位置(図5Cおよび図6C参照)の検出で使用できるようにするためである。
図7Bは、前支持パッド32(前述のパッド32aおよび32bに類似している)で支持された平坦なワークピースSが載っている、本明細書で開示するフォーク型エンドエフェクタの一部の側面設計図である。前支持パッド32はブレード先端部12でフォーク型エンドエフェクタブレード8に装着されている。図7Bは、くさび形の前支持パッド32上で平坦なワークピースSの存在を検出できるように、センサ14(の適切なソース)の場所によって生じるスルービームの場所を図解している。スルービームセンサソース14が平坦なワークピースSによって完全に中断されるとき、平坦なワークピースSがエンドエフェクタ上で正しく位置していることを示している。センサは、基板Sが所望する位置にあり、したがって捕捉および心合わせされているという信号を検出時に送るために、コントローラと連結することもできる。また、ウエハの喪失を防ぐ動作データを有する搬送停止用の適切なプログラムにコントローラがアクセスすることを想定して、コントローラがセンサからのウエハ存在信号の欠如を記録できるように、コントローラをプログラムすることもできる。コントローラは、例えば、障害信号を送信してもよく、停止後に基板捕捉の動作を再び試みてもよい(基板が完全に配置されなかった場合)。または、所望する回復プログラムを開始することもできる。
図8は、模範実施例に従って、代表的な後支持パッド22の上面斜視図である。提示する模範実施例のように、パッドにはパッドをエンドエフェクタブレード8に固定するための装着穴24および26(この2つは例示されている)があってもよい。また、慣性を利用したワークピースの配置ならびに心合わせおよび捕捉のための放射状のまたは角度がついた2つの支持面23Aおよび23Bがあってもよい。図8では、ボルト、ネジ、またはその他の適切な固定手段(図示せず)を使用して、支持パッド22をエンドエフェクタブレード8に装着してもよい。
本明細書で開示する慣性ウエハ心合わせエンドエフェクタの別の模範実施例では、基板搬送装置は、お互いに極めて近接して配置されているエンドエフェクタを複数含んでもよい。例として、お互いに対して平行に配置された2〜5個、またはそれ以上の慣性ウエハ心合わせエンドエフェクタを有する基板搬送装置が挙げられるが、例はこれに限らない。所定の搬送装置にある複数のエンドエフェクタにより、2つまたはそれ以上の平坦なワークピースの取り上げ、配置、心合わせ、捕捉、および搬送が同時に行われるようにする。図9Aは、例として、2つのエンドエフェクタ164を有する基板搬送装置200を図解している。この2つのエンドエフェクタ164はそれぞれ、駆動部142に連結している前アーム162に装着されている。平行する2つのエンドエフェクタ164は、お互いに類似してもよく、前述のエンドエフェクタ64(それぞれが、2つの前パッド132aおよび132bならびに1つの後パッド122を装着しうるエンドエフェクタブレード108を有する)に類似してもよい。類似する機能には、類似する番号が振られている。エンドエフェクタブレードはそれぞれ、同一または異なるタイプでもよい(フォーク型、パドル型、その他)。装着された前支持パッド132aおよび132bならびに後支持パッド122も含めた平行エンドエフェクタ162のそれぞれの高さは、カセット内に格納されているお互いに近接するシリコンウエハ(図示せず)の間に収まるような高さにする。図9Bは、平行する2つの後支持パッド122の模範的側面設計図である。平行する2つの後パッド122はそれぞれ、基板(図示せず)の最初の配置、心合わせおよび捕捉のための、角度のある、または側面がある2つの支持面123を有する。
図10Aを参照すると、本明細書で開示する慣性ウエハ心合わせエンドエフェクタの後パッド構造30の模範実施例の概略図が、後パッド構造30には前後調節機能を加えるためにスロットを入れることができることを図解している。これにより、後パッド22の位置は、エンドエフェクタブレード8の遠位端部に対して簡単に変更でき、大きさの異なる平坦なワークピースに対応することができる。また、エンドエフェクタ64と前アーム62とを連結させている取付具4についても図解している。図10Bは後パッド構造30の底面図であり、模範実施例で後パッド(図10A参照)が、ボルトまたはネジ24、26などのような適切な締め具で後パッドアーム27に装着されうることを図解している。後パッドアーム27も、ボルトまたはネジ28、29で取付具4に装着されうる。後パッドアーム27および後パッド22を含む後パッド構造30も、図10Cで図解されている。後パッド構造30は、後パッド22の修理または交換など、必要に応じてエンドエフェクタ64から簡単に取り外しすることができる。
図11を参照すると、別の模範実施例の後パッド構造30では、エンドエフェクタブレード8に対しての後パッド22の前後調節機能をより柔軟にするために、バネ荷重機構31として装着してもよい。後パッドおよび後パッドアーム27を含む後パッド構造30は、後支持パッド22の前後調節機能を高めるために、ピンスロット機構35により前アーム62とエンドエフェクタ64との間を取付具4に沿って動くように組み立ててもよい。1つまたはそれ以上のピン36は取付具プレート4に位置し、1つまたはそれ以上のスロット37は後パッドアーム27に位置する。このピンスロット機構(「すべり舌針機構」とも呼ばれる)35は、後支持パッドがエンドエフェクタブレードの前方から後方にすばやく調節して、表面の摩耗が引き起こす平坦なワークピースの直径および後パッド22の寸法の変化に対応できるようにする。
本明細書で開示する慣性ウエハ心合わせエンドエフェクタの別の模範実施例では、平坦なワークピースの搬送の有利な方法を提示する。方法には次のような内容が含まれる。まず最初に、駆動軸、駆動軸に連結している可動アーム、および可動アームに連結しているエンドエフェクタを具備する平坦なワークピースを搬送する装置を提供する方法。ここでは、エンドエフェクタは、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッド、ブレード内に装着されているスルービームセンサ、ならびに駆動部、可動アーム、エンドエフェクタ、およびスルービームセンサを調整するコントローラを有する。スルービームセンサは、エンドエフェクタブレードの前パッドおよび後パッドの位置に対する平坦なワークピースの位置をマッピングするために使用する。これにより、図5Bおよび6Bで示すように、エンドエフェクタブレードの前パッドおよび後パッドの支持面で、エンドエフェクタブレードが平坦なワークピースを傾斜かつ偏心した状態で取り上げることができる。続いて、スルービームセンサを使用して、前パッドおよび後パッドの支持面で平坦なワークピースの偏心を検出することができる。エンドエフェクタは、図5Cおよび6Cで示すように、前パッドと後パッドとの間で平坦なワークピースの水平状態の心合わせおよび捕捉を同時に行うために、移動して平坦なワークピースに横方向の力を与えることができる。心合わせおよび捕捉が行われた平坦なワークピースは、次に1つまたはそれ以上の処理ステーション、特にシリコンウエハ処理のためのステーションに搬送することができる。処理ステーションの例としてエッチング、化学気相成長法、物理気相成長法、イオン注入、計測、高速熱処理、乾式ストリッピング、およびこれらの組み合わせが挙げられるが、これらに限らない。
上記説明は、本開示の単なる実例であると理解すべきである。様々な代替例および変更例が、当業者によって本開示から逸脱することなく考案されうる。したがって、本開示では、添付の特許請求の範囲に含まれる代替例、変更例、および変形例をすべて包含するものとする。

Claims (22)

  1. 基板処理ツールのための基板搬送装置であって、
    駆動部と、
    前記駆動部と動作的に連結している可動アームと、
    前記処理ツール内で基板を保持、搬送するための前記可動アームと連結しているエンドエフェクタと、
    前記エンドエフェクタに連結して、前記エンドエフェクタ上で基板の慣性により基板を捕捉および心合わせできるように配置されている基板慣性捕捉エッジグリップと、
    を備えることを特徴とする基板搬送装置。
  2. 前記グリップが、前記基板の周辺への接触用の前接触パッドおよび後接触パッドをエンドエフェクタブレード上に有する前記エンドエフェクタによって定義され、前記非対称前接触パッドおよび後接触パッドが、非対称に角度のついた基板との接触面を定義する基板接触面を有し、
    前記基板の心合わせおよび捕捉のために、基板と前記接触パッドの少なくとも1つとの間で基板の慣性により動作が発生するよう前記可動アームを動かすようにプログラムされている、前記駆動部と連結しているコントローラを有することを特徴とする請求項1記載の装置。
  3. 前記コントローラが、前記前パッドおよび後パッドの前記接触面で前記基板を偏心した状態で取り上げるよう前記エンドエフェクタの位置決めをするようにプログラムされていることを特徴とする請求項2記載の装置。
  4. 前記前パッドおよび後パッドが、2つの前パッドおよび1つの後パッドを含むことを特徴とする請求項2記載の装置。
  5. 前記エンドエフェクタブレードがフォーク型であることを特徴とする請求項2記載の装置。
  6. 前記2つの前パッドが、各エンドエフェクタブレード先端部内に組み込まれていることを特徴とする請求項5記載の装置。
  7. 前記エンドエフェクタブレードがパドル型であることを特徴とする請求項2記載の装置。
  8. 前記2つの前パッドのそれぞれが、2つまたはそれ以上の角度のついた基板との接触面を有し、そのうち少なくとも1つは基板用傾斜面であり、前記後パッドは1つまたはそれ以上の基板用傾斜面を有することを特徴とする請求項4記載の装置。
  9. 前記少なくとも1つの基板用傾斜面が、水平方向に対して複合的に角度があり、基板の動作を偏向させるように配置されていることを特徴とする請求項8記載の装置。
  10. 前記1つの後パッドが、前記基板の後縁を捕捉するための第一のくさび形状と、前記第一のくさび形状と異なる第二のくさび形状とを有することを特徴とする請求項6記載の装置。
  11. 前記非対称前接触パッドおよび後接触パッドが、前記接触面により前記基板に対して不平衡な力が生じ、前記基板を所定の方向に偏向させることができるように配置されていることを特徴とする請求項2記載の装置。
  12. 前記エンドエフェクタが、前記処理ツールの保持ステーション内で基板をマッピングするための基板マッパを有し、前記基板マッパが、前記慣性捕捉エッジグリップで保持されている前記基板の存在を検出することを特徴とする請求項1記載の装置。
  13. 前記基板マッパが、基板検知のための放射線アームセンサを有し、前記放射線アームセンサは基板マッピングと圧力検出のための共通のセンサであることを特徴とする請求項12記載の装置。
  14. 平坦なワークピースの搬送のための平坦ワークピース搬送装置であって、
    駆動軸を含む駆動部と、
    前記駆動軸と連結している少なくとも1つの可動アームと、
    前記少なくとも1つの可動アームと連結している少なくとも1つのエンドエフェクタとを具備し、前記少なくとも1つのエンドエフェクタが、前記平坦なワークピースの周辺の支持のための、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッドを有し、前記非対称の前パッドおよび後パッドの少なくとも1つは、別の前記前パッドおよび後パッドに対して非対称のワークピース支持面を有し、少なくとも1つのエンドエフェクタが、ワークピース保持ステーションのマッピング、ならびに前記非対称の前パッドおよび後パッド上での前記平坦なワークピースの検出および配置のための、前記エンドエフェクタブレード内に装着されているビームセンサを有し、
    前記前パッドおよび後パッドの非対称性が、前記前パッドおよび後パッドの前記支持面上の前記平坦なワークピースの傾斜かつ偏心した状態を定義し、前記傾斜かつ偏心した状態から慣性利用で前記平坦なワークピースが変位するとき、前記少なくとも1つのエンドエフェクタ上で前記平坦なワークピースの心合わせおよび捕捉を同時に行うことを特徴とする平坦ワークピース搬送装置。
  15. さらに、前記少なくとも1つのエンドエフェクタ上で慣性を利用した前記平坦なワークピースの心合わせおよび捕捉を行うための、前記駆動部、前記少なくとも1つの可動アーム、前記少なくとも1つのエンドエフェクタ、および前記スルービームセンサを調整する1つまたはそれ以上のコントローラを有することを特徴とする請求項14記載の装置。
  16. 前記非対称の前パッドおよび後パッドが、2つの前パッドおよび1つの後パッドを含み、前記少なくとも1つのエンドエフェクタが、ほぼ平行な2つまたはそれ以上のエンドエフェクタを含み、それぞれが非対称の前パッドおよび後パッドを有することを特徴とする請求項14記載の装置。
  17. 各エンドエフェクタブレードがフォーク型であることを特徴とする請求項16記載の装置。
  18. 前記2つの前パッドが、2つまたはそれ以上の角度のついた支持面を含み、前記1つの後パッドが1つまたはそれ以上の角度のついた支持面を含むことを特徴とする請求項16記載の装置。
  19. 前記エンドエフェクタ上にある前記平坦なワークピースの心合わせおよび捕捉のための慣性による変位は、前記少なくとも1つのエンドエフェクタの動作の加速で生じることを特徴とする請求項14記載の装置。
  20. 請求項14記載の装置を備える半導体ツールステーション。
  21. 前記後パッドが、バネ荷重調節機構を介して前記エンドエフェクタブレードに装着されていることを特徴とする、請求項16記載の装置。
  22. 平坦なワークピースを搬送する方法であって、
    駆動軸、前記駆動軸に連結している可動アーム、および前記可動アームに連結しているエンドエフェクタを具備する平坦なワークピースを搬送する装置の提供ステップを含み、前記エンドエフェクタは、エンドエフェクタブレードに装着されている非対称の前パッドおよび後パッド、前記エンドエフェクタブレード内に装着されているスルービームセンサ、ならびに前記駆動部、前記可動アーム、前記エンドエフェクタ、および前記スルービームセンサを調整するコントローラを有することを特徴とし、
    前記方法はさらに、
    前記スルービームセンサを用い、ワークピース保持ステーション内にある前記平坦なワークピースの位置を所定の位置に対してマッピングするステップと、
    前記エンドエフェクタブレードの前記前パッドおよび後パッドの前記支持面で、前記エンドエフェクタが前記平坦なワークピースを傾斜かつ偏心した状態で取り上げるステップと、
    前記エンドエフェクタを動かし、前記平坦なワークピース上で機能する前記非対称前パッドおよび後パッドに対して慣性を利用して前記傾斜かつ偏心した状態から前記平坦なワークピースを変位させ、慣性による変位があったときに前記エンドエフェクタ上で前記平坦なワークピースの心合わせおよび捕捉を同時に行うステップと、
    を含むことを特徴とする、平坦なワークピースを搬送する方法。
JP2010506290A 2007-04-27 2008-04-28 慣性ウエハ心合わせエンドエフェクタおよび搬送装置 Pending JP2010525608A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/741,416 US9437469B2 (en) 2007-04-27 2007-04-27 Inertial wafer centering end effector and transport apparatus
PCT/US2008/005438 WO2008134041A1 (en) 2007-04-27 2008-04-28 Inertial wafer centering and effector and transport apparatus

Publications (1)

Publication Number Publication Date
JP2010525608A true JP2010525608A (ja) 2010-07-22

Family

ID=39887178

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010506290A Pending JP2010525608A (ja) 2007-04-27 2008-04-28 慣性ウエハ心合わせエンドエフェクタおよび搬送装置

Country Status (5)

Country Link
US (1) US9437469B2 (ja)
JP (1) JP2010525608A (ja)
KR (1) KR101475790B1 (ja)
TW (1) TWI527149B (ja)
WO (1) WO2008134041A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013042112A (ja) * 2011-07-15 2013-02-28 Tokyo Electron Ltd 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
JP2013042175A (ja) * 2012-11-13 2013-02-28 Tokyo Electron Ltd 基板保持部材、基板搬送アーム及び基板搬送装置
KR101416591B1 (ko) * 2012-12-20 2014-07-08 주식회사 선익시스템 기판의 수동 이동 장치
JP2017522738A (ja) * 2014-07-03 2017-08-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板移送ロボットエンドエフェクタ
WO2019008814A1 (ja) * 2017-07-05 2019-01-10 川崎重工業株式会社 基板把持ハンド及びそれを備える基板搬送装置

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4313824B2 (ja) * 2007-03-23 2009-08-12 東京エレクトロン株式会社 基板移載装置及び基板移載方法並びに記憶媒体
CN101959643B (zh) * 2008-03-05 2013-04-17 平田机工株式会社 工件移送装置
JP5091316B2 (ja) * 2008-05-28 2012-12-05 平田機工株式会社 生産装置
TWI691388B (zh) * 2011-03-11 2020-04-21 美商布魯克斯自動機械公司 基板處理裝置
JP5859236B2 (ja) * 2011-07-15 2016-02-10 ファスフォードテクノロジ株式会社 フレーム供給装置およびフレーム供給方法
US9431282B2 (en) * 2011-12-27 2016-08-30 Rudolph Technologies, Inc. Wafer inversion mechanism
TWI456685B (zh) * 2012-05-02 2014-10-11 Advanced Wireless Semiconductor Company 應用於聚光型太陽能電池之蝕刻設備之晶圓傳輸裝置
TWI625814B (zh) * 2012-07-27 2018-06-01 荏原製作所股份有限公司 工件搬送裝置
DE102013208301A1 (de) * 2013-05-06 2014-10-16 Siltronic Ag Anordnung umfassend eine Halbleiterscheibe und eine stufenförmige Auflage zum Unterstützen der Halbleiterscheibe
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
CN103700612B (zh) * 2013-12-02 2016-06-29 合肥京东方光电科技有限公司 一种末端执行器组件
JP6313972B2 (ja) 2013-12-26 2018-04-18 川崎重工業株式会社 エンドエフェクタおよび基板搬送ロボット
US9991152B2 (en) 2014-03-06 2018-06-05 Cascade Microtech, Inc. Wafer-handling end effectors with wafer-contacting surfaces and sealing structures
US9779977B2 (en) 2015-04-15 2017-10-03 Lam Research Corporation End effector assembly for clean/dirty substrate handling
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10286549B2 (en) 2015-12-16 2019-05-14 Comau Llc Adaptable end effector and method
USD822735S1 (en) * 2017-03-17 2018-07-10 Donald Dimattia, Jr. Positionable end effector link
CA3062332C (en) 2017-05-03 2022-12-13 Lsi Solutions, Inc. Surgical equipment holder
US11103126B2 (en) * 2017-05-03 2021-08-31 Lsi Solutions, Inc. Surgical equipment holder
KR102353494B1 (ko) 2017-06-30 2022-01-20 삼성전자주식회사 사용자의 근접을 검출하기 위한 전자 장치 및 그의 동작 방법
CN110676204A (zh) * 2019-09-26 2020-01-10 长园启华智能科技(珠海)有限公司 晶圆定位机构
CN111958615A (zh) * 2020-08-19 2020-11-20 西安奕斯伟硅片技术有限公司 一种用于搬送晶圆的组件及方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153818A (ja) * 1993-11-30 1995-06-16 Daihen Corp 半導体ウエハ認識装置
JPH10308436A (ja) * 1997-05-08 1998-11-17 Olympus Optical Co Ltd 基板搬送装置
JPH11330198A (ja) * 1998-05-15 1999-11-30 Tokyo Electron Ltd 基板搬送装置及び基板処理装置
JP2000252347A (ja) * 1999-03-01 2000-09-14 Kawasaki Heavy Ind Ltd 基板搬送方法及び基板搬送装置並びに基板搬送アーム
JP2002110769A (ja) * 2000-09-26 2002-04-12 Shinko Electric Co Ltd ウェハ移載装置
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
JP2003524881A (ja) * 1999-05-04 2003-08-19 エーディーイー コーポレーション エッジグリッピングエンドエフェクタウエーハハンドリング装置
JP2004273847A (ja) * 2003-03-10 2004-09-30 Tokyo Electron Ltd 基板搬送装置
JP2004535681A (ja) * 2001-07-14 2004-11-25 ブルックス オートメーション インコーポレイテッド 統合マッピングセンサを備えた中心位置決め用両側エッジグリップ・エンドエフェクタ
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
JP2008235810A (ja) * 2007-03-23 2008-10-02 Tokyo Electron Ltd 熱処理方法及び熱処理装置並びに被処理基板移載方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5669644A (en) 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
JP2000124289A (ja) * 1998-10-19 2000-04-28 Mecs Corp 薄型基板搬送ロボットのハンド
IL143467A (en) * 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
US6520726B1 (en) * 1999-03-03 2003-02-18 Pri Automation, Inc. Apparatus and method for using a robot to remove a substrate carrier door
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US8016541B2 (en) 2003-09-10 2011-09-13 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
JP4439464B2 (ja) * 2005-12-06 2010-03-24 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153818A (ja) * 1993-11-30 1995-06-16 Daihen Corp 半導体ウエハ認識装置
JPH10308436A (ja) * 1997-05-08 1998-11-17 Olympus Optical Co Ltd 基板搬送装置
JPH11330198A (ja) * 1998-05-15 1999-11-30 Tokyo Electron Ltd 基板搬送装置及び基板処理装置
JP2000252347A (ja) * 1999-03-01 2000-09-14 Kawasaki Heavy Ind Ltd 基板搬送方法及び基板搬送装置並びに基板搬送アーム
JP2003524881A (ja) * 1999-05-04 2003-08-19 エーディーイー コーポレーション エッジグリッピングエンドエフェクタウエーハハンドリング装置
JP2002110769A (ja) * 2000-09-26 2002-04-12 Shinko Electric Co Ltd ウェハ移載装置
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
JP2004535681A (ja) * 2001-07-14 2004-11-25 ブルックス オートメーション インコーポレイテッド 統合マッピングセンサを備えた中心位置決め用両側エッジグリップ・エンドエフェクタ
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
JP2004273847A (ja) * 2003-03-10 2004-09-30 Tokyo Electron Ltd 基板搬送装置
JP2008235810A (ja) * 2007-03-23 2008-10-02 Tokyo Electron Ltd 熱処理方法及び熱処理装置並びに被処理基板移載方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013042112A (ja) * 2011-07-15 2013-02-28 Tokyo Electron Ltd 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
JP2013042175A (ja) * 2012-11-13 2013-02-28 Tokyo Electron Ltd 基板保持部材、基板搬送アーム及び基板搬送装置
KR101416591B1 (ko) * 2012-12-20 2014-07-08 주식회사 선익시스템 기판의 수동 이동 장치
JP2017522738A (ja) * 2014-07-03 2017-08-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板移送ロボットエンドエフェクタ
WO2019008814A1 (ja) * 2017-07-05 2019-01-10 川崎重工業株式会社 基板把持ハンド及びそれを備える基板搬送装置

Also Published As

Publication number Publication date
KR101475790B1 (ko) 2014-12-23
US9437469B2 (en) 2016-09-06
US20080267747A1 (en) 2008-10-30
WO2008134041A1 (en) 2008-11-06
TWI527149B (zh) 2016-03-21
KR20100023821A (ko) 2010-03-04
TW200908195A (en) 2009-02-16

Similar Documents

Publication Publication Date Title
JP2010525608A (ja) 慣性ウエハ心合わせエンドエフェクタおよび搬送装置
US11420337B2 (en) Transport apparatus
US20200388523A1 (en) Wafer aligner
US10607879B2 (en) Substrate processing apparatus
JP4404481B2 (ja) 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
JP5123851B2 (ja) 加工物を格納するための加工物容器
US6623235B2 (en) Robot arm edge gripping device for handling substrates using two four-bar linkages
US8752872B2 (en) Edge grip end effector
US6935830B2 (en) Alignment of semiconductor wafers and other articles
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
US20070018469A1 (en) Contamination-free edge gripping mechanism with withdrawable pads and method for loading/unloading and transferring flat objects
US8322963B2 (en) End effector for a cluster tool
US20090092470A1 (en) End effector with sensing capabilities
JP5827046B2 (ja) 板状部材の支持装置および支持方法、ならびに板状部材の搬送装置
WO2024116894A1 (ja) 基板搬送方法、および基板処理システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121203

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140226

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140304

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140411