KR20150073873A - 개선된 웨이퍼 핸들링을 위한 마이크로구조체들 - Google Patents

개선된 웨이퍼 핸들링을 위한 마이크로구조체들 Download PDF

Info

Publication number
KR20150073873A
KR20150073873A KR1020140187298A KR20140187298A KR20150073873A KR 20150073873 A KR20150073873 A KR 20150073873A KR 1020140187298 A KR1020140187298 A KR 1020140187298A KR 20140187298 A KR20140187298 A KR 20140187298A KR 20150073873 A KR20150073873 A KR 20150073873A
Authority
KR
South Korea
Prior art keywords
contact
substrate
structures
van der
der waals
Prior art date
Application number
KR1020140187298A
Other languages
English (en)
Inventor
매튜 제이. 로드닉
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150073873A publication Critical patent/KR20150073873A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Abstract

반도체 웨이퍼들을 포함하는 기판들의 수송을 위한 높은 마찰 접촉 표면 계수가 본 명세서에 제공된다. 특정한 구현예들에서, 접촉 표면들은 기판을 기울이지 않고 z-방향으로 쉽게 릴리즈되게 하면서, 기판 수송 동안 x-y 방향에서 증가된 분자 간 표면 접착력 및 마찰력을 이용하는 마이크로구조체들을 포함한다. 또한 접촉 표면들을 포함하는 로봇 말단 이펙터들 및 관련된 고-쓰루풋 수송 시스템들 및 방법들이 제공된다.

Description

개선된 웨이퍼 핸들링을 위한 마이크로구조체들{MICROSTRUCTURES FOR IMPROVED WAFER HANDLING}
상이한 타입의 툴들이 반도체 디바이스 제조 동안에 수백 개의 프로세싱 동작들을 수행하는데 사용된다. 이러한 동작들 대부분은 매우 낮은 압력, 즉 진공 또는 부분적으로 진공의 프로세스 챔버들 내에서 수행된다. 이러한 프로세스 챔버들은 중앙 허브 주변에 배열될 수 있으며, 허브 및 프로세스 챔버들은 실질적으로 동일한 매우 낮은 압력으로 유지될 수 있다. 프로세스 챔버들 및/또는 중앙 허브에 기계적으로 커플링된 웨이퍼 핸들링 시스템들 (wafer handling systems) 에 의해서 웨이퍼들이 프로세스 챔버들에 도입될 수 있다. 웨이퍼 핸들링 시스템들은 웨이퍼들을 팩토리 플로어 (factory floor) 로부터 프로세스 챔버로 전달한다. 웨이퍼 핸들링 시스템들은 웨이퍼들을 대기 (atmospheric) 상태들에서 매우 낮은 압력 상태들로 이끌고 다시 역으로 이끄는 로드록들 (loadlocks) 및 웨이퍼들을 다양한 위치들에 전달하는 로봇들을 포함할 수 있다. 웨이퍼 핸들링 시스템들은 진공 환경 외부에서 동작하는 로봇들, 예를 들어서 대기 팩토리 플로어 환경에서 동작하는 로봇들, 및 프로세스 챔버들의 매우 낮은 압력의 분위기 내에서 동작하는 로봇들을 사용할 수 있다. 쓰루풋 - 일정 기간 내에 프로세스되는 웨이퍼들의 개수 - 은 프로세스 시간, 한번에 처리되는 웨이퍼의 개수, 및 웨이퍼를 진공 프로세스 챔버들 내로 도입하는데 걸리는 시간에 영향을 받는다.
본 명세서에 개시된 주제의 일 양태는 말단 이펙터 (end effector) 용 접촉 패드로 구현될 수 있다. 접촉 패드는 접촉 패드 베이스 상에 배열된 복수의 구조체들을 포함하고, 상기 구조체 각각은 가요성 부재 (flexible member) 및 상기 가요성 부재에 연결된 복수의 접촉 부재들을 포함하고, 상기 가요성 부재는 기판의 적용 시 방향을 바꾸도록 (deflect) 구성되고, 상기 복수의 접촉 부재들은 반 데르 발스 접착에 의해 기판에 접착되도록 구성된다. 구조체들은 다양한 구현예들에 따라 다양한 배열들로 배열될 수 있다. 예를 들어, 구조체들은 접촉 패드 베이스의 중심을 둘러서 연장하는 원주형 열들로 배열될 수 있다. 일부 구현예들에서, 상기 복수의 구조체들은 상기 접촉 패드로부터의 기판의 릴리즈가 영역별로 이루어지도록 구성된 복수의 영역들로 배열될 수 있다. 일부 구현예들에서, 상기 복수의 구조체들의 높이 (altitude) 및/또는 유효 스프링 상수는 상기 접촉 패드에 걸쳐 가변한다. 높이 및/또는 유효 스프링 상수의 변화는 일 지점을 중심으로 대칭일 수도 있다. 예를 들어, 이 변화는 원형 대칭성 (circular symmetry) 를 가질 수도 있다.
가요성 부재들은 다양한 사이즈들을 가질 수 있다. 일부 구현예들에서, 가요성 부재의 최대 치수는 1 ㎜ 미만이다. 같거나 다른 구현예들에서, 가요성 부재의 최소 치수는 100 ㎛ 미만이다. 가요성 부재들의 재료들이 예들은 탄소 나노튜브 네트워크들과 같은 탄소 및 폴리머 재료들을 포함할 수 있다. 접촉 부재들은 또한 다양한 사이즈들을 가질 수 있다. 접촉 부재들은 사이즈들을 변화시킬 수 있다. 일부 구현예들에서, 접촉 부재의 최소 치수는 1 ㎛ 미만, 또는 500 ㎚ 미만이다. 일부 구현예들에서, 접촉 부재 각각은 복수의 자유 단부들로 분할되는 베이스를 포함할 수 있다.
본 명세서에 개시된 주제의 다른 양태는 접촉 패드 베이스 상에 배열된 복수의 구조체들을 포함하는 엔드 이펙터용 접촉 패드로 구현될 수 있고, 상기 복수의 구조체들 각각은 유효 스프링 상수 및 높이를 갖고, 복수의 구조체들의 유효 스프링 상수의 적어도 하나는 접촉 패드에 걸쳐 가변한다. 일부 구현예들에서, 높이 또는 유효 스프링 상수의 변화는 원형 대칭성을 가질 수 있다.
본 명세서에 기술된 주제의 다른 양태는 본 명세서에 기술된 바와 같은 하나 이상의 말단 이펙터용 접촉 패드들을 갖는 엔드 이펙터로 구현될 수 있다.
본 명세서에 기술된 주제의 다른 양태는 하나 이상의 암들; 상기 하나 이상의 암들을 이동시키도록 구성된 모터; 및 상기 하나 이상의 암들에 부착되도록 구성된 하나 이상의 말단 이펙터들을 포함하는 로봇으로 구현될 수 있다. 상기 하나 이상의 말단 이펙터들은 슬립핑 (slipping) 하지 않고 x-y 방향으로 적어도 약 0.5 g의 가속도로 패시브 접촉함으로써 반도체 기판을 수송하도록 구성되고, 상기 반도체 기판을 기울이지 않고 수직 힘의 인가 시 상기 반도체 기판의 비작동 (non-actuated) 릴리즈를 위해 구성될 수 있다. 일부 구현예들에서, 하나 이상의 말단 이펙터들은 400 ℃보다 높은 온도로 반도체 기판들을 지지하도록 동작가능하다. 일부 구현예들에서, 하나 이상의 말단 이펙터들은 적어도 300 ㎜의 직경, 또는 적어도 450 ㎜의 직경의 반도체 기판을 수송하도록 구성될 수 있다.
본 명세서에 기술된 주제의 다른 양태는 반도체 프로세스 툴로 구현될 수 있다. 프로세스 툴은 상기 하나 이상의 프로세스 모듈들에 연결하도록 구성되고, 하나 이상의 프로세스 모듈들로 그리고 하나 이상의 프로세스 모듈들로부터 반도체 기판들을 수송하도록 구성된 수송 모듈을 포함할 수 있다. 수송 모듈은 본 명세서 기술된 바와 같은 하나 이상의 말단 이펙터용 접촉 패드들을 갖는 로봇을 포함한다. 일부 구현예들에서, 프로세스 툴은 수송 모듈에 연결된 하나 이상의 프로세스 모듈들을 더 포함한다. 일부 구현예들에서, 수송 모듈은 로드록 또는 기판 저장 위치로 그리고 로드록 또는 기판 저장 위치로부터 반도체 기판들을 연결 및 수송하도록 구성된다. 수송 모듈은 진공 또는 대기 수송 모듈일 수도 있다. 프로세스 툴은 x-y 방향으로 적어도 0.5 g, 적어도 1 g의 가속도로 말단 이펙터를 이동시키기 위한 머신 판독가능 인스트럭션들을 포함하는 제어기를 더 포함할 수도 있다.
본 명세서에 기술된 주제의 다른 양태는 말단 이펙터롭터 기판을 릴리즈하는 방법으로 구현될 수 있다. 이 방법은 패시브 접촉에 의해 말단 이펙터에 의해 지지된 기판을 제공하는 단계로서, 상기 기판은 상기 기판과 상기 말단 이펙터 상의 복수의 반 데르 발스 구조체들 간의 반 데르 발스 접착력에 의해 지지되는, 상기 기판을 제공하는 단계; 및 상기 말단 이펙터로부터 기판을 들어올리기 위해 상기 기판에 직교하는 힘을 인가하여, 상기 반 데르 발스 접착력을 디스인게이지 (disengage) 하는 단계를 포함할 수 있고, 기판은 디스인게이지 내내 기울어지지 않은 채로 유지된다. 일부 구현예들에서, 직교하는 힘이 접착력보다 작도록 릴리즈된다.
본 명세서에 기술된 주제의 이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 기판 수송 동안 로봇 말단 이펙터의 말단 이펙터 접촉 패드들 상에 지지된 기판 상에 작용하는 힘들의 간략화된 개략도의 예를 도시한다.
도 2a는 로딩되지 않은 상태의, 접촉 구조체에 연결된 가요성 부재를 포함하는 가요성 반 데르 발스-계 마이크로구조체의 예의 개략도를 도시한다.
도 2b는 그 위에 기판이 로딩되는 동안 도 2a의 가요성 반 데르 발스-계 마이크로구조체를 도시한다.
도 2c는 로딩된 상태의 도 2a의 가요성 반 데르 발스-계 마이크로구조체를 도시한다.
도 2d는 도 2a에 도시된 접촉 구조체의 예의 확대도를 도시한다.
도 3a는 반 데르 발스-계 마이크로구조체의 가요성 부재에 연결된 접촉 구조체의 예의 개략도를 도시한다.
도 3b는 그 위에 기판이 로딩되는 동안, 접촉 구조체에 연결된 가요성 부재를 포함하는 가요성 반 데르 발스-계 마이크로구조체의 예의 개략도를 도시한다.
도 3c는 로딩 동안 반 데르 발스-계 마이크로구조체의 접촉 구조체의 나노구조체들의 예의 개략도를 도시한다.
도 3d는 반 데르 발스-계 마이크로구조체의 가요성 부재들의 예의 개략도를 도시한다.
도 4a는 반 데르 발스-계 마이크로구조체들의 다수의 영역들을 포함하는 말단 이펙터 접촉 패드의 평면도의 예의 개략도를 도시한다.
도 4b는 도 4a의 말단 이펙터 접촉 패드의 가장 안쪽 3 개의 영역들의 도 4a의 선 A를 따른 개략적인 단면도를 도시한다.
도 5a 내지 도 5e는 가변하는 높이의 영역들에 배열된 반 데르 발스-계 마이크로구조체들을 갖는 접촉 패드를 포함하는 로딩된 말단 이펙터의 말단 이펙터 패드 베이스로부터의 기판 릴리즈 단계를 예시한다.
도 6a 내지 도 6d는 가변하는 스프링 상수의 영역들로 배열된 반 데르 발스-계 마이크로구조체들을 갖는 접촉 패드를 포함하는 로딩된 말단 이펙터의 말단 이펙터 패드 베이스로부터의 기판 릴리즈 단계를 예시한다.
도 7a 및 도 7b는 특정한 구현예들에 따라 가변할 수도 있는 반 데르 발스-계 마이크로구조체들의 각들의 예들의 개략도를 도시한다.
도 8은 반 데르 발스-계 마이크로구조체들을 포함하는 4 개의 말단 이펙터 접촉 패드를 포함하는 말단 이펙터의 예를 도시한다.
도 9는 반 데르 발스-계 마이크로구조체들을 포함하는 말단 이펙터를 갖는 고 쓰루풋 진공 수송 모듈을 포함하는 반도체 프로세스 툴의 예를 도시한다.
도 10은 반도체 프로세스 모듈을 제어하기 위해 채용될 수도 있는 제어 시스템의 예의 블록도를 도시한다.
다양한 실시예들의 예들이 첨부 도면들에서 예시되며 이하에서 더 설명된다. 본 명세서에서의 설명은 청구범위를 기술된 특정 실시예들로 한정하는 것으로 해석되지 말아야 함이 이해될 것이다. 이보다는, 대안들, 수정들, 및 균등사항들이 첨부된 청구항들에 의해서 규정되는 바와 같은 본 발명의 사상 및 범위 내에 포함되는 것으로 해석되어야 한다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해서 제시된다. 본 발명은 이러한 특정 세부사항들 일부 또는 전부 없이도 실시될 수 있다. 다른 실례들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 설명되지 않았다.
기판 수송을 위한 장치, 시스템들, 및 방법들이 본 명세서에 제공된다. 기술된 장치들, 시스템들, 및 방법들이 반도체 제작을 위해 사용될 수 있지만, 이들은 기판들, 특히 디스플레이 기술들을 위한 유리 패널들과 같은 다른 대형 면적 기판들을 수송하는 임의의 프로세스들에 사용될 수 있다는 것이 이해되어야 한다. 논의의 목적들을 위해, 이하의 기술은 반도체 웨이퍼들을 주로 참조하지만, 다른 타입들의 기판들 및 워크피스들의 수송을 위한 방법들, 시스템들, 및 장치들을 구현하는 방법이 이해될 것이다.
반도체 웨이퍼들은 종종 집적 회로 제조 동안 웨이퍼 수송 장치들을 통해 프로세싱 스테이션들 또는 모듈들로 도입된다. 많은 집적 회로 제작 프로세스들에서, 웨이퍼들은 고온에서 프로세싱된다 - 웨이퍼 수송 장치에 “콜드 (cold)” 상태, 통상적으로 약 실온으로 들어가고 “핫 (hot)” 상태, 예를 들어 약 30 ℃ 내지 500 ℃의 온도로 프로세스 모듈을 떠난다. 예를 들어, 유전체 또는 도전체층의 에칭은 약 30 ℃ 내지 250 ℃의 웨이퍼 온도로 수행될 수도 있다. 다른 예에서, 유전체 층의 PECVD (plasma enhanced chemical vapor deposition) 는 약 300 ℃ 내지 500 ℃의 웨이퍼 온도로 수행될 수도 있다.
로봇은 제 1 위치, 예를 들어, 로드록 또는 저장 위치로부터 콜드 웨이퍼들을 프로세싱을 위한 프로세스 모듈로 수송하고, 프로세싱된 핫 웨이퍼들을 프로세스 모듈로부터 제 1 위치 또는 다른 위치로 다시 수송할 수도 있다. 많은 애플리케이션들에서, 프로세스들은 프로세스 모듈들로 그리고 프로세스 모듈들로부터 웨이퍼들을 수송하도록 사용된 진공 수송 모듈을 갖는 진공 환경들에서 동작된다. 진공 수송 모듈 내의 진공 수송 모듈 로봇은 로드록 또는 다른 위치로부터 콜드 웨이퍼들을 프로세싱을 위한 프로세스 모듈로 수송하고, 프로세싱된 모듈을 프로세스 모듈로부터 로드록 또는 진공 수송 모듈에 연결된 제 2 프로세스 모듈과 같은 다른 위치로 다시 수송할 수도 있다.
말단 이펙터는 블레이드, 패들, 또는 포크와 같은 로봇 암의 말단에 연결된 디바이스 또는 툴이다. 본 명세서에서 사용된 바와 같이, 말단 이펙터는 웨이퍼 또는 다른 기판들을 수송하기 위해 이들과 물리적으로 접촉하는 임의의 지지부 또는 디바이스이다. 엔드 이펙터들은 그립퍼들과 같이 웨이퍼를 제 위치에 홀딩하기 위한 디바이스들뿐만 아니라, 그 위에 웨이퍼가 놓이는 블레이드들, 패들들, 또는 포크들과 같은 일반적으로 편평한 지지부들을 포함한다. 본 명세서에 기술된 웨이퍼 수송 수단의 일부 구현예들에서, 웨이퍼는 말단 이펙터의 2 이상의 상승된 패드들 상에 놓인다. 쓰루풋, 시간 당 프로세싱될 수 있는 웨이퍼들의 수는 웨이퍼들이 얼마나 빨리 위치들 간에서 수송될 수 있는 가에 의존할 수 있다. 쓰루풋을 결정할 수 있는 인자들은 로봇 모터의 한계들 및 웨이퍼를 반송하는 말단 이펙터가 웨이퍼 슬립핑 (slippage) 없이 획득할 수 있는 최대 가속도 및 감속도를 포함한다. 후자의 인자는 수송 동안 그 위에 웨이퍼가 놓이는 말단 이펙터 패드 또는 다른 표면의 정지 마찰 계수 (coefficient of static friction) 에 의해 결정된다. 고 마찰 계수의 재료를 사용하는 것은 보다 큰 가속도를 가능하게 하고, 웨이퍼들을 수송하는데 걸리는 시간을 감소시킨다. 예로서, PFEs (perfluoroelastomers) 는 실리콘 웨이퍼에 대해 약 1의 정지 마찰 계수를 갖는다. 이는 세라믹에 대한 약 0.3의 정지 마찰 계수와 비교된다. 그 결과로서, PFE 말단 이펙터 상의 웨이퍼에 대해 획득가능한 가속 레이트는 세라믹 말단 이펙터 상의 웨이퍼에 대해 획득가능한 가속 레이트보다 2 배 이상이다.
도 1은 기판 이송 동안, 말단 이펙터 (12) 의 말단 이펙터 접촉 패드들 (14) 상에 지지된 450 ㎜ 반도체 웨이퍼와 같은, 기판 (10) 상에 작용하는 힘들의 간략화된 개략도의 예를 도시한다. 저 파티클 요건들은 전면-측 그립퍼들 또는 기판 (10) 의 전면 (15) 과 접촉하는 다른 접촉부의 사용을 방지할 수 있다. 도 1의 예에서, 기판 접촉부는 패시브 (passive) 이고 기판 (10) 의 후면으로 제한되어, 파지력 (gripping force) 이 없고 Fz grip이 0이다. 없거나 무시할 수 있는 진동 및 공기력들 (aerodynamic forces) 에 있어서, Fxy accel은 말단 이펙터 접촉 패드들 (14) 의 정지 마찰 계수 (Cf) 및 기판 질량 및 비중에 의존한다. 반도체 프로세싱의 맥락에서, 300 ㎜ 배어 (bare) 실리콘 웨이퍼는 약 0.128 ㎏의 질량을 갖고, 450 ㎜ 배어 실리콘 웨이퍼는 약 0.342 ㎏의 질량을 갖는다. PFEs 및 다른 엘라스토머들은 0.75 내지 1.5의 단일 재료의 최고 Cf들을 갖지만, 약 150 ℃ 내지 300 ℃에서 기계적으로 열화된다. 엘라스토머들의 추가 Cf들은 온도가 증가함에 따라 감소한다, 예를 들어 실온에서 1.5에서 고온에서 0.2로 감소한다. 고온을 견딜 수 있는 세라믹은 0.2 내지 0.5의 매우 낮은 Cf들을 갖는다. 세라믹 재료들을 사용하여, 허용가능한 최대 가속도 및 감속도가 상당히 감소되고 쓰루풋을 감소시킨다.
본 명세서에 기술된 장치, 시스템들, 및 방법들은 고 내열성을 갖는 고 Cf 접촉 면적들을 포함한다. 다양한 구현예들에 따라, 접촉 표면들은 x-y 방향으로 증가된 접착 및 정적 마찰 (정지 마찰 (stiction)) 력을 위한 분자간 표면력을 이용하는 마이크로구조체들을 포함한다. 또한, 접촉 면적들은 x-y 방향으로 높은 정지 마찰을 제공하고 및 z-방향으로 매우 낮은 정지 마찰 또는 정지 마찰을 제공하지 않도록 구성될 수도 있다.
일부 구현예들에서, 마이크로구조체들은 웨이퍼 또는 다른 대형 면적 기판이 말단 이펙터로부터 웨이퍼를 슬라이딩하거나 필링 (peeling) 하지 않고 말단 이펙터로부터 제거될 수 있도록 구성된 계층적 구조체들의 일부이다. 또한, 일부 구현예들에서, 말단 이펙터와의 접촉은 로딩 및/또는 릴리즈 동안 패시브이고, 기계적, 전기적, 진공 또는 다른 타입의 액추에이션을 필요로 하지 않는다.
다양한 구현예들에서, 본 명세서에 기술된 접촉 패드들 또는 말단 이펙터들의 접촉 표면들은 2 이상의 레벨들을 갖는 계층적 구조체들을 포함한다. 멀티레벨 계층적 구조체들은 마이크로스케일 또는 나노스케일 부재들과 기판 표면 사이의 반 데르 발스 힘들로 인해 기판에 접착하도록 구성된 이들 부재들을 포함하는 접촉 구조체들을 포함할 수 있다. 멀티레벨 계층적 구조체들은 말단 이펙터 또는 접촉 패드 베이스에 접촉 구조체를 직접적 또는 간접적으로 연결하는 가요성 부재를 더 포함할 수 있다. 일부 구현예들에서, 접촉 구조체들은 이하에 더 논의되는 바와 같이, 게코 토 (gecko toe) 와 같은 합성 강모 (synthetic setae) 및/또는 합성 압설자 (synthetic spatulae) 로 특징화될 수도 있다.
용어 마이크로구조체는 1000 ㎛ 미만의 적어도 1 차원을 갖는 구조체들을 참조하도록 사용된다. 예를 들어, 마이크로구조체의 최소 길이, 폭, 높이, 또는 직경은 1000 ㎛ 미만일 수도 있다. 이하에 더 논의되는 바와 같이, 일부 구현예들에서, 마이크로구조체들은 마이크로스케일 및/또는 나노스케일 치수들을 가질 수도 있다. 일부 구현예들에서, 본 명세서에 개시된 마이크로구조체의 2 이상의 치수들 또는 모든 치수들은 마이크로스케일 및/또는 나노스케일일 수도 있다. 일부 구현예들에서, 마이크로구조체들은 나노스케일 레벨에 연결된 마이크로스케일 레벨을 포함하는 계층적 구조체들을 포함할 수도 있다. 본 명세서에 사용된 바와 같이, 마이크로스케일은 1 ㎛ 내지 1000 ㎛의 치수들을 참조하고, 나노스케일은 1 ㎚ 이상의 마이크론 이하의 치수들을 참조한다.
게코 발가락들은 10 개 이상의 박막층 (lamellae) 을 포함하고, 이들 각각은 1000 개 이상의 강모의 어레이를 포함한다. 토케이 게코 (tokay gecko) 의 한 강모는 약 100 ㎛의 길이 및 4 ㎛의 직경일 수 있다. 강모 각각은 100 내지 1000 개의 압설자로 분기되고, 압설자 자루 및 팁 (tip) 을 포함하고, 약 100 ㎚의 직경일 수도 있다. 이들 멀티레벨 계층을 복제하는 것은 게코들에 이르는 접착 (10 N/cm2) 을 유발하면서, 본 명세서에 기술된 말단 이펙터들은 접촉 구조체의 마이크로구조체들과 접착을 위한 기판 표면 사이의 반 데르 발스 힘들에 의존하면서 보다 단순한 계층적 구조들을 사용할 수 있다. 두 표면들 (예를 들어, 말단 이펙터 및 기판) 간의 분자간력은 접촉부들의 수와 강하게 상관된다. 다양한 구현예들에 따라, 그리고 이동될 기판의 질량 및 목표된 허용가능한 가속도에 의존하여, 본 명세서에 기술된 말단 이펙터들은 수 천, 수 만, 수 십만, 수 백만, 또는 그 이상의 개별 접촉부들을 가질 수도 있다. 예로서, 1 ㎝의 게코 발 (gecko foot) 은 실온 엘라스토머의 거의 2 배인 거의 3의 Cf로 거의 1 ㎏을 홀딩할 수 있다.
다양한 구현예들에 따라, 본 명세서에 기술된 말단 이펙터들은 하나 이상의 다음의 특징들을 포함한다: 1) 예를 들어, 기판의 로딩 또는 언로딩 동안 기판을 따라 기판이 슬라이딩하도록 하는 힘의 인가 및/또는 릴리즈 시 직교하는 힘에 대하여 측방향으로 이동하도록 구성된 가요성 구조체; 2) 반 데르 발스-계 접촉 구조체들 및 반 데르 발스-계 접촉 구조체들을 접촉 패드 베이스에 연결하기 위한 가요성 부재를 포함하는 멀티레벨 계층 구조체 ; 및 3) 제어된 인게이지먼트 및 릴리즈를 허용하도록 3차원적으로 배열된 다수의 마이크로구조체들. 이들 양태들 각각은 이하에 더 상세히 기술된다.
도 2a 내지 도 2d를 참조하면, 반 데르 발스-계 가요성 구조체가 도시된다. 본 명세서에 사용된 바와 같이, 반 데르 발스-계 마이크로구조체는 구조체의 대부분 또는 실질적으로 모든 접착 강도 또는 마찰력이 구조체와 기판 간의 분자간력에 의해 제공되는 구조체를 참조한다. 도 2a는 언로딩된 상태의, 접촉 구조체 (28) 에 연결된 가요성 부재 (20) 를 포함하는 가요성 반 데르 발스-계 마이크로구조체 (18) 의 예를 도시한다. 도 2a의 예에서, 가요성 부재 (20) 는 말단 이펙터 패드 베이스 (16) 에 연결된 스프링 캔틸레버 (spring cantilever) 를 특징으로 할 수 있다. 접촉 구조체 (28) 의 상세들은 도 2d의 인셋 (inset) A에 도시되고 가요성 부재 (20) 의 횡단부 (20A) 에 연결된 백킹층 (backing layer)(32) 상에 배열된 다수의 나노헤어들 (nanohairs)(30) 을 포함한다. 나노헤어들 (30) 은 엘라스토머보다 큰 마찰력을 제공하는 말단 이펙터 패드의 반 데르 발스-계 마이크로구조체들 (18) 에 걸쳐 근접하고 풍부한 나노헤어들 (30) 을 사용하여 로딩 상태에서 기판과 매우 근접하도록 구성된다. 도 2a 및 도 2d는 나노섬유들을 포함하는 가요성 부재 및 접촉 구조체들의 가능한 배열들의 예들을 도시하지만, 많은 다른 배열들이 가능하다는 것이 이해된다. 예를 들어, 일부 구현예들에서, 나노헤어들 (30) 은 중간 백킹층 (32) 없이 가요성 부재 (20) 으로부터 바로 연장될 수도 있고, 이 구현예의 예의 개략도가 도 3a에 도시된다. 다른 예에서, 가요성 부재 (20) 는 횡단부 (20A) 를 포함하지 않을 수도 있고, 말단 이펙터 패드 베이스 (16) 및 접촉 구조체 (28) 에 바로 연결된 스프링 캔틸레버를 가질 수도 있고; 이러한 예의 개략도가 도 3b에 도시된다. 도 3b의 구조는 기판 로딩동안 나타나고, 도 2b를 참조하여 이하에 더 상세히 기술된다.
게코 강모 어레이의 슬라이딩은 효과적인 부착 및 탈착을 제공하는 것으로 보여졌다 (본 명세서에 참조로서 통합된 Tian 등의, Scientific Reports 3:1382 (2012) 참조). 일부 구현예들에서, 도 2a 내지 도 2c의 반 데르 발스-계 마이크로구조체 (18) 는 도 2b 및 도 2c에 대하여 개략적으로 예시된 바와 같이 액추에이션 없이 접촉 구조체 (28) 가 부하 인가 또는 릴리즈에 수동적으로 슬라이드하게 한다. 도 2b에서, 기판 (10) 은 반 데르 발스-계 마이크로구조체 (18) 와 접촉하게 된다. 가요성 부재 (20) 가 방향을 바꾸기 시작하고, 접촉 구조체 (28) 로 하여금 기판 (10) 을 가로질러 슬라이딩하게 한다. 특정한 이론에 제한되지 않고, 부착은 강모 어레이에 대하여 Tian에 기술된 이하의 메커니즘을 수반할 수도 있다: 나노헤어들 (30) 을 누르는 직교하는 힘 및 마찰력은 보다 낮은 경사각을 유발하고 이들 힘들이 증가하게 한다. 이는 나노헤어들 (30) 이 복잡한 상태에 도달할 때까지 계속된다. 도 3c를 참조하면, 로딩될 때 나노섬유들이 복잡한 상태 (40c) 에 도달할 때까지 (기판은 미도시), 접촉 구조체 (28) 의 나노헤어들 (30) 이 로딩 단계들 (40a 및 40b) 동안 압축된다. 도 2c는 대응하는 로딩된 상태의 반 데르 발스-계 마이크로구조체 (18) 를 도시한다. 가요성 부재 (20) 는 반 데르 발스-계 마이크로구조체 (18) 로 하여금 x-y 방향으로 정지 상태로 홀딩된 기판 (10) 에 대하여 슬라이딩하게 한다. 이는 또한 기판이 필링 오프되지 않지만, z-방향으로 기울어지지 않고 들어올려지도록 릴리즈하는 것에 적용된다 (예를 들어, 도 2c로부터 도 2b로 진행). 이는 슬라이딩하지 않는 단순히 세워진 (upstanding) 섬유들을 갖는 마이크로섬유 어레이들을 채용하는 말단 이펙터들과의 상당한 차이이다. 이들 말단 이펙터들이 웨이퍼를 중력에 대항하여 위에서 아래로 유지할 수 있지만, 웨이퍼를 릴리즈하는 것은 말단 이펙터로부터 웨이퍼를 필링하는 각도로 웨이퍼를 기울이는 것을 수반한다.
다양한 구현예들에 따라, 접촉 부재들 (상기 예들에서 나노헤어들 (30) 과 같은) 은 수 십 ㎚ 내지 수 십 ㎛인, 예시적인 측방향 치수들을 갖는, 마이크로스케일이거나 나노스케일 (예를 들어, 직경 또는 폭) 일 수도 있다.
일부 구현예들에서, 접촉 부재들의 적어도 자유 단부들은 마이크론 이하일 수도 있고 500 ㎚ 미만, 100 ㎚ 미만, 50 ㎚ 미만, 또는 20 ㎚ 미만의 측방향 치수 (예를 들어, 직경 또는 폭) 를 가질 수도 있다. 접촉 부재들은 일반적으로 일정하거나 가변하는 단면을 가질 수도 있다. 일부 구현예들에서, 접촉 부재들은 묶인 단부 (tethered end) 로부터 자유 단부까지 좁아질 수도 있다. 또한, 일부 구현예들에서, 접촉 부재의 베이스는 다수의 자유 단부들로 분할될 수도 있다. 예를 들어, 일부 구현예들에서, 접촉 부재들은 게코 발가락의 강모 및 압설자일 수도 있고 또는 이들을 흉내낼 수도 있다. 토케이 게코의 강모는예를 들어, 약 100 ㎚ 직경인, 수 백개의 압설자 자루들 및 압설자로 분할하는 강모 각각의 팁과 함께 약 4.2 ㎛이다.
일부 구현예들에서, 접착, 허용가능한 가속도, 및 마찰 제한된 쓰루풋은 접촉부들의 수와 상관되기 때문에, 접촉 부재들의 적어도 자유 단부들이 보다 많은 수의 접촉 부재들을 허용하도록 마이크론 이하인 것이 바람직할 수도 있다. 또한, 보다 작은 접촉 부재들은 파티클 제어를 위해 바람직할 수 있는, 총 접촉 면적을 감소시킬 수도 있다. 그러나, 일부 구현예들에서, 접촉 부재들의 자유 단부들은 1 내지 100 ㎛, 또는 1 내지 10 ㎛일 수도 있다. Liu는 5 ㎛ 직경의 섬유들의 어레이를 사용하기 위하여 표준 말단 이펙터들을 통한 웨이퍼 수송 시 가속도 증가를 시연하였다 (Liu 등의 Industrial 로봇 39/1 (2012) 79 - 91). 접촉 부재들의 예시적인 길이는 약 1 내지 500 ㎛이지만, 다른 적절한 길이들이 채용될 수도 있다.
가요성 부재들의 캔틸레버들의 길이들의 예들은 (예를 들어, 도 2a의 길이 L 참조) 약 1 ㎛ 내지 1000 ㎛ 길이, 예를 들어 10 ㎛ 내지 500 ㎛일 수도 있다. 일부 구현예들에서, 가요성 부재들은 게코 발가락의 박막층일 수도 있고 또는 이들을 흉내낼 수도 있다. 일부 구현예들에서, 가요성 부재들은 일반적으로 캔틸레버의 길이를 따라, 1차원으로만 연장하는 섬유들 또는 로드들 (rods) 의 형태일 수도 있다. 일부 구현예들에서, 가요성 부재들은 방향을 바꾸는 방향을 가로지르는 2차원으로 연장할 수도 있다. 예가 도 3d에 예시되고, 길이 L에 대해 가로지르는 폭 W를 따라 연장하는 3 개의 가요성 부재들 (20) 이 도시된다. 가요성 부재 (20) 각각은 측면 (22) 을 따라 말단 이펙터 패드 베이스 (미도시) 에 앵커 (anchor) 된다. 도 3d에 도시된 예에서, 폭 W은 길이 L보다 크지만, 다른 구현예들에서, 길이는 폭보다 크거나 거의 같을 수도 있다. 폭들은 1 ㎛ 내지 1000 ㎛를 포함한다. 일부 구현예들에서, 가요성 부재들은 어느 정도의 곡률 (curvature), 예를 들어, 말단 이펙터 패드 베이스의 중심을 적어도 부분적으로 둘러서 연장한다. 일부 구현예들에서, 가요성 부재들은 게코 발가락의 강모일 수도 있고 또는 이를 흉내낼 수도 있다. 이러한 구현예들에서 예시적인 길이들은 1 ㎛ 내지 10 ㎛의 차수일 수도 있다. 이러한 구현예들에서, 접촉 부재들은 마이크론 이하일 수도 있다.
상기 기술된 예들은 듀얼-레벨 또는 보다 높은 레벨의 계층들을 갖는 반 데르 발스-계 마이크로구조체들을 참조한다. 예를 들어, 500 ㎛ 폭의 가요성 부재 상의 5 ㎛ 직경의 접촉 부재들의 어레이는 듀얼-레벨 계층 구조체로서 특징화될 수 있다. 5 ㎛ 접촉 부재들이 500 ㎚의 자유 단부들로 더 분할되는 구현예들은 3-레벨 계층 구조체로서 특징화될 수 있다. 일부 구현예들에서, 본 명세서에 기술된 구조체들은 추가적인 레벨들, 예를 들어, 부하 인가시 방향을 바꾸도록 구성된 가요성 부재들에 직접적 또는 간접적으로 연결된 기판 표면과 반 데르 발스 상호작용하도록 구성된 구조체들의 자유 단부들을 갖는 4 개의 레벨들을 포함할 수도 있다. 또한, 일부 구현예들에서, 기판과 반 데르 발스 상호작용하도록 구성된 가요성 부재들의 자유 단부들을 갖는 단일 계층 레벨이 채용된다. 이러한 방식으로, 마이크로구조체 또는 나노구조체는 가요성 부재 및 접촉 부재 양자로서 작용할 수 있다.
일부 구현예들에서, 다수의 마이크로구조체들은 제어된 인게이지먼트 및 릴리즈를 허용하도록 3차원으로 어레이된다. 도 4a는 다수의 영역들 (48) 을 포함하는 말단 이펙터 접촉 패드 (14) 의 평면도의 개략적인 예를 도시한다. 영역 (48) 각각은 말단 이펙터로부터의 기판의 릴리즈가 영역별로 순차적으로 발생하도록 구성된 반 데르 발스-계 마이크로구조체들의 하나 이상의 열들을 포함할 수도 있다. 이러한 방식으로, 기판이 팝 오프 (pop off) 되거나 불필요한 파티클들을 발생시키지 않도록 기판을 릴리즈하기 위해 사용된 힘이 상당히 감소된다. 도 4a의 예에서, 영역들 (48) 은 패드의 중심 (46) 을 둘러서 원주 방향으로 연장한다. 도 4b는 가장 안쪽의 3 개의 영역들 (48) 의 도 4a의 선 A를 따른 개략적인 단면도를 도시한다. 도 4b에서, 이들 영역들은 48a, 48b, 및 48c로 라벨링된다. 도 3b의 개략적인 예에서, 이들 영역들 각각은 반 데르 발스-계 마이크로구조체들의 3 개의 열들을 포함한다. 반 데르 발스-계 마이크로구조체들의 유효 스프링 상수들 및 높이들 중 하나 또는 양자는 기판의 릴리즈가 단계별로 발생하도록 영역들 (48a - 48c) 에 걸쳐 상이하다. 도 4b의 예에서, 영역 (48a) 은 높이 Ha를 갖고, 영역 (48b) 는 높이 Hb를 갖고, 영역 (48c) 는 높이 Hc를 갖고, Hc > Hb > Ha이다. 도시된 높이들은 말단 이펙터 패드 베이스 (16) 의 하단부로부터 접촉 구조체 (28) 의 가장 위쪽 부분까지 측정되지만, 높이는 말단 이펙터 표면의 평면에 평행한 임의의 공통 기준 평면으로부터 로딩된, 기울어지지 않은 기판까지 측정될 수 있다.
영역들 (48a - 48c) 의 접촉 구조체들 (28) 은 가장 높은 영역 (도 4b의 영역 (48c)) 이 기판과 먼저 접촉하고, 높이 순으로 다른 영역들이 이어져, 영역의 높이에 따라, 말단 이펙터 패드 베이스 (16) 상에 위치된 기판과 접촉할 것이다. 높이는 임의의 시간에, 기판을 들어올리는 힘 (F) 이 단지 하나의 영역의 반 데르 발스 힘들을 극복하도록 구성될 수 있다. 일부 구현예들에서, 높이 차이는 일 영역이 비워지지자 마자 (cleared) 다음 영역이 릴리즈하기 시작하게 한다. 예를 들어, 도 4b에서 연속하는 영역들에 대한 높이 차이는 기울어진 접촉 구조체 (28) 의 높이일 수 있다. 특정한 예들에서, 수 백 또는 수 천 개의 영역들이 존재할 수도 있고, 각각의 높이는 단지 몇 ㎚만큼 다르다.
도 5a 내지 도 5e는 로딩된 말단 이펙터 (12) 의 말단 이펙터 패드 베이스 (16) 로부터 기판 릴리즈를 도시한다. 예시의 용이성을 위해, 도 4b와 같이 단지 3 개의 영역들 만이 도시되지만, 다양한 구현예들에 따라, 말단 이펙터 패드는 수 십, 수 백, 수 천, 수 만 개 이상의 영역들이 존재할 수도 있다. 또한, 예시의 용이성을 위해, 영역 각각은 반 데르 발스-계 마이크로구조체들의 3 개의 열들을 갖는 것으로 도시되지만, 다양한 구현예들에 따라, 각각의 영역은 1 내지 수 백, 수 천, 또는 그 이상의 열들을 포함할 수도 있다. 도 5a를 먼저 참조하면, 말단 이펙터 (12) 는 말단 이펙터 패드 베이스 (16) 및 기판 (10) 을 지지하는 반 데르 발스-계 마이크로구조체들 (18) 을 포함한다. 도 5a에 도시된 로딩 상태에서, 기판 (10) 은 기판 (10) 과 반 데르 발스-계 마이크로구조체들 (18) 간의 최대 마찰력에 의해 제한된 최대 가속도로 말단 이펙터 (12) 에 의해 x-y 방향으로 이동될 수 있다. 기판 (10) 간의 최대 마찰력은 반 데르 발스-계 마이크로구조체들 (18) 의 수와 상관된다. 반 데르 발스-계 마이크로구조체들 (18) 은 로딩될 때 복잡한 상태이다.
도 5b 내지 도 5d는 릴리즈 동안 반 데르 발스-계 마이크로구조체들을 도시한다. 먼저 도 5b에서, 가장 안쪽 영역, 영역 (48a) 은 영역들 (48b 및 48c) 이 기판 (10) 과 인게이지된 채로 유지되는 동안 릴리즈된다. 영역 (48a) 내의 반 데르 발스-계 마이크로구조체들은 릴렉스되고, 압축되지 않고 연장되지 않은 상태로 도시되고, 일단 기판 (10) 의 부하가 릴리즈된다. 기판 (10) 이 계속 들어올려지기 때문에, 도 5c에 도시된 바와 같이, 반 데르 발스-계 마이크로구조체들의 다음 영역 (48b) 이 필링 오프된다. 도 5d에서, 기판 (10) 이 말단 이펙터 (12) 로부터 계속 들어올려지기 때문에, 영역 (48c) 의 반 데르 발스-계 마이크로구조체들은 기판 (10) 을 슬라이딩하거나 필링 오프하는 것으로 도시된다. 영역 (48c) 의 반 데르 발스-계 마이크로구조체들의 스프링 캔틸레버들은 부분적으로 릴리즈된 구조체들과 접촉하면서 완전히 릴렉스되지 않는다. 도 5d에서 기판 (10) 은 영역들 (48a - 48c) 의 반 데르 발스-계 마이크로구조체를 복잡하지 않게 릴렉스되고, 압축되지 않고 연장되지 않은 상태로 복귀시키면서 말단 이펙터로부터 릴리즈된 것으로 도시된다.
도 5a 내지 도 5e의 예에서 기판 (10) 을 들어올리기 위한 힘 (F) 은 모든 반 데르 발스-계 마이크로구조체들이 동시에 필링 오프되면 보다 훨씬 작은 힘이 사용되게 하면서 단일 영역 내의 기판 (10) 과 반 데르 발스-계 마이크로구조체들 간의 접착력을 극복하기에 충분하다.
도 5a의 예에서, 반 데르 발스-계 마이크로구조체들 (18) 은 기판 (10) 에 걸쳐 슬라이딩하도록 구성되도록 도 2a 내지 도 3d에 대하여 상기에 기술된 바와 같이 접촉 부재들에 연결된 가요성 부재들을 갖는다. 대안적인 구현예들에서, 반 데르 발스-계 마이크로구조체들은 기판 (10) 에 걸쳐서 슬라이딩하도록 구성되지 않을 수도 있고; 예를 들어, 영역들 (48a - 48c) 은 단계적인 제거를 위해 영역에 따라 변하는 나노섬유 높이를 갖는, 나노섬유들의 수직 어레이들을 포함할 수 있다. 기판은 비-단계적인 릴리즈 프로세스에서 기판을 제거하기 위해 사용된 것보다 작은 힘으로 나노섬유들로부터 필링오프되거나 그렇지 않으면 제거된다.
반 데르 발스-계 마이크로구조체들의 단계적인 릴리즈는 반 데르 발스-계 마이크로구조체들의 높이가 영역들에 걸쳐 변하는 스프링 상수와 함께 영역들에 걸쳐 일정 (또는 가변) 할 수 있도록 영역들 각각에서 구조체들의 유효 스프링 상수에 의존할 수 있다. 예가 도 6a 내지 도 6d에 도시된다.
도 6a는 3 개의 영역들 (48a, 48b, 및 48c) 의 반 데르 발스-계 마이크로구조체들 및 말단 이펙터 패드 베이스 (16) 의 개략적인 단면도를 도시한다. 예시의 용이성을 위해, 단지 3 개의 영역들만 도시되지만, 다양한 구현예들에 따라, 말단 이펙터 패드 수 십, 수 백, 수 천, 수 만 개 이상의 영역들을 가질 수도 있다. 도 6a의 개략적인 예에서, 이들 영역들 각각은 하나의 반 데르 발스-계 마이크로구조체들의 열을 포함한다. 반 데르 발스-계 마이크로구조체들의 유효 스프링 상수들은 기판의 릴리즈가 단계별로 발생하도록 영역들 (48a - 48c) 에 걸쳐 상이하다. 도 6a의 예에서, 영역 (48a) 은 유효 스프링 상수 ka를 갖고, 영역 (48b) 은 유효 스프링 상수 kb를 갖고, 영역 (48c) 은 유효 스프링 상수 kc를 갖고, ka > kb > kc이다. 본 명세서에서 사용된 반 데르 발스-계 마이크로구조체의 유효 스프링 상수는 전체로서 마이크로구조체의 강도 (stiffness) 및 어떻게 반 데르 발스 접착력에 대항하는지를 특징으로 하는 마이크로구조체의 스프링 상수를 참조한다.
도 6b 내지 도 6d는 도 6a에 도시된 구조체를 포함하는 말단 이펙터 패드로부터 기판 릴리즈를 예시한다. 도 6b에서, 말단 이펙터 (12) 의 말단 이펙터 패드 베이스 (16) 상의 반 데르 발스-계 마이크로구조체들 (18) 은 기판 (10) 을 지지한다. 도 6b에 도시된 로딩된 상태에서, 기판 (10) 은 기판 (10) 과 반 데르 발스-계 마이크로구조체들 (18) 간의 최대 마찰력에 의해 제한된 최대 가속도로 말단 이펙터 (12) 에 의해 x-y 방향으로 이동될 수 있다.
도 6c에서, 가장 안쪽 영역, 영역 (48a) 은 영역 (48c) 이 기판 (10) 과 인게이지된 채로 유지되고 영역 (48b) 의 반 데르 발스-계 마이크로구조체들은 기판 (10) 을 슬라이딩하거나 필링 오프하는 동안 릴리즈된다. 도 6d에서, 영역들 (48a - 48c) 의 반 데르 발스-계 마이크로구조체들을 릴렉스되고, 압축되지 않고, 연장되지 않은 상태로 돌려 보내면서, 말단 이펙터로부터 릴리즈된 기판 (10) 이 도시된다.
반 데르 발스-계 마이크로구조체의 유효 스프링 상수는 구조체의 릴렉스된 상태로부터 특정한 변위로 구조체를 압축하거나 연장하기 위한 힘에 의해 특징화될 수 있다. 유효 스프링 상수는 재료의 탄성 계수 (modulus of elasticity) 및 구조체의 길이 및 형상을 포함하는 다양한 인자들에 의존할 수 있다. 말단 로딩된 캔틸레버 빔으로서 반 데르 발스-계 마이크로구조체를 근사화하여, 변위가 FL3/3EI으로 근사화될 수 있고, F는 반 데르 발스 힘이고, L은 빔의 길이이고, E는 탄성 계수이고, I는 면적 관성 모멘트 (area moment of inertia) 이다. 말단 이펙터 패드의 모든 마이크로구조체들에 걸쳐 일정한 힘, 탄성 계수 및 관성 모멘트를 가정하면, 일부 구현예들에서, 반 데르 발스-계 마이크로구조체의 길이는 영역별로 가변할 수 있다. 일부 구현예들에서, 임의의 다른 인자들이 길이 대신 또는 길이에 부가하여 가변될 수 있다. 예를 들어, 탄성 계수는 상이한 영역들에 상이한 재료들을 사용함으로써 가변될 수 있고, 관성 모멘트는 영역별로 구조체들의 기하 구조를 가변시킴으로써 가변될 수 있고, 힘은 영역별로 기판 상의 접촉 부재들의 수를 가변시킴으로써 가변될 수 있다.
도 5a 내지 도 5e 및 도 6a 내지 도 6d의 예에서, 영역들은 단계별 릴리즈가 방사상으로 외측으로 발생하도록 구성된다. 다른 구현예들에서, 영역들은 단계별 릴리즈가 방사상으로 내측으로 발생하도록, 예를 들어, 반 데르 발스-계 마이크로구조체들의 길이들이 패드이 중심을 향하여 증가하도록 구성될 수도 있다. 대안적인 구현예들에서, 인접하지 않은 영역들을 연속하여 릴리즈하는 것이 유리할 수도 있고; 예를 들어, 기판의 중심 근처의 영역이 먼저 릴리즈되고, 기판의 외주 근처의 영역이 이어질 수도 있다.
다양한 구현예들에 따라, 영역들은 원형일 필요는 없지만, 임의의 적합한 방식으로 배열된 곡선 또는 직선으로 나타낼 수 있다. 예를 들어, 접촉 표면은 사각형일 수도 있고 각각 직선으로 배열된 수 천 개의 영역들로 이루어질 수도 있다.
일부 구현예들에서 반 데르 발스-계 마이크로구조체들은 기판으로부터 필링되는 말단 이펙터 패드를 들어올림에 따라 기판에 대하여 기울어지도록 구성될 수 있다. 기판이 기판을 기울이거나 필링할 필요 없이 들어올려지게 하는, 기울이고 필링하는 거동은 패드 베이스의 높이, 유효 스프링 상수, 각도 및 반 데르 발스-계 마이크로구조체들이 배열되는 방식의 아티팩트가 될 수 있다. 도 7a는 특정한 구현예들에 따라 가변될 수도 있는 각도들의 개략적인 도면의 예를 도시한다. 하나 이상의 이들 각도들은 릴리즈 동안 반 데르 발스-계 마이크로구조체들의 필링 및 다른 특징들을 제어하기 위해 반 데르 발스-계 마이크로구조체들의 길이 및 탄성 계수에 따라 변할 수도 있다. 각도 α1은 말단 이펙터 패드 베이스 (16) 의 기울기를 제어하고, 0˚ (편평한 베이스) 내지 45˚ 이상의 범위일 수 있다. 일부 구현예들에서, 패드 베이스는 매우 얕을 수 있고, 예를 들어, 0˚ 내지 15˚의 α1을 가질 수 있다. 각도 α2는 가요성 부재 (20) 가 접촉 패드 베이스 (16) 로부터 돌출하는 각이고 각도 α3은 횡단부 (20A) (존재한다면) 가 가요성 부재 (20) 의 나머지로부터 돌출하는 각도이다. α2에 대한 예시적인 값은 20˚ 내지 160˚의 범위일 수도 있다. α2가 예각인 구현예에서, 접촉 구조체 (28) 는 방사상으로 외측으로 대면할 수도 있다는 것을 주의한다. α3의 예시적인 값은 20˚ 내지 160˚의 범위일 수도 있고, α2 및 α3는 반 데르 발스-계 마이크로구조체가 로딩 동안 기판에 접촉하게 구성되는 값을 갖는다. 각도 α4는 접촉 구조체 (28) 가 기판 (10) 에 대하여 기울어지는 각이다. α4의 예시적인 값은 0˚ (기판 (10) 에 대하여 편평함) 내지 80˚일 수도 있고, 보다 큰 기울기를 제공하기 위해 약 40˚ 내지 80˚와 같이 보다 큰 값일 수도 있다. 일부 구현예들에서, 각도 α4는 기판 (10) 으로부터 반 데르 발스-계 마이크로구조체들을 필링하는 각도 이거나 근사치일 수 있다. 일부 구현예들에서, 각도 α4는 접촉 부재들이 돌출하는 접촉 부재 베이스 (백킹 평면 (32) 또는 가요성 부재 (20) 와 같은) 와 표면 (29) 에 평행하고 단지 접촉 구조체 (28) 위에 위치된 평면 사이의 각으로 특징화될 수 있다. 이는 접촉 구조체 (28) 와 인게이지하기 직전에 기울어지지 않은 기판의 평면이고; 도 7a의 예에서, 기판 (10) 의 평면이다. 말단 이펙터 패드 베이스 (16) 의 표면 (29) 은 말단 이펙터에 부착되도록 구성된 표면일 수 있다. 각도 α5는 가요성 부재 (20) 가 기판 (10) 에 대하여 기울어지는 각도이다. 일부 구현예들에서, 각도 α5는 기판 (10) 으로부터 반 데르 발스-계 마이크로구조체들을 필링하는 각이거나 근사치일 수 있다. α5의 예시적인 값은 20˚ 내지 160˚의 범위일 수도 있다. 일부 구현예들에서, 각도 α5는 가요성 부재 (20) 와 표면 (29) 에 평행하고 단지 접촉 구조체 (28) 위에 위치된 평면 사이의 각으로 특징화될 수 있다. 각도 α6는 연속하는 영역들과의 인게이지먼트 및 디스인게이지먼트 (disengagement) 레이트의 지수이다. α6의 예시적인 값은 1˚ 내지 20˚의 범위일 수도 있고, 보다 매끄러운 인게이지먼트 및 디스인게이지먼트를 제공하는 보다 낮은 값들이다. 일부 구현예들에서, 각도 α6는 다수의 구조체들의 상단부들을 연결한 선 (예를 들어, 도 7a의 선 (31)) 과 표면 (29) 에 평행하고 이들 구조체들 위의 평면 (예를 들어, 도 7a의 기판 (10) 의 평면) 사이의 각으로 특징화될 수도 있다. 도 7b는 백킹 평면 (32) 또는 가요성 부재 (20) 상의 나노헤어들 (30) 을 갖는 접촉 구조체 (28) 를 도시한다. 각도 α8은 나노헤어들 (30) 이 백킹 평면 (32)/가요성 부재 (20) 에 대하여 기울어진 각도이다.
상기에 논의된 바와 같이, 본 명세서에 개시된 말단 이펙터 패드들은 가요성일 수도 있고 반 데르 발스 분자간 포텐셜들을 통해 반도체 웨이퍼 또는 다른 기판에 접착되도록 구성된 반 데르 발스-계 마이크로구조체들을 포함한다. 다양한 구현예들에 따라, 반 데르 발스-계 기판들은 멀티레벨 계층 구조체들이거나 단일 레벨 구조체들일 수도 있다. 반 데르 발스-계 마이크로구조체들의 재료들은 탄소나노튜브들 (CNTs) 및 그래핀을 포함하는 탄소계 재료들을 포함할 수 있다. 재료들은 또한 폴리이미드 및 폴리에틸렌 테레프탈레이트와 같은 다양한 열가소성, 가요성 금속 재료 및 금속-결정성 하이브리드와 같은 폴리머 재료, 및 실리콘과 같은 반도체 재료들을 포함할 수 있다. 반 데르 발스-계 마이크로구조체들의 일부 구현예들은 부하를 지지하고 쉬지 않고 반복적으로 방향이 바뀌기에 충분히 큰 강도를 갖는, 가요성 및 탄성체이다. 탄소는 매우 강하고, 가요성인 재료로서 바람직하고, 고 내열성을 갖는다. 튜브들, 로드들, 와이어들, 등과 같은 탄소 구조체들은 상기에 기술된 바와 같이 마이크로스케일 및/또는 나노스케일일 수도 있다. 폴리머 재료들이 가요성이고 다양한 구조체들로 제작하기 쉽기 때문에 고 내열성을 요구하지 않고 애플리케이션들에 유리할 수도 있다.
멀티레벨 계층 구조체들에 대해, 상이한 계층 레벨들은 동일하거나 상이한 재료들일 수도 있다. 일부 구현예들에서, 탄소계 재료들이 예를 들어 가요성 부재들 및 접촉 부재들을 포함하여, 모든 레벨들에 사용될 수 있다.
다양한 구현예들에 따라, 예를 들어, 기판 상에서 CVD (chemical vapor deposition) 에 의해, 마스크된 재료를 에칭함으로써, 마이크로임프린트 또는 나노임프린트 템플레이팅 또는 다른 몰드 프로세스에 의해 가요성 부재들이 성장할 수 있다. 나노헤어들 또는 다른 접촉 부재들이 예를 들어, 촉매화된 화학적 기상 증착 방법 또는 제조 후 가요성 부재들에 본딩함으로써 가요성 부재들의 상단부 상에서 성장할 수 있다. 패드 베이스는 성장 또는 프린트되거나 제작 후 가요성 부재들이 본딩될 수 있는 가요성 부재들을 가질 수 있는 임의의 재료일 수도 있다. 예들은 금속들 및 실리콘을 포함한다. 폴리머 구조체들은 나노임프린트 템플레이팅, 블록 코폴리머 재료들의 자가-어셈블리, 및 리소그래피를 포함하는 다양한 방법들로 형성될 수도 있다. 금속 구조체들은 CVD, PVD (physical vapor deposition), 및 ALD (atomic layer deposition) 를 포함하는 기상 증착 기법들에 의해 형성될 수도 있다. 실리콘 나노와이어들을 포함하는 실리콘 구조체는 촉매화된 CVD 또는 실리콘 기판 에칭과 같은 다양한 방법들에 의해 형성될 수도 있다.
CNTs를 포함하는, 탄소 마이크로스케일 및 나노스케일 ㄱ구조체들의 기상 증착은 에틸렌과 같은 탄소 함유 가스의 촉매-개시된 열적 분해를 수반할 수 있다. 일부 구현예들에서, 성장은 촉매 배치, 희생적 템플레이트로의 증착, 등에 의해 제어될 수 있다. 일부 구현예들에서, 증착은 제조된 말단 이펙터 패드 상에, 예를 들어, 말단 이펙터 패드 베이스, 가요성 부재, 또는 멀티레벨 계층 구조체의 다른 레벨 바로 위에 구조체가 있는 표면 상에서 수행될 수 있다. 일부 다른 구현예들에서, CNTs 또는 다른 구조체들은 증착 표면으로부터 제거되고, 말단 이펙터 패드 베이스, 가요성 부재, 등에 부착될 수 있다.
상기에 나타낸 바와 같이, 일부 구현예들에서, 본 명세서에 기술된 마이크로스케일 및 나노스케일은 말단 이펙터 패드 베이스, 또는 반 데르 발스-계 마이크로구조체의 다른 부분에 대하여 기울어질 수도 있다. 본 명세서에 참조로서 통합된, Zhou 등의, Carbon 50 (2012) 5372-5379은 기울어진 구조체들의 형성을 개시한다. Zhou의 문헌에서, 잘 규정된 다중 벽 탄소 나노튜브 (MWCNTs: multiwall carbon nanotube) 어레이들이 실리콘 기판 상에 촉매화된 CVD에 의해 제작된다. MWCNT 어레이들은 10 - 15 ㎚의 직경, 약 400 ㎛의 길이, 및 1010 내지 1011 MWCNT/㎠의 밀도를 갖는 MWCNT를 특징으로 한다. 나노튜브들은 정전 흡착 필름을 사용하여 실리콘 기판으로부터 MWCNT 어레이들을 필링 오프하고 이어서 슬라이딩 압축 머신을 사용하여 어레이들을 압축함으로써 기울어진다. DWCNTs (double-walled CNTs) 를 포함하는 MWCNTs에 부가적으로 SWCNTs (single-walled CNTs) 가 사용될 수도 있다. 이러한 방식으로, CNTs은 릴렉스된 상태들에서 특정한 경사각을 갖는 가요성 부재들 및/또는 접촉 부재들을 형성하기 위해 사용될 수도 있다.
CNT 섬유들은 가요성 부재들 및/또는 접촉 부재들을 포함하는 다양한 계층적 레벨들로 사용될 수도 있다. 본 명세서에 참조로서 통합된, Behabtu 등의, Science 339, 182 (2013) 는 고 인장 강도, 탄성 계수, 및 신장도 (elongation) 를 갖는 CNT 섬유들의 제작을 개시한다.
나노구조체들이 말단 이펙터 패드의 다른 컴포넌트 바로 위에서 성장하지 않는 구현예들에서, 다양한 수송 방법들이 사용될 수도 있다. 이들은 흡착을 이용하여 나노구조체 어레이들의 필링 및 엘라스토머들을 사용하는 전사 프린팅을 포함한다. 예를 들어, 목표된 표면으로 금속/SWNT 층을 수송하기 위해 PDMS (polydimethylsiloxane) 를 사용하고, 화학적 에천트들을 사용하여 금속층을 제거하여 SWNT의 상단부 상에 금속층이 증착될 수 있다.
본 명세서에 참조로서 통합된, Lee 등의, Nano Lett. 2011, 11, 3435 - 3439는 도너 기판으로부터 나노구조체가 들어올려지고, 타깃 표면에 부착하게 하면서 나노구조체와 도너 기판 사이의 계면으로의 물 침투 현상을 사용하는 전사 프린팅 방법을 개시한다. CVD 증착에 더하여, 프린팅과 같은 기법들이 본 명세서에 개시된 구조체들을 형성하기 위해 사용될 수도 있다. 예를 들어, 본 명세서에 참조로서 통합된, Park 등의 Nanoscale, 2013, 5, 1727 - 1752는 SWNTs (single walled nanotubes) 의 잉크젯 및 에어로졸 프린팅을 개시한다.
멀티레벨 계층적 구조체의 상이한 레벨들을 개별적으로 제조하기 위한 다양한 방법들을 사용하는 것에 부가하여, 다수의 레벨들의 공-제조 기법들이 수행될 수도 있다. 이러한 방법들의 예들은 이하에 주어진다. 본 명세서에 참조로서 통합된, Ge 등의, Proc. Natl. Acad. Sci. 2007, 104, 10792 - 10795는 탄소 나노튜브들을 필러 (pillars) 로 번들링 (bundling) 하는 것을 도시하고, 이는 개별적으로 노출된 팁들을 가지면서 함께 변형된다. 이러한 방식으로, 압설자 (노출된 팁들) 로 분할하는 게코 강모 (번들링된 필러들) 와 유사한 구조체들이 형성될 수도 있다. 이어서 이러한 필러들은 예를 들어, 가요성 부재 또는 말단 이펙터 패드 베이스와 같은 베이스에 본딩되거나 임베딩될 수 있다.
계층적 레벨들과 상이한 공-제조의 추가적인 방법들은 레이저 패터닝, 리소그래피, 및 다양한 재료들을 사용하는 임프린팅을 포함할 수 있다. 게코 박막층과 유사한 가요성 부재들은 막들을 레이저 패터닝함으로써 제작될 수 있고; 본 명세서에 참조로서 통합된, Lee 등의, Langmuir 2009, 25(21), 12449 - 12453는 HDPE (high-density polyethylene) 를 사용하여 박막층-형 구조체 상에 나노섬유 어레이들의 합성 멀티레벨 구조체를 형성하는 방법을 개시한다. 박막층의 두께, 폭 및 길이는 각각 15 ㎛, 0.8 ㎜ 및 1.3 ㎜이고, 박막층 구조체 상에 공-제조된 600 ㎚의 직경, 18 ㎛ 길이의 나노섬유의 어레이를 갖는다. 방법들은 다른 치수들 및 재료들로 확장가능하다.
본 명세서에 참조로서 통합된, Murphy 등의 Applied Materials and Interfaces Vol. 1, No. 4, 849 - 855 2009는 게코 압설자의 팁과 유사한, 확대된 형상을 갖는 제어된 팁-형상을 포함하는, 제어된 팁-형상을 갖는 멀티레벨 계층적 구조체들을 형성하는 방법을 개시한다. 버섯 형상의 팁들을 갖는 베이스 섬유들은 액체 폴리머에 딥핑 (dip) 되고 이어서 네거티브 에칭된 목표된 팁 단부들을 갖는 에칭된 몰드 상에 위치된다. 베이스 섬유들 상의 액체 폴리머는 몰드의 네거티브 피처들로 인출되고 경화되고, 몰드는 경화 후에 에칭된다. 탄소 나노튜브들은 추가의 레벨들의 제조를 위해 팁들 내로 임베딩될 수도 있다.
일부 구현예들에서, 가요성 부재들은 탄소 마이크로구조체들로부터 형성될 수도 있다. 본 명세서에 참조로서 통합된, Daicho 등의, Optical Materials Express, Vol. 3, Issue 6, pp. 875-883 (2013)는 직접 레이저 기록 및 마이크로전사 몰딩 기법들을 포함하는 복합 탄소 마이크로구조체들의 제조를 위한 기법들을 기술한다. 본 명세서에 참조로서 통합된, Wang 등의, ACS Macro Lett. 2012, 1, 1176 - 1179는 튜닝가능한 기계적 특성들을 갖는 마이크로구조체들을 사용하여, 마이크로구조체들을 형성하는 기법들을 기술한다.
상기 기법들이 제작 방법들을 제공하지만, 당업계에 공지된 다른 기법들이 또한 사용될 수도 있다.
일단 형성되면, 패드들은 사용을 위해 말단 이펙터에 부착된다. 도 8은 말단 이펙터 접촉 패드들 (14) 을 포함하는 말단 이펙터 (12) 의 예를 도시한다. 원형 영역들이 말단 이펙터 접촉 패드들 (14) 상에 개략적으로 도시되지만, 영역들은 일반적으로 눈으로 보기에 매우 작을 수 있다. 다양한 구현예들에 따라, 말단 이펙터 접촉 패드들 (14) 은 특정한 양의 사용 시간 후에 제거되고 대체될 수 있다.
말단 이펙터 접촉 패드들 (14) 의 수 및 크기는 목표된 최대 가속도뿐만 아니라 기판 크기에 의존할 수 있다. 일 예에서, 접촉 부재의 100 ㎚ 폭의 팁은 0.4 μN의 끌어 당기는 반 데르 발스 힘을 가질 수도 있다. 패드 상의 2500만 개의 팁들 또는 4 개의 패드들 각각에 6250만 개의 팁들이 10 N, 또는 1 ㎏의 총 힘을 제공할 것이다. 이는 450 ㎜, 0.342 ㎏의 반도체 웨이퍼에 대하여 거의 3의 등가의 Cf를 제공할 것이다.
본 명세서에 기술된 바와 같은 반 데르 발스-계 말단 이펙터들을 포함하는 고 쓰루풋 진공 수송 모듈들 및 고 쓰루풋 진공 수송 모듈들을 포함하는 반도체 툴들이 또한 제공된다. 도 9는 고쓰루풋 진공 수송 모듈을 포함하는 반도체 프로세스의 예를 도시한다. 도 9에 도시된 반도체 프로세스 툴 (52) 은 300 ㎜ 직경의 반도체 웨이퍼들 및 450 ㎜ 직경의 반도체 웨이퍼들을 포함하는 임의의 치수의 반도체 웨이퍼들과 같은, 기판들을 프로세스하기 위해 사용될 수도 이다. 진공 수송 모듈 (58) 이 로드록 (56) 및 프로세스 모듈 (54) 에 연결된다. 단지 하나의 프로세스 모듈만이 도시되었지만, 다양한 구현예들에 따라, 임의의 수의 프로세스 모듈들이 진공 수송 모듈 (58) 에 연결될 수도 있다. 프로세스 모듈들의 예들은 증착 챔버들, 에칭 챔버들, 등을 포함할 수 있다. 진공 수송 모듈 (58) 은 듀얼 로봇 암들 (66) 및 로봇 암들 (66) 각각에 부착된 반 데르 발스-계 말단 이펙터들 (12) 을 포함하는 VTM (vacuum transfer module) 로봇을 포함한다. 2 개의 말단 이펙터들 (12) 이 반도체 웨이퍼들 (10) 에 의해 커버된다. 프로세스 모듈 (54) 은 다수의 웨이퍼 지지부들 (70) 을 포함한다. 도 9의 진공 수송 모듈 (58) 은 로드록 (56) 과 프로세스 모듈 (54) 사이에서 기판들을 수송하도록 구성된다. 로드록 (56) 은 대기 (ATM) 로봇을 포함하는 대기 수송 모듈 (미도시) 에 연결되어, 결국 하나 이상의 FOUPs (Front Opening Universal Pods) 과 같은 웨이퍼 저장 위치들에 연결될 수 있다. FOUP로부터 프로세스 모듈 (54) 에서 프로세싱되는 웨이퍼의 예시적인 경로는 이하에 주어진다:
1 - ATM 로봇이 FOUP를 취한다
2 - ATM 로봇 로드록으로 이동
3 - ATM 로봇이 로드록을 위치시킴
4 - 로드록 펌프다운
5 - VTM 로봇이 로드록을 취한다
6 - VTM 로봇이 프로세스 모듈로 이동
7 - VTM 로봇이 프로세스 모듈을 위치시킴
프로세스 모듈 (54) 로부터 FOUP로 진행하는 프로세싱된 웨이퍼의 경로는 예로서 이하에 주어진다:
1’ - VTM 로봇이 프로세스 모듈을 취한다
2’ - VTM 로봇이 로드록으로 이동
3’ - VTM 로봇이 로드록을 위치시킴
4’ - 로드록 벤트 (vent)/냉각
5’ - ATM 로봇이 로드록을 취한다
6’ - ATM 로봇이 FOUP로 이동
7’ - ATM 로봇이 FOUP를 위치시킴
이동 단계들 2, 2’, 6, 및 6’ 각각의 타이밍은 최대 마찰 계수로 제한된다. 예를 들어, 최대 가속도 및 감속도는 단계들에서 최대 가속도가 최대 허용가능한 가속도의 1/5보다 크지 않도록 5의 안전 인자를 사용하여 말단 이펙터의 마찰 계수에 기초한 값으로 제한될 수도 있다. 진공 액추에이팅된 말단 이펙터들이 ATM 단계들 2 및 6’동안 가속도를 증가시키지만, 진공 액추에이션은 VTM 로봇의 단계들 2’ 및 6 동안 사용될 수 없다. 본 명세서에 개시된 반 데르 발스-계 말단 이펙터들은 이들 단계들 동안 상당히 높은 가속도가 가능하게 한다. 또한, 본 명세서에 개시된 반 데르 발스-계 말단 이펙터들은 액추에이션하지 않는 패시브 시스템을 사용하여 ATM 로봇 단계들 2 및 6’동안 고 가속도를 가능하게 한다. 일부 구현예들에서, 적어도 0.5 g, 적어도 1 g, 적어도 2 g, 또는 적어도 3 g의 가속도가 사용될 수도 있다.
반도체 프로세스 툴 (52) 은 또한 반도체 프로세스 툴 (52) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 제어기를 포함할 수도 있다. 도 10은 제어 시스템의 예의 블록도를 도시한다. 다른 스킴들 및 장치들이 채용될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (53) (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 는 프로세스 툴의 일부 또는 모든 동작들을 제어한다. 적절한 제어 동작드을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고 또는 네트워크를 통해 제공될 수도 있다. 특정한 실시예들에서, 제어기는 시스템 제어 소프트웨어를 실행한다.
예를 들어, 제어기는 외부 장치로부터 웨이퍼를 수용, 웨이퍼를 취하고 위치시키고/시키거나 웨이퍼를 일 위치에서 다른 위치로 수송할 수도 있다. 제어기 (53) 는 하나 이상의 저장 디바이스들 (57) 및 하나 이상의 프로세서들 (59) 을 포함할 수도 있다. 프로세서 (59) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 제어기 (53) 는 진공 수송 모듈 (58) 의 모든 액티비티들을 제어한다. 일부 실시예들에서, 제어기 (53) 는 프로세싱 툴 (52) 의 모든 액티비티를 제어하는 시스템 제어기이다. 일부 실시예들에서, 제어기 (53) 는 저장 디바이스 (57) 상에 저장된 머신-판독가능 제어 소프트웨어 (59) 를 실행하고 장치가 본 실시예들에 따른 방법을 수행하도록 프로세서 (59) 상에서 수행된다. 대안적으로, 제어 로직은 제어기 내에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), 프로그래밍가능한 로직 디바이스들 (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, “소프트웨어”가 사용될 때마다, 그 위치에서 기능적으로 비교가능한 하드코딩된 로직이 사용될 수 있다. 용어 “코드”는 소프트웨어 또는 하드 코딩된 로직을 참조할 수도 있다.
제어 소프트웨어 (59) 는 취하고 위치시키는 타이밍, 밸브 및 도어 개방, 회전 운동을 포함하는 로봇 운동, 수직, θ (회전), 및 x-y 방향 운동을 포함하는 로봇 암 운동, 로봇 암 가소도 및 감속도, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 반도체 프로세스 툴을 사용하는 특정한 수송 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (59) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 제어 소프트웨어 (59) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 수송 프로세스의 단계 각각은 제어기 (53) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 제어기 (53) 와 연관된 대용량 저장 디바이스 (57) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들의 섹션들 또는 프로그램들의 예들은 기판 포지셔닝 프로그램, 로봇 제어 프로그램, 프로세스 모듈 도어 또는 밸브 타이밍 프로그램 및 로드록 도어 또는 밸브 타이밍 프로그램을 포함한다.
도 10에 도시된 예에서, 제어기 (53) 는 스위치 (68) 를 통해 프로세스 모듈들 (PM1 및 PM2) 을 제어하는 프로세스 모듈 제어기들 (63 및 64), 진공 수송 모듈 제어기 (65), 및 진공 수송 모듈 로봇 (61) 로 명령들을 전송할 수 있다 (프로세스 제어기가 제어할 수 있는 반도체 프로세스 툴의 다른 부분들은 이 도면에 도시되지 않았다). 각 모듈의 개별적인 밸브들, 센서들, 등을 연결하기 위해 도 10에 나타낸 바와 같이, 각 모듈에 다수의 입력/출력 제어기들 (IOCs) 이 있을 수 있다. 제어기들은 장치의 다양한 지점들 예를 들어, 모듈 내 또는 모듈로부터 어느 정도 거리에 물리적으로 위치될 수 있다. 진공 수송 로봇 (61) 은 제어기 (53) 대신 또는 부가적으로 진공 수송 제어기 (65) 로부터의 명령을 바로 수신할 수도 있다.
기판 포지셔닝 프로그램은 명목상 경로를 따라 기판을 이동시키고, 기판 위치를 검출하고, 검출된 기판 위치에 기초하여 기판 위치를 정정하기 위한 프로그램 코드를 포함할 수도 있다.
로봇 제어 프로그램은 하나 이상의 명목상 경로들을 따라, z-방향, x-y-방향, 및 θ 방향으로 로봇 및 로봇 암을 이동시키기 위한 프로그램 코드를 포함할 수도 있다. 로봇 제어 프로그램은 하나 이상의 로봇 모터들을 적절히 시작하거나 정지시키고, 로봇 암의 가속도 및 감속도를 제어하기 위한 코드를 포함할 수도 있다. 로봇 제어 프로그램은 다양한 위치들에서 기판을 취하고 위치시키기 위한 코드를 포함할 수도 있다. 프로세스 모듈 또는 로드록 도어 또는 밸브 타이밍 프로그램은 로봇에 의한 액세스를 허용하기 위해 도어 또는 밸브를 열고 닫기 위한 프로그램 코드를 포함할 수도 있다.
일부 실시예들에서, 제어기 (53) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드, 터치 스크린, 마이크로폰, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
모니터링하기 위한 신호들이 다양한 프로세스 툴 센서들로부터 시스템 제어기 (53) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 툴 (52) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상의 출력일 수도 있다. 모니터링될 프로세스 툴 센서들의 비제한적인 예들은 기판 위치 검출기들, 써모커플들, 로봇 모터 토크 센서들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
본 명세서에서 상술한 장치 및/또는 프로세스는 예를 들어서 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 프로세스는 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 기판과 같은 작업 대상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 지원형 에칭 툴을 사용하여서 그 밑의 막 또는 작업 대상으로 레지스트 패턴을 전사하는 단계, (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다. 본 명세서에서 기술된 로봇과 같은 로봇은 일 툴에서 다른 툴로 기판들을 이동시켜서 제조 프로세스들을 실현하는데 사용될 수 있다.
또한, 임의의 특정 기술된 구현예들에서의 특징부들이 서로 양립할 수 없다고 명시적으로 특정되지 않는 이상 또는 주변 문맥이 이 특징부들이 서로 배타적이며 상호 보완적이고/이거나 지지하는 방식으로 용이하게 서로 결합될 수 없다는 것을 암시하지 않는 이상, 본 개시의 전체 내용은 이러한 상호보완적인 구현예들의 특정 특징부들은 하나 이장의 포괄적이지만 근소하게 상이한 기술상의 해법들을 제공하도록 선택적으로 결합될 수 있음을 감안 또는 고려한다는 것도 이해될 것이다. 따라서, 위의 설명은 오직 예시적으로 제공된 것이며 세부적인 것들에서의 수정이 본 발명의 범위 내에서 이루어질 수 있음도 역시 이해되어야 한다.

Claims (35)

  1. 말단 이펙터 (end effector) 용 접촉 패드로서,
    접촉 패드 베이스; 및
    접촉 패드 베이스 상에 배열된 복수의 구조체들을 포함하고,
    상기 구조체 각각은 가요성 부재 (flexible member) 및 상기 가요성 부재에 연결된 복수의 접촉 부재들을 포함하고, 상기 가요성 부재는 기판의 인가 시 방향을 바꾸도록 (deflect) 구성되고, 상기 복수의 접촉 부재들은 반 데르 발스 접착에 의해 기판에 접착되도록 구성되는, 말단 이펙터용 접촉 패드.
  2. 제 1 항에 있어서,
    상기 복수의 구조체들은 접촉 패드 베이스의 중심부를 둘러서 연장하는 원주형 열들로 배열되는, 말단 이펙터용 접촉 패드.
  3. 제 1 항에 있어서,
    상기 복수의 구조체들은 상기 접촉 패드로부터 기판의 릴리즈가 영역별로 이루어지도록 구성된 복수의 영역들로 배열되는, 말단 이펙터용 접촉 패드.
  4. 제 1 항에 있어서,
    상기 복수의 구조체들 각각은 높이 (altitude) 를 갖고, 상기 복수의 구조체들의 상기 높이는 상기 접촉 패드에 걸쳐 가변하는, 말단 이펙터용 접촉 패드.
  5. 제 4 항에 있어서,
    상기 높이의 변화는 원형 대칭성 (circular symmetry) 을 갖는, 말단 이펙터용 접촉 패드.
  6. 제 1 항에 있어서,
    상기 복수의 구조체들 각각은 유효 스프링 상수를 갖고, 상기 복수의 구조체들의 상기 유효 스프링 상수는 상기 접촉 패드에 걸쳐 가변하는, 말단 이펙터용 접촉 패드.
  7. 제 6 항에 있어서,
    상기 유효 스프링 상수의 변화는 원형 대칭성을 갖는, 말단 이펙터용 접촉 패드.
  8. 제 1 항에 있어서,
    상기 가요성 부재들은 마이크로-스케일 구조체들인, 말단 이펙터용 접촉 패드.
  9. 제 1 항에 있어서,
    접촉 부재 각각은 복수의 자유 단부들로 분할되는 베이스를 포함하는, 말단 이펙터용 접촉 패드.
  10. 제 1 항에 있어서,
    상기 가요성 부재들은 탄소 마이크로구조체들을 포함하는,
  11. 제 1 항에 있어서,
    상기 가요성 부재들은 탄소 나노튜브 네트워크들을 포함하는, 말단 이펙터용 접촉 패드.
  12. 제 1 항에 있어서,
    상기 가요성 부재의 최대 치수는 1 ㎜ 미만인, 말단 이펙터용 접촉 패드.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 가요성 부재의 최소 치수는 100 ㎛ 미만인, 말단 이펙터용 접촉 패드.
  14. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 접촉 부재의 최소 치수는 1 ㎛ 미만인, 말단 이펙터용 접촉 패드.
  15. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 접촉 부재의 최소 치수는 500 ㎚ 미만인, 말단 이펙터용 접촉 패드.
  16. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 가요성 부재는 폴리머를 포함하는, 말단 이펙터용 접촉 패드.
  17. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    구조체 각각은 접촉 부재 베이스를 더 포함하고, 상기 접촉 부재 베이스로부터 상기 접촉 부재들이 돌출되고, 상기 접촉 부재 베이스는 상기 제 1 표면에 평행하고 상기 구조체 위에 위치된 평면에 대하여 0˚ 내지 80˚의 값을 갖는 제 1 각으로 기울어지는, 말단 이펙터용 접촉 패드.
  18. 제 17 항에 있어서,
    상기 제 1 각은 40˚ 내지 80˚인, 말단 이펙터용 접촉 패드.
  19. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    구조체 각각의 상기 가요성 부재는 상기 제 1 표면에 평행하고 상기 구조체 위에 위치된 평면에 대하여 20˚ 내지 160˚의 값을 갖는 각으로 기울어지는, 말단 이펙터용 접촉 패드.
  20. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    상기 제 1 표면에 평행하고 상기 복수의 구조체들 위에 위치된 평면과 상기 복수의 구조체들의 상기 접촉 부재들을 연결하는 선 사이의 각은 약 0˚ 내지 20˚인, 말단 이펙터용 접촉 패드.
  21. 말단 이펙터용 접촉 패드로서,
    접촉 패드 베이스; 및
    상기 접촉 패드 베이스 상에 배열된 복수의 구조체들을 포함하고,
    상기 복수의 구조체들 각각은 유효 스프링 상수 및 높이를 갖고,
    상기 복수의 구조체들의 상기 유효 스프링 상수 및 상기 높이 중 적어도 하나는 상기 접촉 패드에 걸쳐 가변하는, 말단 이펙터용 접촉 패드.
  22. 제 21 항에 있어서,
    상기 높이 또는 유효 스프링 상수의 변화는 원형 대칭성을 갖는, 말단 이펙터용 접촉 패드.
  23. 제 21 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    구조체 각각은 접촉 부재 베이스를 더 포함하고, 상기 접촉 부재 베이스로부터 상기 접촉 부재들이 돌출되고, 상기 접촉 부재 베이스는 상기 제 1 표면에 평행하고 상기 구조체 위에 위치된 평면에 대하여 0˚ 내지 80˚의 값을 갖는 제 1 각으로 기울어지는, 말단 이펙터용 접촉 패드.
  24. 제 23 항에 있어서,
    상기 제 1 각은 40˚ 내지 80˚인, 말단 이펙터용 접촉 패드.
  25. 제 21 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    구조체 각각은 상기 제 1 표면에 평행하고 상기 구조체 위에 위치된 평면에 대하여 20˚ 내지 160˚의 값을 갖는 각으로 기울어지는, 말단 이펙터용 접촉 패드.
  26. 제 21 항에 있어서,
    상기 접촉 패드 베이스는 말단 이펙터와 접촉하도록 구성된 제 1 표면을 포함하고,
    구조체 각각은 접촉 부재 베이스를 더 포함하고, 상기 접촉 부재 베이스로부터 상기 접촉 부재들이 돌출되고,
    상기 제 1 표면에 평행하고 상기 복수의 구조체들 위에 위치된 평면과 상기 복수의 구조체들의 상기 접촉 부재들을 연결하는 선 사이의 각은 약 0˚ 내지 20˚인, 말단 이펙터용 접촉 패드.
  27. 제 1 항 내지 제 26 항 중 어느 한 항에 따른 하나 이상의 말단 이펙터 접촉 패드들을 포함하는, 로봇 암에 부착되도록 구성되는, 엔드 이펙터.
  28. 하나 이상의 암들;
    상기 하나 이상의 암들을 이동시키도록 구성된 모터; 및
    상기 하나 이상의 암들에 부착되도록 구성된 하나 이상의 말단 이펙터들을 포함하고,
    상기 하나 이상의 말단 이펙터들은 슬립핑 (slipping) 하지 않고 x-y 방향으로 적어도 약 0.5 g의 가속도로 패시브 접촉함으로써 반도체 기판을 수송하도록 구성되고, 상기 반도체 기판을 기울이지 않고 수직 힘의 인가 시 상기 반도체 기판의 비작동 (non-actuated) 릴리즈를 위해 구성되는, 로봇.
  29. 제 28 항에 있어서,
    상기 하나 이상의 이펙터들은 반도체 기판들을 400 ℃보다 높은 온도로 지지하도록 동작가능한, 로봇.
  30. 제 28 항에 있어서,
    말단 이펙터 각각은 접촉 패드를 포함하고,
    상기 접촉 패드는,
    접촉 패드 베이스; 및
    접촉 패드 베이스 상에 배열된 복수의 구조체들을 포함하고,
    상기 구조체 각각은 가요성 부재 및 상기 가요성 부재에 연결된 복수의 접촉 부재들을 포함하고, 상기 가요성 부재는 기판의 인가 시 방향을 바꾸도록 구성되고, 상기 복수의 접촉 부재들은 반 데르 발스 접착에 의해 기판에 접착되도록 구성되는, 로봇.
  31. 반도체 프로세싱 툴로서,
    하나 이상의 프로세스 모듈들;
    상기 하나 이상의 프로세스 모듈들에 연결되고, 반도체 기판들을 상기 하나 이상의 프로세스 모듈들로 상기 하나 이상의 프로세스 모듈들로부터 수송하도록 구성된 수송 모듈을 포함하고,
    상기 수송 모듈은 제 28 항 내지 제 30 항 중 어느 한 항에 따른 로봇을 포함하는, 반도체 프로세싱 툴.
  32. 제 31 항에 있어서,
    상기 수송 모듈은 반도체 기판들을 로드록 또는 기판 저장 위치로 로드록 또는 기판 저장 위치로부터 수송하도록 구성되는, 반도체 프로세싱 툴.
  33. 제 31 항에 있어서,
    상기 수송 모듈은 진공 수송 모듈인, 반도체 프로세싱 툴.
  34. 제 31 항에 있어서,
    상기 말단 이펙터를 x-y 방향으로 적어도 1 g의 가속도로 이동시키기 위한 머신 판독가능 인스트럭션들을 갖는 제어기를 더 포함하는, 반도체 프로세싱 툴.
  35. 말단 이펙터로부터 기판을 릴리즈하기 위한 방법으로서,
    패시브 접촉에 의해 말단 이펙터에 의해 지지된 기판을 제공하는 단계로서, 상기 기판은 상기 기판과 상기 말단 이펙터 상의 복수의 반 데르 발스 구조체들 간의 반 데르 발스 접착력에 의해 지지되는, 상기 기판을 제공하는 단계; 및
    상기 말단 이펙터로부터 기판을 들어올리기 위해 상기 기판에 직교하는 힘을 인가하여, 상기 반 데르 발스 접착력을 디스인게이지 (disengage) 하는 단계로서, 상기 기판은 디스인게이지 내내 기울어지지 않은 채로 유지되는, 기판을 릴리즈하는 방법.
KR1020140187298A 2013-12-23 2014-12-23 개선된 웨이퍼 핸들링을 위한 마이크로구조체들 KR20150073873A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/139,522 US9698035B2 (en) 2013-12-23 2013-12-23 Microstructures for improved wafer handling
US14/139,522 2013-12-23

Publications (1)

Publication Number Publication Date
KR20150073873A true KR20150073873A (ko) 2015-07-01

Family

ID=53399073

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140187298A KR20150073873A (ko) 2013-12-23 2014-12-23 개선된 웨이퍼 핸들링을 위한 마이크로구조체들

Country Status (6)

Country Link
US (1) US9698035B2 (ko)
JP (1) JP2015135963A (ko)
KR (1) KR20150073873A (ko)
CN (1) CN104733354A (ko)
SG (1) SG10201408517YA (ko)
TW (1) TW201539611A (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102078309B1 (ko) 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20200033788A (ko) 2019-12-23 2020-03-30 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20200043341A (ko) 2019-12-23 2020-04-27 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20210029638A (ko) * 2019-09-06 2021-03-16 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
KR20210100782A (ko) * 2020-02-06 2021-08-18 주식회사 글린트머티리얼즈 컨벡스, 컨케이브 및 플랫 웨이퍼 이송 로봇암에 장착되는 미끄럼 방지 패드
KR20210131877A (ko) * 2020-04-24 2021-11-03 신에쓰 가가꾸 고교 가부시끼가이샤 평탄성 제어 방법, 도막 형성 방법, 평탄성 제어 장치 및 도막 형성 장치
WO2022124458A1 (ko) * 2020-12-08 2022-06-16 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9440359B2 (en) * 2014-08-19 2016-09-13 GM Global Technology Operations LLC Conformable dry adhesive holding device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040205A1 (en) * 2015-08-05 2017-02-09 Lam Research Corporation High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6914386B2 (ja) * 2016-01-15 2021-08-04 日東電工株式会社 載置部材
JP6616194B2 (ja) * 2016-01-15 2019-12-04 日東電工株式会社 載置部材
JP2017126695A (ja) * 2016-01-15 2017-07-20 日東電工株式会社 載置部材の製造方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105923599B (zh) * 2016-06-07 2017-04-19 西安交通大学 一种基于气致动的干粘附复合结构及制造工艺
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR20180064505A (ko) * 2016-11-07 2018-06-14 어플라이드 머티어리얼스, 인코포레이티드 기판을 홀딩하기 위한 캐리어, 프로세싱 시스템에서의 캐리어의 사용, 캐리어를 이용하는 프로세싱 시스템, 및 기판의 온도를 제어하기 위한 방법
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6855298B2 (ja) * 2017-03-23 2021-04-07 日東電工株式会社 搬送固定治具
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019042565A1 (en) * 2017-09-01 2019-03-07 Applied Materials, Inc. RETENTION ARRANGEMENT FOR HOLDING A SUBSTRATE, MEDIUM COMPRISING THE RETENTION ARRANGEMENT, PROCESSING SYSTEM USING THE MEDIUM, METHOD FOR RETAINING A SUBSTRATE, AND METHOD FOR RELEASING A SUBSTRATE FROM A RETENTION ARRANGEMENT
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) * 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7144149B2 (ja) * 2018-02-06 2022-09-29 日東電工株式会社 カーボンナノチューブ集合体
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11062935B2 (en) * 2018-08-06 2021-07-13 Kla Corporation Low profile wafer manipulator
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP6960386B2 (ja) * 2018-10-31 2021-11-05 日立造船株式会社 カーボンナノチューブ成形体の製造方法およびカーボンナノチューブ成形体製造装置
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN114127342A (zh) * 2019-05-17 2022-03-01 朗姆研究公司 衬底粘附和破损的减轻
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7365800B2 (ja) 2019-07-09 2023-10-20 日清紡マイクロデバイス株式会社 ステージ装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11942878B2 (en) * 2020-04-01 2024-03-26 Bvw Holding Ag Microstructured field effect device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4220302A1 (en) * 2022-01-27 2023-08-02 ASML Netherlands B.V. System for holding an object in a semiconductor manufacturing process, lithographic apparatus provided with said system and method
TW202339891A (zh) * 2022-02-03 2023-10-16 美商葛林陀德科技公司 用於半導體應用以提供改善的製造速度之具有交聯聚合物之末端執行器及末端執行器墊以及其製造及使用方法
WO2024010711A1 (en) * 2022-07-05 2024-01-11 Lam Research Corporation End effector

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223252A (ja) 2000-02-07 2001-08-17 Assist Japan Kk ロボットの吸着レスハンド
US6896304B2 (en) * 2002-09-03 2005-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic sensing wafer blade and method for using
JP2005183784A (ja) 2003-12-22 2005-07-07 Optrex Corp 基板搬送装置
TWI353395B (en) * 2003-12-31 2011-12-01 Microfabrica Inc Method and apparatus for maintaining parallelism o
US7785422B2 (en) * 2004-01-05 2010-08-31 Lewis & Clark College Self-cleaning adhesive structure and methods
GB2435719A (en) * 2006-03-03 2007-09-05 Darrell Lee Mann Gripping device with a multitude of small fibres using van der Waals forces
US20070221335A1 (en) 2006-03-23 2007-09-27 Recif Technologies Device for contact by adhesion to a glass or semiconductor plate (wafer) surface or the like and system for gripping such a plate comprising such a device
US20080025822A1 (en) * 2006-04-17 2008-01-31 Sangbae Kim Device and method for handling an object of interest using a directional adhesive structure
FR2935537B1 (fr) * 2008-08-28 2010-10-22 Soitec Silicon On Insulator Procede d'initiation d'adhesion moleculaire
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
KR102135466B1 (ko) * 2011-12-16 2020-07-17 브룩스 오토메이션 인코퍼레이티드 이송 장치
US9731422B2 (en) * 2011-12-22 2017-08-15 Carnegie Mellon University, A Pennsylvania Non-Profit Corporation Methods, apparatuses, and systems for micromanipulation with adhesive fibrillar structures

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102078309B1 (ko) 2018-09-20 2020-02-19 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20210029638A (ko) * 2019-09-06 2021-03-16 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
KR20200033788A (ko) 2019-12-23 2020-03-30 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20200043341A (ko) 2019-12-23 2020-04-27 (주)아이솔루션 기판 이송 모듈용 슬립 방지 척
KR20210100782A (ko) * 2020-02-06 2021-08-18 주식회사 글린트머티리얼즈 컨벡스, 컨케이브 및 플랫 웨이퍼 이송 로봇암에 장착되는 미끄럼 방지 패드
KR20210131877A (ko) * 2020-04-24 2021-11-03 신에쓰 가가꾸 고교 가부시끼가이샤 평탄성 제어 방법, 도막 형성 방법, 평탄성 제어 장치 및 도막 형성 장치
WO2022124458A1 (ko) * 2020-12-08 2022-06-16 주식회사 글린트머티리얼즈 내열성 및 전도성을 갖는 반도체 웨이퍼 이송용 미끄럼 방지 패드

Also Published As

Publication number Publication date
CN104733354A (zh) 2015-06-24
SG10201408517YA (en) 2015-07-30
JP2015135963A (ja) 2015-07-27
US9698035B2 (en) 2017-07-04
US20150174768A1 (en) 2015-06-25
TW201539611A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
KR20150073873A (ko) 개선된 웨이퍼 핸들링을 위한 마이크로구조체들
JP5701331B2 (ja) エラストマースタンプへの接着の動的コントロールによるパターン転送印刷
TWI420237B (zh) 藉由對彈性印模之黏著性動力控制之圖案轉印印刷
Lee et al. Octopus-inspired smart adhesive pads for transfer printing of semiconducting nanomembranes
US8535791B2 (en) Aligned carbon nanotube-polymer materials, systems and methods
US7943491B2 (en) Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
Sameoto et al. Recent advances in the fabrication and adhesion testing of biomimetic dry adhesives
Song et al. Geckogripper: A soft, inflatable robotic gripper using gecko-inspired elastomer micro-fiber adhesives
JP4509981B2 (ja) クリーニング部材、クリーニング機能付搬送部材、および基板処理装置のクリーニング方法
Rong et al. Bio-inspired hierarchical polymer fiber-carbon nanotube adhesives
CA2678158C (en) Aligned carbon nanotube-polymer materials, systems and methods
TWI407529B (zh) 基板支持機構
Kustandi et al. Self‐assembled nanoparticles based fabrication of gecko foot‐hair‐inspired polymer nanofibers
US9630849B2 (en) Method for transferring carbon nanotube array and method for forming carbon nanotube structure
US20170040205A1 (en) High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
Li et al. Transfer of vertically aligned carbon nanotube arrays onto flexible substrates for gecko-inspired dry adhesive application
Boutilier et al. Limiting mechanisms and scaling of electrostatically controlled adhesion of soft nanocomposite surfaces for robotic gripping
KR102078309B1 (ko) 기판 이송 모듈용 슬립 방지 척
KR102093340B1 (ko) 전사 인쇄용 스탬프 구조체 및 그 제조 방법과, 스탬프 구조체를 이용한 전사 인쇄 방법
JP2012056015A (ja) ナノワイヤデバイスの製造方法
JP4684570B2 (ja) 基板上に規則配列した触媒金属微粒子を利用したカーボンナノチューブの形成法
US11535006B2 (en) Nanocomposite surfaces with electrically switchable adhesion
Kaneko et al. Effect of surface property on transfer-print of Au thin-film to micro-structured substrate
US20220410550A1 (en) Transfer method of devices
JP2019501279A (ja) 基板を保持するための保持装置、保持装置を含むキャリア、キャリアを用いた処理システム、および基板を保持装置から解放する方法

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination