CN107039308B - 前开式环形盒 - Google Patents

前开式环形盒 Download PDF

Info

Publication number
CN107039308B
CN107039308B CN201610944877.5A CN201610944877A CN107039308B CN 107039308 B CN107039308 B CN 107039308B CN 201610944877 A CN201610944877 A CN 201610944877A CN 107039308 B CN107039308 B CN 107039308B
Authority
CN
China
Prior art keywords
support
consumable
cassette
disposed
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610944877.5A
Other languages
English (en)
Other versions
CN107039308A (zh
Inventor
斯科特·王
达蒙·蒂龙·格内特
德里克·约翰·威特科维基
亚历克斯·帕特森
理查德·H·古尔德
奥斯丁·恩戈
马克·艾斯托奎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/920,090 external-priority patent/US20170115657A1/en
Priority claimed from US15/048,960 external-priority patent/US10062599B2/en
Application filed by Rum Research Corp filed Critical Rum Research Corp
Priority to CN201911281036.0A priority Critical patent/CN111489984B/zh
Publication of CN107039308A publication Critical patent/CN107039308A/zh
Application granted granted Critical
Publication of CN107039308B publication Critical patent/CN107039308B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Abstract

本发明涉及前开式环形盒。用于与处理模块交换消耗部件的盒包括具有前侧、后侧以及第一和第二横向侧的底板。第一支撑柱设置在邻近前侧的第一横向侧上。第二支撑柱设置在邻近前侧的第二横向侧上。第三支撑柱设置在邻近后侧的第一横向侧上,而第四支撑柱设置在邻近后侧的第二横向侧上。每个支撑柱包括沿纵向分布并且指向内部的多个支撑指状物。第一硬质止动柱平行于第三支撑柱设置,第二硬质止动柱平行于第四支撑柱设置。连接到底板的外壳结构被配置为包围第一、第二、第三和第四支撑柱、顶板和第一和第二硬质止动柱,并且包括设置在底板的前侧上的前开口。门与前开口配合,并且包括用于当消耗部件被接纳在盒中时将消耗部件固定在盒中的保持组件。

Description

前开式环形盒
技术领域
本发明的实施方式涉及在制造半导体晶片中使用的群集工具组件,更具体地涉及实现从群集工具组件输送和移除消耗部件(consumable part)的更换站。
背景技术
在制造工艺中使用以产生半导体晶片的典型的群集工具组件包括一个或多个处理模块,其中每个处理模块用于执行如清洁操作、沉积、蚀刻操作、漂洗操作、干燥操作等特定制造操作。用于执行这些操作的化学过程和/或处理条件导致经常暴露到处理模块内的恶劣条件下的处理模块的硬件组件中的一些损坏。这些受损的或磨损掉的硬件组件需要周期性地且及时地更换,以确保受损的硬件组件不使处理模块中的其它硬件组件暴露在恶劣条件下,并确保半导体晶片的质量。例如,设置在处理模块中的半导体晶片附近的边缘环可能会受到损坏,这是由于该边缘环的位置和它持续暴露于来自在蚀刻操作中使用的处理模块内产生的等离子体的离子轰击。受损的边缘环需要及时更换,以确保受损的边缘环不使底层的硬件组件(如卡盘)暴露于恶劣的工艺条件。可更换的硬件组件在本文中被称作消耗部件。
当前更换受损的消耗部件的方法需要经过培训的技术服务人员来执行一系列步骤。技术人员需要使群集工具组件脱机,抽吸/清扫群集工具组件以避免暴露于有毒残留物,打开群集工具,移除受损的消耗部件,并用新的消耗部件更换受损的消耗部件。一旦受损部件被更换,技术人员必须接着清洁群集工具,抽吸群集工具组件至真空并调节群集工具组件以用于晶片处理。在一些情况下,调节可以包括通过在半导体晶片上运行测试工艺,获取半导体晶片的横截面并分析横截面,以确保该工艺操作的质量,从而使群集工具组件合格。更换受损的消耗部件是复杂且费时的过程,需要群集工具组件脱机相当长的时间,从而影响了半导体制造商的利润率。
就是在这样的背景下产生本发明的实施方式。
发明内容
本发明的实施方式定义能耦合到群集工具组件的更换站并且该更换站被设计为在不需要破坏真空(即,将群集工具组件暴露到大气条件)的情况下移除和更换布置在群集工具组件内的处理模块的受损的硬件组件(例如边缘环)。可以更换的受损的硬件组件在本文还被称为消耗部件。群集工具组件包括一个或多个处理模块,其中每个处理模块配置成执行半导体晶片处理操作。由于处理模块中的消耗部件被暴露于其内部的化学品和工艺条件,所以消耗部件会受到损坏并需要及时地进行更换。通过安装更换站到群集工具组件,受损的消耗部件可以在不打开群集工具组件的情况下进行更换。更换站包括具有用于存储新的和用过的消耗部件的隔室的部件缓冲区。更换站和一个或多个处理模块耦合到控制器,以使控制器在一个或多个处理模块被保持在真空状态下时能协调更换站与一个或多个处理模块之间的访问(access),以便能够更换消耗部件。
为了提供对受损的消耗部件的便捷的访问,处理模块可以被设计为包括升降机构。当接合时,升降机构被配置为使消耗部件能被移动到升高位置,使得群集工具组件内可用的机械手可以用于访问处理模块和从处理模块取回升高的消耗部件。更换的消耗部件被提供给处理模块,升降机构用于接收该消耗部件并使其下降到处理模块中的位置。
通过提供更换站来访问消耗部件,消除了为了访问受损的消耗部件而将群集工具组件对大气条件开放的需要。在一些实现方式中,更换站被保持在真空下,从而消除了在消耗部件的更换过程中受污染的风险。其结果是,在更换受损的消耗部件之后重新调节处理模块以使它处于激活操作状态所需的时间显著减少。此外,机械手和升降机构使得在取回和更换消耗部件的过程中没有不经意地损坏处理模块的任何硬件部件的风险的情况下能更换消耗部件。
本公开的实施方式提供了可用于从处理模块移除和更换消耗部件而不需要将群集工具组件对大气条件开放的群集工具组件。由于群集工具组件不开放,因而群集工具组件不需要被清扫或抽排。其结果是,调节群集工具组件和使群集工具组件合格所需的时间大大减少。
更换站可以被布置在三个不同的位置。在一个位置上,辊式更换站被暂时直接安装到群集工具组件内的处理模块,其具有抽吸至真空以及直接从处理模块收回消耗部件的能力。新的消耗部件被从更换站取回并被直接放置到处理模块内。在这个位置上,更换站将包括机械手和用于容纳使用过的消耗部件和新的消耗部件的部件缓冲区。隔离阀将保持在处理模块上。由于针对这个维护操作仅处理模块而不是整个群集工具组件必须脱机(offline),因此该配置是合乎期望的。
在第二位置,更换站被永久地安装到真空传送模块(VTM),VTM内的机械手被用于从处理模块移除并更换消耗部件。在这个位置上,更换站不需要专用机械手,但VTM机械手的端部执行器将操作以移动半导体晶片和消耗部件两者。
在第三位置,更换站被暂时或者永久地安装到大气传送模块(ATM)和ATM的机械手,真空传送模块(VTM)的机械手被用于从处理模块移除并更换消耗部件。在这个位置上,更换站将不需要专用的机械手臂,但VTM和ATM机械手端部执行器以及设置在ATM和VTM之间的装载锁(loadlock)室将搬运半导体晶片和消耗部件两者。
处理模块包括消耗部件升降机构。消耗部件通常是环,如边缘环。消耗部件将必须被升高,使得机械手可以容易地访问并将其取出。在一个实施方式中,升降机构包括:配备有升降销的真空密封致动器。在另一个实施方式中,致动器被保持在真空状态下。在正常操作下,升降器保持缩回并且不与消耗部件接触。当消耗部件需要被更换时,致动器使升降销伸长并升高消耗部件。机械手使端部执行器延伸到处理模块内,使得端部执行器(例如,连接到机械手的抹刀形或指状物形部件)在消耗部件的下方滑动。致动器然后收回升降销,放置消耗部件在端部执行器上。消耗部件被拉回更换站内。相反的顺序用于将新的消耗部件放置在处理模块中。
在一个实施方式中,公开了一种用于交换处理模块的消耗部件的盒(pod)。该盒包括底板、顶板、第一成对的支撑柱、第二成对的支撑柱、第一硬质止动柱、第二硬质止动柱、外壳结构和门。所述底板具有由前侧、后侧和在该前侧与后侧之间延伸的两个横向侧限定的外周边。第一成对的支撑柱中的每一个沿着两个横向侧中的每一个定向并且布置成邻近所述底板的前侧。第一成对的支撑柱中的每一个在顶板和底板之间延伸,并且包括沿着第一成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内的多个支撑指状物。所述第二成对的支撑柱中的每一个沿着所述两个横向侧中的每一个定向并且设置成邻近所述底板的所述后侧。第二成对的支撑柱中的每一个在顶板和底板之间延伸,并且包括沿着第二成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内部的多个支撑指状物。第一硬质止动柱设置成邻近第二成对的支撑柱中的第一个。第二硬质止动柱设置成邻近第二成对的支撑柱中的第二个。第一和第二硬质止动柱中的每一个延伸第二成对的支撑柱的长度,使得第一和第二硬质止动柱的边缘表面相对于外周边面向内部。盒的外壳结构被配置成附接到底板并且包围第一成对的支撑柱、第二成对的支撑柱、顶板以及第一和第二硬质止动柱。外壳结构具有沿着底板的前侧设置的前开口。门被构造成与外壳结构的前开口和底板的前侧配合。门被配置有保持组件,该保持组件设置在门的内侧表面上并且在底板和顶板之间延伸,使得消耗部件被设置在盒中时搁置在第一和第二成对的支撑柱的支撑指状物上。当门关闭时,保持组件使消耗部件靠着第一和第二硬质止动柱固定。
在另一实施方式中,公开了一种用于保持被供应到处理模块或从处理模块返回的消耗部件的盒。该盒包括底板、第一支撑柱、第二支撑柱、第三支撑柱、第四支撑柱、顶板、第一硬质止动柱、第二硬质止动柱、外壳结构和门。底板由前侧、后侧、第一横向侧和第二横向侧限定。第一和第二横向侧彼此相反地定向。第一支撑柱设置成邻近前侧并且在第一横向侧上。第二支撑柱设置成邻近前侧并且在第二横向侧上。第三支撑柱设置成邻近后侧并且在第一横向侧上。第四支撑柱设置成邻近后侧并且在第二横向侧上。顶板设置在底板上方并连接到第一、第二、第三和第四支撑柱中的每一个。第一、第二、第三和第四支撑柱中的每一个包括在底板和顶板之间分布并且朝向盒的内部区域定向的支撑指状物。第一硬质止动柱平行于所述第三支撑柱设置,并且第二硬质止动柱平行于所述第四支撑柱设置,使得第一和第二硬质止动柱朝向盒的内部区域定向,并且在底板和顶板之间延伸。外壳结构被配置为连接到底板上并且包围第一、第二、第三和第四支撑柱、顶板、第一硬质止动柱和第二硬质止动柱。外壳结构具有邻近底板的前侧设置的前开口。门与外壳结构的前开口配合并且具有保持组件,该保持组件用于当消耗部件设置在支撑指状物中的所选择的支撑指状物上方时将消耗部件固定在盒内。保持组件设置在门的内侧表面上并且在底板和顶板之间延伸。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于交换处理模块的消耗部件的盒,该盒包括:
底板,其具有由前侧、后侧和在该前侧与该后侧之间延伸的两个横向侧限定的外周边;
顶板,其与所述底板在竖直方向上相对定向,所述顶板基本上平行于所述底板;
第一成对的支撑柱,所述第一成对的支撑柱中的每一个沿着所述两个横向侧中的每一个定向并且布置成邻近所述底板的所述前侧,并且所述第一成对的支撑柱中的每一个在所述顶板和所述底板之间延伸,所述第一成对的支撑柱中的每一个包括沿着所述第一成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内部的多个支撑指状物;
第二成对的支撑柱,所述第二成对的支撑柱中的每一个沿着所述两个横向侧中的每一个定向并且设置成邻近所述底板的所述后侧,并且所述第二成对的支撑柱中的每一个在所述顶板和所述底板之间延伸,所述第二成对的支撑柱中的每一个包括沿着所述第二成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内部的多个支撑指状物;
第一硬质止动柱,其设置成邻近所述第二成对的支撑柱中的第一个;
第二硬质止动柱,其设置成邻近所述第二成对的支撑柱中的第二个,其中所述第一硬质止动柱和第二硬质止动柱中的每一个延伸所述第二成对的支撑柱的长度,使得所述第一硬质止动柱和第二硬质止动柱的边缘表面相对于所述外周边面向内部;
所述盒的外壳结构,其被配置成附接到所述底板并且包围所述第一成对的支撑柱、所述第二成对的支撑柱、所述顶板以及所述第一硬质止动柱和第二硬质止动柱,所述外壳结构具有沿着所述底板的所述前侧设置的前开口;以及
门,其被构造成与所述外壳结构的所述前开口和所述底板的所述前侧配合,所述门被配置有保持组件,该保持组件设置在所述门的内侧表面上并且在所述底板和所述顶板之间延伸,使得消耗部件在被放置于所述盒中时被设置成搁置在所述第一成对的支撑柱和第二成对的支撑柱的所述支撑指状物上,并且当所述门关闭时,所述保持组件使所述消耗部件靠着所述第一硬质止动柱和第二硬质止动柱固定。
2.根据条款1所述的盒,其中所述多个支撑指状物中的每一个包括限定在顶表面上的支撑垫,当消耗部件被接纳在所述多个支撑指状物中的相应一个上时,所述支撑垫为所述消耗部件提供不同的接触表面。
3.根据条款2所述的盒,其中所述多个支撑指状物中的每一个包括限定在所述顶表面上的凹槽,所述支撑垫形成在所述凹槽中并在所述顶表面上方延伸。
4.根据条款2所述的盒,其中所述支撑垫由碳填充的聚醚醚酮(PEEK)材料制成,并且其中所述多个支撑指状物由铝制成。
5.根据条款1所述的盒,其中所述多个支撑指状物由碳填充的聚醚醚酮(PEEK)材料制成。
6.根据条款1所述的盒,其中所述第一硬质止动柱和第二硬质止动柱由碳填充的聚醚醚酮(PEEK)材料制成,并且其中所述第一成对的支撑柱和所述第二成对的支撑柱由铝制成。
7.根据条款1所述的盒,其中所述顶板固定到所述外壳结构的顶表面的下侧,所述顶板为所述第一成对的支撑柱和所述第二成对的支撑柱提供支撑。
8.根据条款1所述的盒,其中所述保持组件包括弹簧机构,当所述门关闭时,所述弹簧机构被致动以固定所述消耗部件。
9.根据条款1所述的盒,其中所述保持组件包括多个指状物,其中所述保持组件中的所述多个指状物中的每一个被配置成当所述消耗部件被设置在所述盒中时为所述消耗部件提供支撑,所述多个指状物由碳填充的聚醚醚酮材料制成。
10.根据条款1所述的盒,其还包括沿着所述盒的后侧的一部分的长度限定的窗,所述窗提供对所述盒的内部的视觉。
11.根据条款1所述的盒,其还包括成对的手柄,其中所述成对的手柄中的每一个手柄被限定在所述盒的横向侧上,并且穿过所述外壳结构连接到被设置在相同的横向侧上的来自所述第一成对的支撑柱中的支撑柱和来自所述第二成对的支撑柱中的支撑柱。
12.根据条款1所述的盒,其还包括分布在限定于所述盒内的接收平面上的多个载体支撑件,所述多个载体支撑件中的每一个包括基部支撑结构和设置在所述基部支撑结构上的顶帽,所述基部支撑结构、所述顶帽和所述门上的所述保持组件在环载体被接纳在所述盒中时提供用于搁置所述环载体的支撑表面。
13.根据条款12所述的盒,其中,具有所述多个载体支撑件的所述接收平面被限定在所述底板上。
14.根据条款12所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在设置于所述顶板和所述底板之间的隔板上,所述隔板限定用于分开存储使用过的消耗部件和新的消耗部件的不同区域。
15.根据条款12所述的盒,其中,具有所述多个载体支撑件的所述接收平面被限定在所述盒中的所述顶板的下侧表面上。
16.根据条款1所述的盒,其中,所述第一成对的支撑柱、所述第二成对的支撑柱、所述底板和所述顶板的部分由铝制成,并且其中所述第一成对的支撑柱、所述第二成对的支撑柱、所述底板和所述顶板的被暴露于所述盒内的周围环境的部分用耐腐蚀涂层处理。
17.根据条款1所述的盒,其中所述盒是前开式环形盒(环形盒),并且所述消耗部件是将在所述处理模块中使用的边缘环。
18.一种用于保持将被供应到处理模块或从处理模块返回的消耗部件的盒,所述处理模块被配置为处理半导体衬底,并且所述半导体衬底的处理导致对所述消耗部件的磨损,所述盒包括,
底板,其具有前侧、后侧、第一横向侧和第二横向侧,所述第一横向侧和所述第二横向侧彼此相反地定向;
第一支撑柱,其被设置成邻近所述前侧并且在所述第一横向侧上;
第二支撑柱,其被设置成邻近所述前侧并且在所述第二横向侧上;
第三支撑柱,其被设置成邻近所述后侧并且在所述第一横向侧上;
第四支撑柱,其被设置成邻近所述后侧并且在所述第二横向侧上;
顶板,其设置在所述底板上方并连接到所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个,并且所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个包括分布在所述底板和所述顶板之间并且朝向所述盒的内部区域定向的支撑指状物;
第一硬质止动柱,其平行于所述第三支撑柱设置,以及第二硬质止动柱,其平行于所述第四支撑柱设置,使得所述第一硬质止动柱和第二硬质止动柱朝向所述盒的所述内部区域定向,并且在所述底板和所述顶板之间延伸;
外壳结构,其被配置为包围所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱、所述顶板、以及所述第一硬质止动柱和所述第二硬质止动柱并且连接到所述底板上,所述外壳结构具有邻近所述底板的所述前侧设置的前开口;以及
门,其与所述外壳结构的所述前开口配合,所述门具有保持组件,该保持组件用于在消耗部件设置在所述支撑指状物中的所选择的支撑指状物上方时将所述消耗部件固定在所述盒内,所述保持组件设置在所述门的内侧表面上并且在所述底板和所述顶板之间延伸。
19.根据条款18所述的盒,其中所述第一硬质止动柱与所述第三支撑柱间隔开,并且所述第二硬质止动柱与所述第四支撑柱间隔开。
20.根据条款18所述的盒,其中所述第一硬质止动柱附接到所述第三支撑柱上,并且所述第二硬质止动柱附接到所述第四支撑柱上。
21.根据条款18所述的盒,其中所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个中的所述支撑指状物中的每一个包括限定在顶表面上的凹槽,在所述顶表面上形成支撑垫,使得支撑垫填充所述凹槽并且在所述顶表面上方延伸以在所述消耗部件设置在所述盒中时形成用于接收所述消耗部件的不同的支撑表面。
22.根据条款18所述的盒,其中所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个中的所述支撑指状物中的每一个包括设置在顶表面上的支撑垫,当所述消耗部件设置在所述支撑指状物中的所选定的支撑指状物上时,所述支撑垫为所述盒中的所述消耗部件提供不同的支撑表面。
23.根据条款18所述的盒,其还包括沿着所述盒的后侧的一部分的长度限定的窗,所述窗提供对所述盒的内部的视觉。
24.根据条款18所述的盒,其还包括设置在所述盒的第一横向侧上并穿过所述外壳结构附接到所述第一支撑柱和第三支撑柱上的第一手柄,以及设置在所述盒的第二横向侧上并且穿过所述外壳结构附接到所述第二支撑柱和所述第四支撑柱上的第二手柄。
25.根据条款18所述的盒,其还包括分布在限定于所述盒内的接收平面上的多个载体支撑件,所述多个载体支撑件中的每一个包括基部支撑结构和设置在所述基部支撑结构上的顶帽,所述基部支撑结构、所述顶帽和所述门上的所述保持组件在环载体被接纳在所述盒中时提供用于搁置所述环载体的支撑表面。
26.根据条款25所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在所述底板上。
27.根据条款25所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在设置于所述顶板和所述底板之间的隔板上,所述隔板限定用于分开存储使用过的消耗部件和新的消耗部件的不同区域。
28.根据条款18所述的盒,其中所述保持组件包括纵向分布且朝向所述盒的所述内部区域定向的指状物,所述指状物在所述消耗部件设置在所述盒中时为所述所述消耗部件提供支撑。
29.根据条款18所述的盒,其中所述顶板附接到所述外壳结构的顶表面的下侧。
根据通过结合附图以举例的方式示出本发明的原理的以下的详细描述,本发明的其它方面将变得显而易见。
附图说明
本发明可以结合附图通过参照以下描述来充分地理解。
图1示出了在本发明的一个实施方式中的群集工具组件的简化框图,所述群集工具组件包括安装到在处理半导体晶片中使用的处理模块上的更换站。
图2示出了在本发明的一个替代实施方式中的群集工具组件的简化框图,所述群集工具组件包括安装到所述群集工具的真空传送模块上的更换站。
图3示出了在本发明的一个替代实施方式中的群集工具组件的简化框图,所述群集工具组件包括安装到所述群集工具的大气传送模块上的更换站。
图3A示出了在本发明的一个实施方式中的群集工具组件的简化框图,所述群集工具组件包括具有端部执行器的机械手,该端部执行器用于移动在群集工具内的消耗部件。
图4示出了在本发明的一个实施方式中的群集工具组件的处理模块的一部分的简化框图,所述群集工具组件包括用于提供对消耗部件的访问的示例性升降机构。
图5A示出了在本发明的一个实施方式中的具有安装用于移动消耗部件的更换站的处理模块的简化框图,其中,升降机构处于脱开模式。
图5B示出了在本发明的一个实施方式中的安装有更换站的处理模块的简化框图,其中,升降机构处于接合模式。
图5C示出了在本发明的一个实施方式中的具有在更换处理模块中的消耗部件中使用的安装到真空传送模块的更换站的处理模块以及处理模块中的升降机构的简化框图。
图6A示出了在被配置为接收衬底的ATM内使用的端部执行器机构,而图6B、图6B-1和图6C示出了在本发明的一些实施方式中,设置在大气传送模块和/或真空传送模块内的端部执行器机构的俯视图和侧视图。
图7A-7D根据本发明的一些实施方式示出了使用端部执行器机构接收的消耗部件的变化的底部表面轮廓。
图7E根据本发明的一些实施方式示出了在VTM的机械手上的示例性端部执行器机构。
图7F-1、图7F-2根据本发明的一些实施方式示出了替代的接触垫构思,其在用于支撑具有不同底部轮廓的消耗部件的VTM和/或ATM的机械手上的端部执行器机构中使用。
图8A-8B根据本发明的一些实施方式示出了用于将衬底和消耗部件输送到装载锁室内的示例性端部执行器机构。
图9A-9B根据本发明的一些实施方式示出了带有环载体的端部执行器机构的示例性侧视图和顶视图,该环载体用于将消耗部件输送到装载锁室。
图10A-10D根据本发明的一些实施方式示出了在装载锁室内使用的示例性指状物组件,该装载锁被配置为接收消耗部件。
图10E-10F根据本发明的一些实施方式示出了现有的装载锁室和包括用以接收消耗部件的指状物组件的重新设计的装载锁室的视图。
图11根据本发明的一实施方式示出了用于存储消耗部件和环载体的示例性更换站。
图12根据本发明的一实施方式示出了在处理模块内的槽,消耗部件或晶片通过该槽移入和移出处理模块。
图13示出了根据一个实施方式的用于控制群集工具的各个方面的控制模块(即控制器)。
图14根据一实施方式示出了具有用于传送在装载端口处接收的消耗部件的盒的装载端口模块的视图。
图15A和15B根据本发明的不同实施方式示出了示例性更换站的不同视图。
图16根据本发明的实施方式示出了用于存储消耗部件和环载体的示例性更换站的不同部分的视图。
图17A示出了在本发明的一个实施方式中的示例性更换站的支撑柱和硬质止动柱的视图。图17B示出了在本发明的一些实施方式中的图17A所示的支撑柱的标识支撑指状物的不同部分的一部分的放大图。
图17C示出了在本发明的替代实施方式中在更换站中提供的支撑柱的替代设计。图17D示出了在本发明的一个实施方式中的图17C所示的支撑柱的一部分的放大图。
图17E、17F和17G示出了在本发明的一些实施方式中设置在更换站的门上的保持组件的详细视图。
图17H、17I和17J提供了在本发明的一些实施方式中具有上面限定有支撑垫的支撑指状物的不同横截面视图。
图18示出了在本发明的一些实施方式中用于接收消耗部件的更换站的俯视图。
图19A和19B示出了根据本发明的一些实施方式的用于在更换站内接收和存储环载体的示例性载体支撑件的细节。
图20示出了根据本发明的实施方式的标识用于与装载端口模块的装载端口连接的各种部件的更换站的仰视图。
图21A和21B示出了根据本发明的一些实施方式的标识用于提供更换站标识符的安装板的更换站的背面视图。
具体实施方式
本公开的实施方式定义用于处理半导体晶片的群集工具组件。群集工具组件包括用于处理半导体晶片的处理模块。更换站被安装到群集工具组件上。更换站保持在真空下,以便提供用于更换消耗部件的必要的工艺条件,而没有污染处理模块或群集工具组件的风险。更换站中的专用机械手或群集工具组件中可用的机械手用于从处理模块取回已用过的消耗部件并用新的消耗部件更换。
在一些实施方式中,更换站可以被直接安装到群集工具组件的其中消耗部件需要更换的处理模块。在这种实施方式中,更换站直接耦合到处理模块。在更换站中限定的机械手用于取回和更换消耗部件。
在一些其它实施方式中,更换站可以被直接安装到群集工具组件内的真空传送模块(VTM)。更换站被安装以便保持群集工具组件的均匀性和对称性。用于将半导体晶片移入和移出处理模块的VTM的机械手也用于取回和更换布置在处理模块中的消耗部件。
在一些其它实施方式中,更换站可以被直接安装到群集工具组件的大气传送模块。在这样的实施方式中,大气传送模块的机械手与群集工具组件的真空传送模块的机械手一起工作以访问和更换来自处理模块的消耗部件。更换站被设计为安装到群集工具组件的不同的模块,并使得在无需群集工具组件对大气条件开放以访问消耗部件的条件下能更换消耗部件。
群集工具组件的传统设计需要打开群集工具组件以访问并更换处理模块内的消耗部件。群集工具组件的打开需要使群集工具组件脱机以及吹扫群集工具组件至大气条件,以允许访问处理模块。一旦群集工具组件被打开时,经过训练的技术人员会从处理模块手动移除和更换消耗部件。在更换消耗部件时,群集工具组件必须被调节,以使得半导体晶片能被处理。由于半导体晶片是贵重产品,因此当调节群集工具组件时必须特别小心。该调节需要清洗群集工具组件,抽吸群集工具组件至真空,调节群集工具组件,并使用测试运行使群集工具组件合格。这些步骤中的每一个都需要大量的时间和精力。除了调节群集工具组件的每个步骤所需的时间以外,当在群集工具组件的调节过程中在一个或多个步骤遇到问题时还可能经历其他延迟。在群集工具组件的调节过程中经常遇到的一些问题可以包括:更换期间消耗部件的未对准,在更换受损的或使用过的消耗部件时对新消耗部件的损坏,在消耗部件的取回和更换过程中对处理模块中的其他硬件组件的损坏,群集工具组件在抽吸后没有实现真空,群集工具组件没有实现工艺性能等。基于每个问题的严重性,可能必须花费额外的时间和精力,进一步促使群集工具组件联机的延迟,从而直接影响了制造商的利润率。
将更换站安装到群集工具组件和通过更换站访问消耗部件节省相当多的用于维护群集工具组件所需要的时间和精力。消耗部件、处理模块和/或群集工具组件的损坏风险通过使用群集工具组件内用于更换消耗部件的可用的机械手被最小化,并且通过保持更换站在真空下使受污染的风险最小化,从而避免将群集工具组件的内部暴露于外部大气。使用机械手,可以实现在处理模块中的消耗部件的更精确的对准,同时使处理模块的其它硬件部件的损坏最小化。因此,调节群集工具组件所需的时间大大减少。及时更换消耗部件使半导体晶片中限定的半导体元件的质量和产率提高。
在一种实施方式中,图1示出了用于处理半导体晶片的群集工具组件100的简化示意图。群集工具组件100包括多个模块,以允许半导体晶片能在使半导体晶片暴露于环境最小化的受控环境中被处理。在一种实施方式中,群集工具组件100包括大气传送模块(ATM)102、共同的真空传送模块(VTM)104和一个或多个处理模块112-120。ATM 102在外界环境(即,大气压)的条件下操作并与晶片装载器(未示出)以接口方式连接,以将半导体晶片带到集成群集工具组件100中用于处理,并在处理后返回半导体晶片。ATM 102可以包括机械手以将半导体晶片从晶片装载器移动到VTM 104。由于ATM 102在大气条件下,所以该机械手可以是干式机械手的一部分。
VTM 104在真空下操作以便当半导体晶片从一个处理模块移动到另一个处理模块时使半导体晶片表面最小程度地暴露于大气。由于VTM 104在真空下操作并且ATM 102在大气条件下操作,因此装载锁室110被放置在ATM 102和VTM 104之间。装载锁室110提供受控的接口以允许半导体晶片从ATM 102传送到VTM 104内。在本实施方式中,ATM 102内的机械手可以被用来将半导体晶片存入装载锁室110。单独的机械手可设置在VTM 104内以从装载锁室110取回半导体晶片和传送半导体晶片进出处理模块(112-120)。在一些实施方式中,装载锁由于其位置也被称作“连接室”(“interfacing chamber”)。
一个或多个处理模块112-120与VTM 104集成,从而允许半导体晶片在由VTM 104保持的受控环境中从一个处理模块移动到另一处理模块。在一些实施方式中,处理模块112-120可以均匀地围绕VTM 104分布并用于执行不同的处理操作。一些可以使用处理模块112-120进行的处理操作包括蚀刻操作、漂洗、清洁、干燥操作、等离子体操作、沉积操作、电镀操作等。举例而言,处理模块112可用于执行沉积操作,处理模块114可以用于执行清洁操作,处理模块116可用于执行第二沉积操作,处理模块118可用于执行蚀刻或去除操作,等。具有受控环境的VTM 104使得半导体晶片能被传送进出处理模块112-120而没有受污染的风险,并且VTM 104内的机械手有助于传送半导体晶片进出与VTM 104集成的各个处理模块112-120。
在一个实施方式中,更换站108被安装到群集工具组件100内的处理模块(例如,处理模块112-120中的任一个)上。在图1所示的示例性实施方式中,更换站108被安装到处理模块118。当在任何其它处理模块112-120中的消耗部件需要被更换时,更换站可以被构造成安装到所述处理模块112-120中的任何一个中。例如,处理模块118可被用来执行蚀刻操作。更换站108用于取回和更换在处理模块118中使用的消耗部件。更换站108包括用以在将更换站108安装到处理模块时抽吸更换站108并维持更换站108在真空下的机构,例如泵机构(未示出)。
在一个实施方式中,当在处理模块(即,处理模块112-120中的任何一个)处的消耗部件需要被更换时,更换站108可以通过隔离阀耦合到该处理模块(112-120),并且当消耗部件已被成功地更换时可以将更换站108从处理模块(112-120)分离。在本实施方式中,更换站108是可移动模块单元,其被设计为暂时安装到处理模块以完成所需的操作(例如,消耗部件的更换)、在处理模块所需的操作完成时拆下、并且或缩回或移动到不同处理模块,在该不同处理模块执行更换消耗部件的所需操作。例如,隔离阀使得更换站108能保持在真空状态下。
更换站108包括部件缓冲区以接收和容纳消耗部件。在一些实施方式中,部件缓冲区可包括多个隔室,所述隔室用于接收从处理模块取回的使用过的消耗部件以及将被输送到处理模块的新的消耗部件。在一个实施方式中,更换站108(其中该更换站被安装到隔离阀)的开口被设定尺寸以使消耗部件能移动进出更换站108。
消耗部件是处理模块内的由于连续暴露于处理模块中的工艺条件因而需要被更换的硬件部件。作为消耗部件连续暴露于半导体晶片的处理过程中使用的苛刻工艺条件的结果,消耗部件需要被密切监测,以确定何时损坏超过可接受的水平,以便它可以及时被更换。例如,在蚀刻处理模块中,边缘环被布置为邻近安装在卡盘组件上的半导体晶片,以延伸半导体晶片的处理区域。在蚀刻操作过程中,边缘环暴露于来自用于在半导体晶片的表面上形成特征的等离子体的离子轰击。经过一段时间,作为连续暴露的结果,边缘环可能会损坏。当边缘环的损坏超出可接受的程度时,边缘环需要更换,以便边缘环的损坏不使其它下层组件暴露,也不以其它方式不利地影响半导体晶片处理。
在典型的蚀刻操作中,当半导体晶片被接收在处理模块中时,来自等离子体的离子以垂直于在半导体晶片上方限定的处理区域中形成的等离子体鞘的角度冲击半导体晶片表面。当边缘环的层由于离子轰击而磨损时,半导体晶片的边缘被暴露,从而导致等离子体鞘沿半导体晶片边缘的轮廓滚动。因此,冲击半导体晶片表面上的离子跟随(follow)等离子体鞘的轮廓,从而导致朝向半导体晶片表面的边缘形成倾斜特征。这些倾斜特征会影响形成在半导体晶片上的半导体元件的总产率。此外,随着边缘环的多层磨损掉,例如,下层部件(诸如卡盘)可能会暴露于离子,从而损伤卡盘表面。为了提高产率并避免对任何下层部件的损坏,边缘环(即,消耗部件)需要定期被更换。
安装到处理模块118的更换站108将使得消耗部件(即,边缘环)在不破坏处理模块中的真空的条件下能容易地更换。在一个实施方式中,更换站108包括被构造成将端部执行器延伸进入处理模块(例如,处理模块118)的专用机械手,以取回需要更换的消耗部件,并输送新的消耗部件。处理模块内的升降机构提供对消耗部件的访问。更换站108的机械手可运行以将新的消耗部件放置在升降机构的升降销上,并且升降机构将安装新的消耗部件在处理模块内的合适位置上。
在一个实施方式中,为了协助更换消耗部件,更换站通过第一隔离阀安装到处理模块(例如,处理模块112-120中的任何一个或每一个)。来自更换站的机械手用于从处理模块访问和取回消耗部件并移动到在更换站中限定的部件缓冲区,且提供来自部件缓冲区的更换的消耗部件。在一个实施方式中,第一隔离阀可以操作地连接到控制器,以协调处理模块中的消耗部件的取回和更换。
除了使用第一隔离阀以安装更换站到处理模块以外,可使用第二隔离阀将处理模块耦合到群集工具组件100的真空传送模块(VTM)。当接合时,第二隔离阀被配置成将处理模块(112-120)与群集工具组件100的其余部分隔离,使得在处理模块中的消耗部件的更换可以在不影响群集工具组件100的其它处理模块的操作的情况下容易地进行。提供第二隔离阀允许特定处理模块(112-120中的任何一个)而不是整个群集工具组件100脱机,同时可以允许群集工具组件100内的处理模块(112-120)的其余部分继续处理半导体晶片。另外,由于仅仅使特定的处理模块(例如,112-120中的任何一个)脱机用以更换消耗部件,这将需要相当少的时间来使处理模块(112-120)和群集工具组件100恢复到完全工作状态。结果是,调节群集工具组件100的操作并使操作合格花费的时间要短得多。在半导体晶片处理过程中可以使用VTM 104的机械手以将半导体晶片移进和移出处理模块(112-120)。
为了允许更换站108的机械手能从处理模块(112-120)取回消耗部件,所述消耗部件必须是容易访问的。在一个实施方式中,处理模块(112-120)包括提供对需要被更换的消耗部件的访问的升降机构。在一些实施方式中,升降机构可以包括可延伸以将消耗部件移动到升高位置的升降销。在更换站108中的机械手的端部执行器延伸到处理模块(112-120)中并在消耗部件下方滑动。升降机构然后缩回升降销以将消耗部件留置在机械手的端部执行器上。具有消耗部件的端部执行器然后从处理模块(112-120)缩回到更换站108中。使用机械手的端部执行器将新的消耗部件移动到处理模块(112-120),并且升降机构的升降销延伸以接收新的消耗部件。升降机构的升降销一起运作以将新的消耗部件对准到处理模块(112-120)中的位置。使用升降机构取回和更换消耗部件的过程将参考图4更详细地讨论。
在一些实施方式中,整个群集工具组件100可能必须脱机以更换消耗部件。例如,这种情况在一个以上的处理模块(112-120)内的多于一个的消耗部件需要更换时可能发生。即使在这样的实施方式中,由于更换站和处理模块被保持在真空下,使群集工具组件100脱机、安装更换站到处理模块(112-120)、移除并更换消耗部件、调节群集工具组件100和使群集工具组件100合格的时间可以短得多。其结果是,在更换消耗部件的过程中群集工具组件100的处理条件(即,真空)没有受到不利影响。另外,由于使用机械手进行更换,因而可设计消耗部件的较精确的取回和放置,从而避免消耗部件和/或处理模块(112-120)受损的风险。
在一些实现方式中,在处理模块的安装更换站的一侧的开口可以设定尺寸使得消耗部件可以很容易地适合通过该开口。此外,处理模块(112-120)中的开口可被设计成使在处理模块(112-120)中以及在作为一个整体的群集工具组件100中可能发生的任何不对称性的问题最小化。
参考图1讨论的多种实施方式和实现方式使得当处理模块(112-120)中的消耗部件需要更换时更换站108能被暂时安装到处理模块(112-120),并且在消耗部件的更换完成时被缩回。更换站108可以包括具有两个不同的保持区以接收并保持使用过的消耗部件和新的消耗部件的单个部件缓冲区或替代地具有用于分别保持使用过的消耗部件和新的消耗部件的不同的部件缓冲区。在更换站108中设置的机械手和部件缓冲区允许直接往来于处理模块(112-120)输送和取回消耗部件。在处理模块(112-120)中的隔离阀使得能够仅使处理模块(112-120)而不是整个群集工具组件100脱机。
图2示出了群集工具组件100的替代的实施方式,其中更换站108被配置成安装到设置在群集工具组件100中的真空传送模块(VTM)104上,而不是安装到处理模块(112-118)上。VTM 104包括在半导体晶片的处理过程中使用的机械手,以将半导体晶片从装载锁室110移动到处理模块112-118,以及移进和移出与VTM 104集成的一个或多个处理模块112-118。机械手包括用于接收、保持并移动不同处理模块之间的半导体晶片的端部执行器。单独的开口在VTM 104中限定,以允许更换站108被安装成使得更换站108与VTM 104中限定的开口对准。在对称性很重要的情况下,将在VTM 104中的开口限定为保持VTM 104的均匀性和对称性以及群集工具组件100的均匀性和对称性。例如,可以在VTM 104中相对于所述开口限定具有虚设门的虚设开口,以保持VTM 104的均匀性和对称性。替代地,如果虚设开口已经存在于VTM 104中并且所述开口足够大以移动消耗部件,那么更换站108可以被安装到虚设开口,以便继续保持群集工具组件100的均匀性和对称性。
通常,将在VTM 104中的开口的尺寸设定为适合于半导体晶片和用于移动半导体晶片进出VTM 104的载体/机械手。然而,比半导体晶片大的消耗部件可能不适合通过。例如,设置成围绕接收在处理模块(112-118)中的半导体晶片的边缘环比半导体晶片宽。在这种情况下,在没有重新设计开口的情况下边缘环作为整体未必能够适合通过被设计以移动半导体晶片的开口。在某些情况下,重新设计VTM 104的开口可能不是一种可行的选择,因为它可能会影响群集工具组件的对称性。因此,代替重新设计VTM 104的开口以及造成群集工具组件100的不对称,可以使用分区段的消耗部件使得每个区段可以适合通过开口。例如,用于包围处理模块中的半导体晶片的边缘环可以被设计成由两个或更多个部件制成的分区段的边缘环,每个部件设计为适合通过VTM 104和更换站108的开口。在本实施例中,分区段的边缘环可以单独取回和更换。
当更换消耗部件尤其是多部件分区段消耗部件时,消耗部件的每个区段必须在处理模块中被正确地对准和固定,以便没有限定在区段之间的间隙。应当注意的是,在如高深宽比蚀刻操作之类的处理操作中,蚀刻处理模块的任何部件之间存在的间隙将导致离子流过并损坏任何下层部件。例如,在高深宽比的蚀刻器模块中的边缘环的间隙将导致高能量离子流过到达上面可布置边缘环的底层卡盘,从而损坏卡盘的表面。为了防止形成间隙,分区段的消耗部件可被设计成确保当每个区段安装在处理模块中时每个区段与其它区段紧密地配合。因此,在一些实现方式中,分区段的或多部件的消耗部件可被设计为具有互锁区段。替代地,消耗部件可被设计成具有重叠的区段,以防止离子或处理气体/化学品找到通到下层部件的直接流动路径。例如,在一些实现方式中,消耗部件可以由可以完整地或分区段地配合通过VTM 104和更换站之间所限定的开口的内部部件和外部部件组成,并且利用一个部件重叠在其它部件上的方式安装在处理模块中,从而防止形成间隙。消耗部件的设计的其它变型可被实现为使消耗部件能被移进和移出VTM 104,而不必重新设计被设计为保持在群集工具组件内的对称性的开口。
在一个实施方式中,代替在更换站108使用专用的机械手,在VTM 104中使用的将半导体晶片移入和移出处理模块的机械手也可以用于取回和更换消耗部件。在一些实现方式中,用于使半导体晶片在处理模块之间移动的机械手的端部执行器用于在处理模块112-118和更换站108之间接收、保持并移动消耗部件。在其他实现方式中,VTM 104的机械手被设计成具有用于移动消耗部件和半导体晶片的不同的端部执行器。端部执行器是在用于取回、支撑、保持、拾取、升降、移动或旋转可移动部件(诸如半导体晶片或消耗部件)的机械手中通常限定的部件。可移动部件可以保持在任何取向的平面上。可设置单独的端部执行器以单独移动消耗部件和半导体晶片,从而防止半导体晶片的污染。
在一个替代的实施方式中,更换站108中的专用机械手可以与VTM 104的机械手一起运行以提取和更换处理模块中的消耗部件。例如,VTM 104的机械手可以被用来从处理模块提取使用过的消耗部件并将其移动到在VTM 104和更换站108之间限定的中间储备区域(a staging area)。更换站108的专用机械手可以用于将使用过的消耗部件从中间储备区域移动到部件缓冲区。类似地,更换站108的专用机械手可被用于将新的消耗部件从更换站108的部件缓冲区移动到中间储备区域,并且VTM 104的机械手可以被用于将新的消耗部件从中间储备区域移动到处理模块。在一个实施方式中,中间储备区域可以具有用于接收使用过的消耗部件的第一区域和用于接收新的消耗部件的第二区域。处理模块(112-118)中的升降机构用于安装新的消耗部件在处理模块(112-118)中。
在图2所示的实施方式中更换站108的设计类似于参考图1讨论的更换站108的设计。例如,图2的更换站108包括用于在更换站108被安装到VTM 104时将更换站108保持在真空下的机构(如泵)。保持更换站108的工艺条件类似于VTM 104的工艺条件(即在真空下),这将确保在更换消耗部件的过程中VTM 104内的处理条件不会受到不利影响。在更换站108内定义一个或多个部件缓冲区以接收和容纳使用过的消耗部件和新的消耗部件。
在图2中示出的处理模块(118)的设计与在图1中所定义的处理模块(118)略有不同。不同之处在于图2所示的处理模块不包括第二开口。例如,当更换站108被直接安装在VTM 104并且通过VTM 104从更换站108提供对处理模块(118)的访问时,处理模块(118)不需要用于安装更换站108的第二开口。另外,单个隔离阀被用于在更换消耗部件期间通过VTM 104提供对处理模块(118)的访问并在半导体晶片的处理过程中用于隔离处理模块。应当指出的是,更换站108被维持在真空,使得消耗部件可在没有不利地影响群集工具组件100中的工艺条件的情况下容易地被更换。因此,调节群集工具组件100和使群集工具组件100合格以处理半导体晶片可以在较短的时间实现,因为不需要吹扫/抽吸处理,并在较短的时间内执行其他使群集工具组件合格的步骤。在一些实现方式中,更换站108可以永久地安装到VTM 104上。
图3示出了群集工具组件100的另一实施方式,其中更换站108被安装到大气传送模块(ATM)102。例如,群集工具组件100的ATM 102中的用于将半导体晶片从晶片装载器移动到装载锁室110的机械手也用于将消耗部件往来于更换站108移动。在该实施方式中,安装到ATM 102的更换站108被保持在与ATM 102的大气条件相同的大气条件下。因此,更换站108不需要泵或类似的机构来保持更换站108在真空下。在一些实现方式中,更换站108可被永久地安装到ATM 102上。
除了ATM 102以外,在图3所示的群集工具组件100还包括真空传送模块(VTM)104,和与VTM 104集成的多个处理模块112-120。装载锁室110在ATM 102和VTM 104之间限定,并充当接口以在维持ATM 102和VTM 104中的工艺条件的同时将半导体晶片从ATM 102移动到VTM 104。
群集工具组件100的装载锁室110被设计成搬运半导体晶片和消耗部件两者。也可以在装载锁室110中设置单独的中间储备区域(如隔室),其用于接收半导体晶片和消耗部件,以避免污染半导体晶片。在设计用于接收消耗部件的装载锁室110中的中间储备区域还可以被配置以提供用于接收使用过的消耗部件和新的消耗部件的分隔的中间储备区域。装载锁室110中限定的开口被设计为适合消耗部件和半导体晶片。替代地,当开口没有被设计以适合消耗部件时,分区段的消耗部件可用于使得可消耗部件的每个区段可以适合通过装载锁室110所限定的开口。
在图3所示的实施方式中,VTM 104中的用于将半导体晶片从装载锁室110移动到集成在VTM 104中的处理模块(112-120)或从一个处理模块(112-120)移动到另一个处理模块的机械手也用于使消耗部件在装载锁室110和处理模块(112-120)之间移动。
在一些实现方式中,除了ATM 102和VTM 104的机械手以外,更换站108也可以包括被配置为在更换站的部件缓冲区和ATM 102之间移动消耗部件的专用机械手。在这样的实施方式中,ATM 102的机械手可以被用于在ATM 102和装载锁室110之间移动消耗部件和半导体晶片,并且VTM 104的机械手可被用于在装载锁室110和处理模块(112-120)之间移动消耗部件和半导体晶片。在一个实现方式中,单个端部执行器可设置在可在不同的时间被接合的ATM 102和VTM 104的机械手中以移动半导体晶片和消耗部件两者。在另一个实施方式中,分离的端部执行器可设置在ATM 102和VTM 104的机械手中,一个端部执行器用于移动半导体晶片而另一个用于移动消耗部件。升降机构被用来将新的消耗部件正确地对准并安装在处理模块(112-120)内的适当位置。
在一个实施方式中,相比于在图3中所示的版本,图3A示出了群集工具组件100的包括附加的模块的更详细的版本。如在图3中一样,图3A所示的实施方式示出了耦合到ATM102的第一侧101a的更换站108,并使用ATM 102和VTM 104的机械手以更换消耗部件。在本实施方式中,除了更换站108被安装在ATM 102的第一侧101a外,还有一个或多个晶片装载器115被安装在大气传送模块102的第一侧101a。晶片装载器115可以是标准晶片装载器,例如前开式统一标准盒(FOUP)或被设计成耦合到ATM 102的定制晶片装载器。更换站108和晶片装载器115被配置为通过限定在ATM 102的第一侧101a的特定装载端口(未示出)暂时或永久耦合在ATM 102上。更换站108和晶片装载器115(无论是标准的还是定制的晶片装载器)的门被设计成与被限定在ATM 102的第一侧101a上的标准装载端口的开口兼容。晶片装载器115包括包含用于接收和保持经处理或未经处理的晶片的多个隔室的晶片缓冲区。应当注意的是,晶片和衬底可在本申请中互换使用并且是指用于电子器件(例如集成电路)的制造的半导体材料薄片。在一些实施方式中,更换站108在结构和设计方面与晶片装载器115(例如,FOUP)类似,并且包括具有多个隔室207的部件缓冲区224,隔室207被配置成接收和存储的新的和/或使用过的消耗部件208。
除了更换站108和晶片装载器115外,一个或多个缓冲区站113可耦合到ATM 102。缓冲区站113可以包括通常用于提供对准输入到与ATM 102通信地连接的计算机的动态对准器。当晶片被输送到装载锁室110时,使用对准输入以对准晶片。例如在ATM 102内的机械手103可以被用来将晶片从晶片装载器115中的一个移动到在缓冲区站113内的动态对准器内设置的卡盘上。卡盘被配置为旋转所述晶片。动态对准器内的传感器被用于检测沿所述晶片的边缘设置的一个或多个凹口,所述凹口的偏心,并将该数据作为对准输入提供到计算机中。计算机可提供对准输入到机械手,使得机械手可以使用对准输入以将晶片从动态对准器输送到装载锁室110,以便在输送晶片时,晶片被正确地对准。在一些实现方式中,动态对准器中的一个或多个可用于提供用于消耗部件的对准输入到计算机,以确保消耗部件在被输送到装载锁室110时被正确地对准。应当注意,用于对准晶片的对准输入与用于对准消耗部件的对准输入可以是不同的。在其他实现方式中,消耗部件的对准可在更换站内进行。在这样的实现方式中,当输送消耗部件到装载锁室110时,没有必要执行进一步的对准。
在一些实施方式中,在ATM 102中的被设计用于将晶片移动的机械手103也被设计成将消耗部件208从更换站108移动到装载锁室110。机械手103的现有设计包括具有用于支持晶片的“指状物”的端部执行器机构。然而,端部执行器机构上的指状物被设计为支撑晶片,并因此是短的且没有被设计成为消耗部件提供接触支撑,因为消耗部件的直径通常比晶片的直径大。为了适应这种差异,在一实施方式中,ATM 102中的机械手103的端部执行器机构的指状物被延伸到足以使得指状物能为消耗部件208提供接触支撑的长度。虽然具有延伸的指状物的端部执行器机构已被描述为在ATM 102的机械手103内实现,但应注意的是,本文所描述的端部执行器机构也可以在VTM 104的机械手105内实现。
在一替代实施方式中,ATM 102内的机械手的端部执行器机构的短的指状物被用于支撑环载体。环载体的表面被用于支撑消耗部件。环载体可以是载体板的形式,该载体板被存储在更换站108的壳体内,并且当消耗部件需要被运送到装载锁室110时被取出。在本实施方式中,端部执行器的指状物不必被重新设计,因为端部执行器的现有的指状物可用于支撑载体板。在ATM 102和/或VTM 104内使用的端部执行器机构的细节将参照图6A-6C进行详细说明。在支撑和运送消耗部件中使用的载体板将参考图8A-8B、9A-9B进行说明。
更换在集成于群集工具组件100内的处理模块112中的消耗部件需要访问处理模块112和处理模块112中的消耗部件208。对处理模块112的访问已经参照图1-3进行了讨论,其中更换站108被直接安装到处理模块(112-120)、或真空传送模块104或大气传送模块102上,通过它们提供对处理模块(112-118,120)的访问。一旦处理模块(112-120)被访问时,需要提供对消耗部件的访问,以使消耗部件可以安全地取回和更换而不损坏消耗部件,也不损坏处理模块(112-120)中的其它的硬件组件。
图4示出了升降机构的一个示例性实施方式,该升降机构可以在群集工具组件100的处理模块(112-120)中使用以提供对需要被更换的消耗部件208的访问。在一些实现方式中,消耗部件208设置在底部边缘环236上方,并邻近于盖环232。底部边缘环236被布置在基座环240上方,并且在一些实现方式中,紧接套筒环238。绝缘体环234可以设置在升降机构和室的侧壁之间。升降机构被构造成移动消耗部件208到升高位置,使得消耗部件208可被访问。在一些实现方式中,消耗部件208是设置到在处理过程中在处理模块中接收到的半导体晶片150的相邻处的边缘环。升降机构包括多个连接到多个致动器204的升降销202。例如,升降销可沿平面分布,以允许升降销在不同的点与消耗部件接触并移动消耗部件。在一些实现方式中,分布在一个平面上的升降销可以被分组为不同的组,其中每组升降销独立地操作以访问和升降不同的消耗部件。在一些实现方式中,致动器204是配备多个升降销202的真空密封的致动器。
致动器204由致动器驱动器206驱动。在脱离模式下,升降销202在升降机构限定的壳体内保持缩回并且不与消耗部件208接触。当消耗部件208需要被更换时,致动器204通过致动器驱动器206驱动。驱动的致动器204使升降销202延伸到壳体外,以便与所述消耗部件208接触并移动消耗部件208到升高位置。由于处理模块(例如,118)被保持在真空状态下,当消耗部件被升高时,消耗部件升高到真空空间210。VTM 104或更换站108的机械手将端部执行器延伸到处理模块118内,并允许它在升高的消耗部件208下方滑动。在一些实施方式中,连接到机械手的端部执行器的形状类似抹刀状以使端部执行器能支撑升高的消耗部件。一旦端部执行器已滑动就位时,致动器204使升降销202缩回进入壳体内,使消耗部件208搁置在端部执行器上。然后,操控机械手以将端部执行器拉回VTM 104或更换站108内,具体取决于哪个机械手用于取回消耗部件208,从而将消耗部件208与它一起带回。当新的消耗部件208需要被放置在处理模块(例如,118)中时,会进行相反的顺序。处理模块(例如,118)的升降机构用于在处理模块(118)的适当的位置适当地安装消耗部件,使得处理模块(118)和群集工具组件100是可操作的。
在一些实现方式中,连接到升降机构的致动器驱动器206的功率源,除了提供功率到致动器以操作升降销从而升高消耗部件208以外,还可通过升降销将功率供应给消耗部件。在这样的实现方式中,致动器204和升降销202可以由导电材料制成,以便将功率提供给消耗部件208。在一些实现方式中,升降销的与消耗部件相接触的表面区域可充当电触头,并且被用来从功率源提供功率至消耗部件。在一些实现方式中,功率源是射频(RF)功率源,以便使得升降销202能供应RF功率至消耗部件208。在于2015年7月13日提交的名称为“Extreme Edge Sheath and Wafer Profile Tuning Through Edge-Localized IonTrajectory Control and Plasma Operation”的共同拥有和共同待审的美国临时专利申请No.62/191,817中描述了使用RF功率源给消耗部件供应功率的额外细节,该专利申请的全部内容通过引用并入本文。在一些实现方式中,升降销202可被切换。转换器可被用来控制供应给消耗部件208的功率量。在一些实现方式中,转换器可被用于供应不同的功率至消耗部件208。在一些实现方式中,供给到消耗部件208的功率可以用于加热消耗部件208。例如,当消耗部件208是边缘环时,由功率源供应的功率可以用于提供温度受控的边缘环。在一些实现方式中,可通过其他方式,例如通过电容耦合,提供功率给消耗部件208。在2015年8月18日提交的名称为“Edge Ring Assembly for Improving Feature Profile Tiltingat Extreme Edge of Wafer”的共同拥有和共同待审的美国临时专利申请No.62/206753中描述了使用替代方式(例如电容耦合)对消耗部件208(如边缘环)提供功率的附加细节,该专利申请通过引用并入本文。应当指出的是,在此讨论的用于对消耗部件208提供功率的不同方式仅仅是示例性的,也可使用对边缘环提供功率的其它形式。在一些实现方式中,消耗部件208(多部件的消耗部件的单个部件或不同部件)可以使用一个或多个磁体对准并安装到处理模块(例如,118)中的合适位置。例如,在处理模块(例如,118)中设置的升降机构可以包括上面支撑有消耗部件208的表面。一个或多个磁体可设置在升降机构的表面的下侧,在该表面上被支撑有消耗部件208。设置在升降机构中的磁体可以用于将消耗部件对准在处理模块(例如,118)内的合适位置。
在一些实现方式中,升降机构可以被连接到空气压缩机或其他压缩压力源,以允许气动操作所述升降机构。在一些实现方式中,升降机构可以被用于提供静电夹持以将消耗部件208夹持在处理模块(例如,118)内的合适的位置。在这些实现方式中,升降机构可以被连接到直流(DC)功率源,以允许升降销202能提供DC功率以夹持消耗部件208在处理模块(例如,118)内的合适位置。
在一个实施方式中,图5A示出了标识用于更换处理模块118内的消耗部件的各种部件的示例性群集工具组件。处理模块118可以是蚀刻器模块,该蚀刻器模块能够被用于产生用于执行导电蚀刻的变压器耦合等离子体(TCP)或产生用于进行电介质蚀刻的电容耦合等离子体(CCP),或用于执行等离子体增强化学气相沉积(PECVD)或原子层沉积(ALD),或任何其它类型的半导体晶片上的蚀刻。替代地,处理模块118可以用于执行任何其它处理操作(例如,沉积、镀敷等),以在半导体晶片上定义不同的特征。
更换站108可以包括部件缓冲区224。在一个实施方式中,部件缓冲区224包括多个被配置成接收从处理模块取回的使用过的消耗部件208和需要被输送到处理模块的新的消耗部件208的隔室207。替代地,可以使用分离的部件缓冲区224以分开存储使用过的消耗部件208和新的消耗部件208。更换站108内的更换操作器214可被用于将新的消耗部件208从部件缓冲区224的隔室207移动到处理模块118,并从处理模块118取回使用过的消耗部件208并存储在部件缓冲区224的隔室207中。更换操作器214包括机械手215,所述机械手215被配置为横向、竖直和/或径向地移动,以使得更换操作器214的端部执行器213能访问部件缓冲区224和处理模块118中的消耗部件208。端部执行器可以被配置为访问、取回和输送消耗部件208到部件缓冲区224或处理模块。在一些实现方式中,端部执行器可以是被设计成在任何平面上取回、拾取、升降、支撑、保持、移动或旋转消耗部件的特殊的端部执行器。更换操作器214的端部执行器可以被操控以在操作过程中延伸和收缩,以使消耗部件可以从处理模块被取回,并存储在部件缓冲区224。在一些实现方式中,端部执行器可被配置为在径向、横向和/或竖直方向上移动以在取回操作过程中提供较大的灵活性。更换操作器214连接到控制器220以控制更换操作器214的机械手215和端部执行器213的移动。
更换站108还可以包括被连接到泵233以便操控在更换站108中的处理条件的真空控制模块231。在一些实现方式中,更换站108被连接到控制器220,以在消耗部件的更换过程中通过真空控制模块231能协调泵233的操作或动作。
在更换站108和处理模块118之间设置第一隔离阀216,以允许更换站108被安装到处理模块118。在一些实现方式中,第一隔离阀216可以是闸阀。处理模块118包括第一侧和第二侧,处理模块118的第一侧耦合到真空传送模块(VTM)104,而处理模块118的第二侧耦合到第一隔离阀216的第一侧。第一隔离阀216的第二侧被耦合到更换站108。例如,所述耦合操控分别在更换站108和处理模块118中定义的门217、219,以使得在更换站108中的机械手215能访问处理模块118。第二隔离阀216'的第一侧耦合到VTM 104,而第二隔离阀216'的第二侧耦合到处理模块118的第一侧。所述耦合允许操控分别覆盖在处理模块118和VTM104中定义的对应的开口的门227、229,以使得VTM 104中的机械手在处理过程中能访问处理模块118并移动半导体晶片进出处理模块118。第一隔离阀216和第二隔离阀216'被连接到控制器220,以协调处理模块118与VTM 104和更换站108的耦合。
处理模块118包括可以用于提供处理化学物到在处理模块118中限定的处理区域的上电极218。例如,上电极218可连接到功率源(未示出)以提供功率至处理区域中的处理化学物,以便产生等离子体。在一些实施方式中,功率源可以是通过匹配网络(未示出)连接到上电极218的RF功率源。替代地,上电极可以电接地。
处理模块118还包括下电极230。在一些实现方式中,下电极230被配置成接收用于处理的半导体晶片150。在一些实现方式中,下电极230是静电卡盘。下电极230可耦合至功率源(未示出)以在处理过程提供功率到下电极230。替代地,下电极230可以电接地。
处理模块118包括升降机构221以使消耗部件208能被移动到升高位置。升降机构221是类似于参照图4讨论的升降机构,并包括多个升降销202和致动器204以将消耗部件提升到升高位置,致动器驱动器206连接到致动器204,以提供功率来驱动致动器204。致动器驱动器206可以耦合到控制器220以在消耗部件的更换过程中控制升降机构221的操作。
控制器220包括真空状态控制装置223和传送逻辑器225,以促进连接到控制器220的各种部件的协调操作。在一个实现方式中,当在处理模块118中消耗部件要被更换时,使更换站108与第一隔离阀216接触。响应于检测到更换站108在第一隔离阀216处,从第一隔离阀216发送信号到控制器220。然后,控制器220协调更换站108与处理模块118的耦合,并保持在更换站108的真空。例如,响应于从第一隔离阀216接收的检测信号,控制器220的真空状态控制装置223可发送信号至真空控制模块231,以开始更换站108与处理模块118的耦合过程。响应于从真空状态控制装置223接收的信号,真空控制模块231可以启动泵233,以允许泵233能使更换站处于真空状态。一旦更换站108已达到真空状态时,从真空控制模块231发送信号到真空状态控制装置223。然后真空状态控制装置223发送信号到第一隔离阀216以将更换站与处理模块118耦合。作为响应,第一隔离阀216确保第一隔离阀216的在更换站108和处理模块118之间的任何中间区域被维持在真空状态下。一旦确保时,第一隔离阀216执行处理模块118与第一隔离阀216的第一侧的耦合和更换站108与第一隔离阀216的第二侧的耦合。可以进行进一步的测试,以确保在操控所述门217、219以提供对处理模块118的访问之前,第一隔离阀216的中间区域和更换站108是在真空下。
作为耦合操作的一部分,真空状态控制装置223可以协调第二隔离阀216’的操作,以便保持门227、229覆盖在处理模块118和与处理模块118集成的、关闭并密封处理模块118的VTM 104中限定的对应的开口。在耦合期间,处理模块118中的升降机构221被保持在脱离模式,升降销202缩回到升降机构221的壳体内,消耗部件208搁置在其安装位置上。例如,消耗部件208是边缘环。当半导体晶片150存在于处理模块118中时,在边缘环的安装位置,边缘环被定位成邻近并大致围绕半导体晶片150。
一旦耦合的过程完成时,从第一隔离阀216发送信号至控制器220,并且在一些实施方式中,从第二隔离阀216'发送信号至控制器220。作为响应,控制器220激活传送逻辑器225。传送逻辑器225被配置成协调机械手215、更换站108中的更换操作器214的端部执行器213和处理模块118中的升降机构221的致动器驱动器206的移动,以允许端部执行器213能从处理模块118取回消耗部件并移动到在更换站108中限定的部件缓冲区224中的隔室207,并将该消耗部件的更换件从部件缓冲区224隔室207移回到处理模块118用以安装。升降机构221被操控以将更换的消耗部件安装到该处理模块118中的适当位置。
在一个实施方式中,图5B示出了随后的工艺以从处理模块118取回消耗部件208。通常在半导体晶片被接收到处理模块118中以进行处理之前更换消耗部件208。根据本实施方式,一旦更换站108通过第一隔离阀216耦合到处理模块118并且第二隔离阀216'密封通往VTM 104的门227、229,控制器220的传送逻辑器225用于将信号发送到更换操作器214和致动器驱动器206以从处理模块取回消耗部件并用新的消耗部件进行更换。传送逻辑器225发送信号以操控机械手215和端部执行器213,以允许端部执行器213能延伸进入处理模块118以取回消耗部件。在同一时间,传送逻辑器225操控致动器驱动器206以使致动器204移动升降销202离开限定在升降机构221中的壳体,从而将消耗部件208从安装位置移动到升高位置,如图5B所示。端部执行器213在升高的消耗部件下方滑动从而基本上支撑它。然后致动器驱动器206被操控,以使致动器204能将升降销202缩回到升降机构221中的壳体,以允许升高的消耗部件208能放置在更换操作器214的端部执行器213上。然后更换操作器214的端部执行器213被操控以使消耗部件208与它一起缩回到更换站108内。然后端部执行器213被操控以移动取回的消耗部件208到部件缓冲区224的隔室207。
新的消耗部件208以类似的方式从部件缓冲区224的不同隔室207移动到处理模块118。当新的消耗部件208被移动到处理模块118中时,操控致动器驱动器206以导致致动器204使升降销202延伸离开壳体,以便接收新的消耗部件208。致动器204允许升降销202被降低,使得消耗部件208固定在处理模块118中的安装位置。在消耗部件的更换过程中,真空状态控制装置223连续地与真空控制模块231交互,以确保泵233持续保持更换站处于真空状态,以便匹配处理模块118中保持的真空状态。
一旦消耗部件208被更换,控制器220用于协调更换站108从处理模块118撤回。根据这一点,控制器220将信号发送到第一隔离阀216以关闭在处理模块118和更换站108之间的门217、219,并将信号发送到第二隔离阀216'以开启门227、229,以便允许VTM 104能访问处理模块118。
在一些实现方式中,处理模块118可在处理模块返回到激活操作之前进行调节。由于消耗部件的更换是在真空中进行的,并且仅处理模块118需要被调节,因此该调节操作可花费较少的时间。然后可从真空状态控制装置223向真空控制模块231发送信号,以允许泵233清扫更换站108。然后可从处理模块118卸除更换站108。
图5C示出了之后的更换在图2中所示的群集工具组件的一个实施方式中的消耗部件的工艺,其中,更换站108被安装到VTM 104而不是处理模块118。在本实施方式中,更换站108通过第一隔离阀216安装到真空传送模块(VTM)104,使得第一隔离阀216的第一侧耦合到VTM 104的第一侧。更换站108被耦合到第一隔离阀216的第二侧。第二隔离阀216'被布置成使得第二隔离阀216'的第一侧耦合到处理模块118而第二隔离阀216'的第二侧被耦合到VTM 104的第二侧。第一隔离阀216被配置成操控分别覆盖在更换站108和VTM 104中定义的相应的开口的门237、239,而第二隔离阀216'被配置成操控分别覆盖在VTM 104和处理模块118中定义的对应的开口的门227、229,以便允许在VTM 104中的机械手能在处理模块118和在更换站108中的部件缓冲区224的隔室207之间访问、取回和移动消耗部件。在图5C中示出的更换站108没有包含具有机械手215和端部执行器213的专用更换操作器214。机械手235操作地耦合到控制器220,以便允许控制器能协调机械手235的操作。而且,更换站、第一隔离阀216、VTM 104、第二隔离阀216'和处理模块118被耦合到控制器220,以便在处理模块118、VTM 104和更换站108被保持在真空下时,在消耗部件的更换过程中使在更换站和处理模块之间的访问同步。
将更换站108安装到VTM 104的过程类似于参照图5A讨论的实施方式,不同的是更换站108被安装到VTM 104而不是处理模块118。更换消耗部件208的过程类似于参考图5A讨论的实施方式,不同的是控制器220协调VTM 104的机械手235,而不是协调图5A中所讨论的更换站108的端部执行器213、机械手215。
在一个替代的实施方式中,更换站108可包括具有机械手215和端部执行器213的更换操作器214(未示出),其中,更换操作器214操作地连接到控制器220。控制器220用于控制在消耗部件的更换过程中机械手215、端部执行器213和机械手235的协调。在本实施方式中,机械手215和端部执行器213可被用于在部件缓冲区224和VTM 104之间取回和移动消耗部件,而VTM 104的机械手235可以用于在VTM 104和处理模块118之间移动消耗部件。
应当指出的是,在图5C所示的实施方式中,在消耗部件的更换过程中,第二隔离阀216'不用于将处理模块118与群集工具组件100的其余部分隔离。这是由于在本实施方式中对处理模块的访问是通过VTM 104提供的这一事实。其结果是,在半导体晶片的处理过程中,第二隔离阀216'被配置为当消耗部件需要更换时提供访问,同时使处理模块118能选择性隔离。在本实施方式中,由于在消耗部件的更换过程中更换站、VTM 104和处理模块118都保持在真空状态下,因而在更换消耗部件后,群集工具组件100的调节将需要较短的时间。
图6A示出了一种端部执行器机构70,其用于ATM 102的机械手103中以在晶片装载器115和装载锁室110之间传送晶片。如图6A中所示,端部执行器机构70包括腕状板701,腕状板701可在一侧连接到机械手,如在ATM 102中的机械手103,并在另一侧连接到安装臂架。在一些实施方式中,安装臂架是由单块不锈钢材料板制成的。指状物组件705安装到安装臂架的第二侧。在一些实施方式中,指状物组件705使用弹簧加载安装方式安装到安装臂架上以提供一种水平调整指状物组件705的方式。指状物组件705包括成对的指状物707,指状物707延伸足以支撑晶片但不足以支撑消耗部件208的长度,如图6A所示。在一些实施方式中,端部执行器机构70的腕状板701和指状物组件705是由铝材料制成的。在一些实施方式中,指状物组件705的长度L1-a为约280毫米,而指状物707的长度L1-b为约103毫米。
指状物组件705的指状物707可延伸以容纳消耗部件208。但是,如果指状物组件705的指状物707延伸,则在图6A的端部执行器机构70中使用的不锈钢材料会由于因额外的材料导致的有效载荷的增加而导致指状物707从晶片传送平面偏转。偏转的量将使该端部执行器机构70在狭小的空间(如在群集工具组件内的空间)内使用不太理想,在狭小的空间内,传送平面的精度是需要的。所以,代替重新设计指状物组件705以延长指状物707,保留指状物组件705的原始设计。指状物组件705的原始设计用于通过使指状物组件705能支撑环载体以运送消耗部件。环载体进而用于支撑消耗部件208。环载体的细节将参照图8A-8B来描述。
图6B、图6B-1和图6C图解了在一些实施方式中,在群集工具组件100内的机械手中使用的示例性端部执行器机构700。图6B示出了用于接收和运送消耗部件的示例性端部执行器机构700的顶视图,图6B-1示出了其侧视图,而图6C示出了其侧向倾斜图。在图6B、图6B-1和图6C中所示的端部执行器机构700被重新设计,以使得端部执行器机构700除了运送晶片外,还能够运送消耗部件。应当指出的是,在图6B、图6B-1和图6C中所示的端部执行器机构700可以在VTM 104内的机械手105和/或在ATM102内的机械手103中实现。重新设计的端部执行器机构700包括可在一端安装到机械手并在相对端安装到安装臂架704的腕状板702。安装臂架704是由顶板704a和底板704b限定的,如图6B-1所示。在一些实施方式中,安装臂架704的顶板704a是由不锈钢材料制成的,而安装臂架704的底板704b是由铝制成的。只要安装臂架的功能被维持,在用于顶板和底板的材料中的变化就可以实施。在一些实施方式中,弹簧加载安装可以在顶板704a和腕状板702之间实现。
具有成对的指状物706的指状物组件710被夹持在安装臂架704的顶板704a与底板704b之间,成对的指状物706从安装臂架704向外延伸。在一些实施方式中,端部执行器机构700的指状物组件710由陶瓷材料制成。陶瓷材料为指状物706和指状物组件710提供刚度,从而减少从环传送平面的偏转,特别是在支撑晶片和/或消耗部件时。另外,陶瓷是重量较轻的,由此导致在指状物组件710上的有效载荷的减小。夹持提供指状物组件710的稳定安装,同时确保它不会造成在陶瓷指状物组件710内的任何应力破裂。另外,重量轻的陶瓷材料使得指状物组件710能基本平行对准环传送平面,使得消耗部件可顺利地传送进出装载锁室110。第一成对的消耗品接触垫708a被限定在指状物组件710的近端,而第二成对的消耗品接触垫708b被限定在指状物组件710的远端,邻近指状物组件710的指状物706的前端。消耗品接触垫708a和708b之间的长度L3-a被限定为约301毫米。同样地,第三成对的衬底接触垫708c被布置为靠近第一成对的消耗品接触垫708a,而第四成对的衬底接触垫708d被布置为靠近第二成对的消耗品接触垫708b。第三成对的和第四成对的衬底接触垫被设置为在第一成对的和第二成对的消耗品接触垫内。衬底接触垫708c和708d之间的长度L3-b被限定为约133毫米。
现在参考图6B,指状物组件710具有被限定为邻近安装臂架704的近端710a和限定在成对的指状物706的前端的远端。在一些实施方式中,长度L2-a被限定为当接收到消耗部件208时至少覆盖消耗部件208的直径以使消耗部件能被支撑。例如,指状物组件710的长度L2-a被定义为至少约360毫米,并且成对的指状物706的长度L2-b被定义为是至少182毫米。指状物组件710用于接收和运送消耗部件208和晶片两者。例如,指状物组件710被构造成运送300mm晶片以及围绕300mm晶片的消耗部件,如边缘环。在其他实施方式中,指状物组件710被构造成运送200mm晶片,或450mm晶片,或任何其他尺寸的晶片,以及围绕相应尺寸的晶片的消耗部件,如边缘环。在这些实施方式中,指状物组件710被设定尺寸,以支撑适当尺寸的晶片和消耗部件。
为了帮助消耗部件208和晶片的运送,在指状物组件710的顶表面上在不同的距离提供了多个接触垫,以在接收到消耗部件和晶片时支撑消耗部件和晶片。在一些实施方式中,提供了分开的成组的接触垫,以使得消耗部件和晶片在指状物组件710上具有不同的接触表面。这样的安排对于在使用相同的指状物组件710以传送消耗部件时降低晶片的污染可能是合乎期望的。第一成对的消耗品接触垫708a可以被布置在指状物组件710的顶表面上,并位于或靠近紧靠安装臂架704的近端710a。第二成对的消耗品接触垫708b被布置在指状物组件710的顶表面上,并位于或靠近紧靠成对的指状物706的前端706a的远端710b。第一成对的消耗品接触垫708a和第二成对的消耗品接触垫708b被用于传送消耗部件。同样地,第三成对的衬底接触垫708c被设置在邻近第一成对的消耗品接触垫的指状物组件710的顶表面上以及在第一成对的消耗品接触垫708a和第二成对的消耗品接触垫708b之间。第四成对的衬底接触垫708d被设置在邻近第二成对的消耗品接触垫的指状物组件710的顶表面上以及在第一成对的消耗品接触垫708a和第二成对的消耗品接触垫708b之间。第三成对的衬底接触垫708c和第四成对的衬底接触垫708d用于运送晶片。消耗品接触垫708a和消耗品接触垫708b布置在晶片的直径的外部,而衬底接触垫708c和708d被设置在晶片的直径的内部。该设计使得在指状物组件710上的晶片的接收表面和消耗部件208的接收表面能分离,尽量减少对晶片的污染。
在一些实施方式中,接触垫由非打滑的材料制成,例如由弹性体材料制成。消耗品接触垫708a、708b在指状物706的顶表面上的位置使得,当机械手的端部执行器机构700举起消耗部件208时,接触垫提供对消耗部件208的底表面的可靠的接触支撑。在一些实施方式中,一组以上的消耗品接触垫708a、708b可以设置在指状物组件710的近端710a和远端710b,使得它们能够提供可靠的接触支撑。在一些实施方式中,另外的消耗品接触垫708可被布置成给具有可能需要一个以上的接触点的不同的底表面轮廓的消耗部件208的底表面提供接触支撑。
图7A-7D示出了在端部执行器机构700中使用的指状物组件710的特定实施方式,端部执行器机构700用于支撑具有不同底表面轮廓的消耗部件208。在一些实施方式中,例如当晶片被接收在处理模块112内时,消耗部件208可以是邻近晶片设置的边缘环。边缘环在底表面会具有不同的横截面轮廓,并且消耗品接触垫708被布置在指状物组件710的顶表面上以适应消耗部件208的不同表面轮廓。
图7A示出了一种实施方式,其中所述消耗部件208的底表面边缘的横截面基本上是矩形。在本实施方式中,当消耗部件208被正确地对准并被接收在端部执行器机构700的指状物组件710上时,布置在指状物组件710的顶表面处的消耗品接触垫708提供足够的接触面。在某些实现方式中,消耗品接触垫708a、708b分隔开至少等于消耗部件的直径的距离。在图7A中所示的实施方式中,该消耗品接触垫708被设置成使得它在中间跨度接触消耗部件208的底表面。
图7B示出了在一实施方式中,消耗部件208的底表面的一种替代的横截面轮廓。消耗部件208包括在消耗部件208的外径处的底部表面上的切口。设置在指状物组件710的顶表面的消耗品接触垫708的位置确保消耗品接触垫持续接触支撑消耗部件208,并且只要指状物706上的消耗部件208的对准是在预先定义的位置误差限度内,就不会脱离消耗部件208的底表面。
图7C-1示出了在一实施方式中,消耗部件208的底表面的第二替代的横截面轮廓。消耗部件208包括在底表面中部的切口。如果设置在图7A和7B示出的距离处的消耗品接触垫708将被使用,则该接触垫708将不会提供与消耗部件208的底表面的充分或可靠的接触,如在图7C-1中所示。这将导致消耗部件被容纳在指状物706的顶表面上,而不是消耗品接触垫708的表面上。当成对的指状物706是由精加工的陶瓷材料制成时,接收在成对的指状物706上的消耗部件208在其运送过程中可能错位(一种极不理想的结果),这有高度的可能性。为了避免发生这样的错位,可以以不同的距离在指状物组件710上设置额外的消耗品接触垫,以便确保当将具有切口的消耗部件208接收在指状物组件710时,消耗部件208的底表面与设置在成对的指状物706上的一个或多个消耗品接触垫708充分接触。消耗品接触垫被限定在指状物组件710上,从而支撑跨越预期范围的位置误差的预期横截面的消耗部件208,同时还处于预期的晶片偏移范围之外,使得晶片在被接收时绝不会接触消耗品接触垫。图7C-2示出了一个这样的示例,其中,成组的两个消耗品接触垫708以不同的距离设置在指状物组件710上,以便在消耗部件208的底部提供可靠的接触支撑。两个消耗品接触垫708之间的距离可根据切口的宽度被配置。
图7D示出了在一实施方式中,消耗部件208的底表面的第三替代的横截面轮廓。在本实施方式中,该消耗部件208包括较小内径的凸缘,以便使得当晶片被接收在处理模块内时,晶片能够被接收在消耗部件的凸缘上面。在此实施方式中,消耗部件208的底表面相比于在图7A-7C示出的那些包括更大的表面区域。其结果是,设置在指状物组件710上的消耗品接触垫708在运送过程中将对消耗部件208提供充分的接触支撑。一些实施方式相比于在图7A-7D中所示出的,可使用不同类型的接触垫构思。
图7E示出了在VTM 104内的机械手105中所描绘的端部执行器机构700,并且图7F-1、7F-2显示了在端部执行器机构700内使用的替代的接触垫构思的细节,以使得能接收不同底部轮廓的消耗部件。在图7E中所示的端部执行器机构700的各个部件类似于参照图6A中所描绘的端部执行器机构700所讨论的部件。
图7F-1和7F-2示出了在一些实施方式中,在用于接收消耗部件的端部执行器机构700中使用的替代的接触垫构思。图7F-2示出了在指状物组件710内的指状物706的部分A-1的放大视图,其中替代的接触垫构思被定义。在这样的实施方式中,环形接触结构709可以用来代替消耗品接触垫708。在一些实施方式中,环形接触结构709可以是由弹性材料制成的O形环的形式。使用O形环或环形接触结构709能够实现环形线接触,该环形线接触跨越更大范围的消耗部件的半径。它还解决了参考图7A-7D描述的消耗部件底部轮廓变化的问题,并对在端部执行器机构700的位置误差提供更大的容限。在一些实施方式中,消耗品接触垫或环形接触结构和晶片接触垫被限定为端部执行器机构700的永久部件。在这样的实施方式中,所述接触垫(消耗性品接触垫、晶片接触垫)和/或接触结构可以由陶瓷材料或适合于在ATM 102或VTM 104中所限定的环境的任何其他的金属制成。在其他实施方式中,消耗性品接触垫、晶片接触垫或接触结构可以由可更换的消耗材料,如弹性体或其它类似类型的材料制成。
返回参照图6B-6C,在图6B-6C中所示的端部执行器机构700可以由真空传送模块104内的机械手105使用,并且还在一些实施方式中由ATM 102内的机械手103使用。在替代的实施方式中,图6B-6C的端部执行器机构700可以由VTM 104的机械手105使用,同时可以在ATM 102的机械手103内实现在图6A中示出的端部执行器机构70或重新设计的端部执行器机构70或700的配置。
图8A-8B示出了在本发明的一些实施方式中,在ATM 102和VTM 104内的机械手中使用的不同端部执行器机构的顶视图。如前所述,在ATM 102中的机械手103可使用具有短指状物和载体板的现有端部执行器机构70,或者具有延伸的指状物以移动晶片以及消耗部件的经修改的端部执行器机构700。图8A示出了集成在ATM 102的机械手103中的现有的端部执行器机构70的顶视图,机械手103通常用于输送晶片到装载锁室110。现有的端部执行器机构70也可用于输送消耗部件到装载锁室110。如在图8A中可以看出的,在端部执行器机构70中所限定的成对的指状物707被设计成提供对晶片(未示出)的接触支撑,并且没有被设计成提供对消耗部件208的接触支撑,消耗部件208比晶片具有较大的半径。为了使端部执行器机构70能用于支撑和传送消耗部件,使用了环载体固定装置。
图8B示出了一示例性实施方式,其中环载体固定装置与在ATM 102的机械手103中的端部执行器机构70一起使用,以在更换站108和装载锁室110之间运送消耗部件208。环载体固定装置可以是载体板1104的形式。在一些实施方式中,载体板1104由碳纤维材料制成。碳纤维是重量轻的,并且因为它使在指状物组件705上的有效载荷的增加最小化,因而使用是理想的。碳纤维还提供了足够的刚度,以使偏转最小化。
在一些实施方式中,载体板1104被配置成是三角形的,并被设定尺寸以使得顶点(1104a、1104b、1104c)离载体板1104的中心1104m的距离至少等于消耗部件208的半径。载体板的三角形形状设计具有许多优点。例如,载体板的三角形形状使得其上接收有消耗部件208的载体板1104能容易地移入和移出装载锁室110,而不干扰限定在装载锁室110内的任何部件。这是可能的,因为顶点1104a-1104c使得载体板1104能容易地在例如限定在装载锁室110内的指状物组件902之间移动,同时保持足够的间隙。另外,因为顶点1104a-1104c距离限定在三角形载体板1104的中心的重心1104m等距分布,所以消耗部件208可适当地被支撑在顶点,而不会对任何一侧产生不适当的应力。
在一个实施方式中,载体板1104被存储在更换站108内并使用端部执行器机构70通过ATM 102的机械手103取回。在一些实施方式中,设置在ATM 102的机械手103内的端部执行器机构70可以被配置在拾取载体板1104时,提供足够的吸力,使得载体板1104可以被可靠地接收并承载在端部执行器机构70上。在一些实施方式中,不同的载体板1104可以被用来分别运送使用过的消耗部件和新的消耗部件。例如,第一载体板可以用来运送使用过的消耗部件,而第二载体板可被用于运送新的消耗部件。
使用具有载体板1104形式的环载体的端部执行器机构70具有其优点。例如,可以使用现有的端部执行器机构70,而没有必要重新设计现有的端部执行器机构70。重新设计端部执行器机构70以支撑环可能需要形状变化,该形状变化可能干扰晶片支撑件或者在不同的晶片切换位置的其他硬件。这样的游隙问题可以通过改变在ATM内的机械手的机械手臂轨迹来解决,但轨迹变化可能会增加晶片的传输时间,从而减少系统的吞吐量。环载体的另一种使用使得当前的轨迹能用于晶片的传送,而没有晶片吞吐量的任何变化。必要时,特定的环传送轨迹可以被定义为,使得晶片传送轨迹不变。此外,其对现有的固件具有较小的影响。在一些实施方式中,环载体(为载体板1104形式)可能增加会有助于从环传送平面偏转的有效载荷。然而,通过使用用于环载体的合适的重量轻的材料,例如碳纤维,有效装载的任何增加和所得的偏转会显著最小化。
图9A和9B示出了在一些实施方式中,与环载体1104一起使用的示例性端部执行器机构70的侧面图和顶视图,环载体1104用于将消耗部件208传送到装载锁室110内。端部执行器机构70包括腕状板701,腕状板701在一端可附连到机械手103,而在另一端可附连到安装臂架703。指状物组件705被附连到安装臂架703的第二端。指状物组件705包括从安装臂架703向外延伸成对的指状物707。指状物组件705具有靠近安装臂架703的近端705a。指状物组件705的远端705b限定在成对的指状物707的指尖707a处。第一载体接触垫721a设置在指状物组件705的靠近在指状物707的基部形成的叉723的中心的顶表面。第二成对的载体接触垫721b布置在指状物组件705的顶表面上,并靠近指状物组件705的远端(705b)定位。载体板1104通过使用第一载体接触垫721a和第二成对的载体接触垫721b被支撑在指状物组件705上。指状物组件705也被构造成输送衬底或晶片。应当指出的是,在一些实施方式中,具有环载体(为载体板1104形式)的现有的端部执行器机构70可仅与ATM102的机械手一起使用,因为在更换站108存储和从更换站108取回载体板1104是方便的,并且没有必要重新设计用于存储载体板1104的任何其它模块。载体板1104包括设置在离载体板1104的中心一定距离的每个顶点1104a-1104c处的消耗品接触垫708,消耗品接触垫708在衬底的半径外侧。在一些实施方式中,消耗品接触垫708与载体板1104的中心的距离至少是消耗部件208的半径长度,以便当消耗部件被接收到载体板1104的顶点时为消耗部件提供防滑的接触表面。如参照图7A-7D中所示的实施方式所讨论的,消耗品接触垫708可以设置在离载体板1104的顶点不同的距离处。在一些实施方式中,每一顶点可包括与顶点1104a-1104c中的每个等距离设置的成对的消耗品接触垫708。在替代的实施方式中,多个消耗品接触垫708可限定在离顶点1104a-1104c中的每一个不同的距离处,以便使得接触垫能够为具有不同底表面轮廓的消耗部件208提供可靠的接触面。
除了包括在ATM 102和/或VTM 104的机械手内的经修改的端部执行器机构700外,另外的修改可以提供在装载锁室110内,以使得在更换操作期间消耗部件能被接收在其内。
图10A-10F提供了在一些实施方式中,接合在装载锁室110内以接收和支撑消耗部件的支撑机构的各种视图和细节。图10A示出了在一种实施方式中,简化的装载锁室110的俯视图,装载锁室110连接在群集工具组件100内的ATM 102和VTM 104之间,群集工具组件100中消耗部件208需要被更换。装载锁室110包括用于接收消耗部件208的支撑机构901。支撑机构901包括提供接触表面的多个指状物组件902,消耗部件被接收在该接触表面上。指状物组件902被布置在装载锁室110内的固定位置处。在一些实施方式中,指状物组件902被配置为具有用于接收晶片和消耗部件的相互排斥的接触点,以避免交叉污染,如将在下面的段落中描述的。应当指出的是,接触点可以是在不同的高度或径向距离处,以实现在预期的晶片或消耗部件的位置误差内的排他的分离。
图10B示出了被设计用于接收晶片的装载锁室110内的现有的指状物组件903。每个指状物组件903包括顶部支撑指状物903a和底部支撑指状物903b。如图所示,顶部支撑指状物903a和底部支撑指状物903b两者的顶表面被设计成包括被向下倾斜的部分911。接触垫912被限定为靠近顶部支撑指状物903a和底部支撑指状物903b的前端,以便在接收到晶片时为晶片提供防滑接触表面。间隔块被限定为支撑顶部支撑指状物903a和底部支撑指状物903b。间隔块的厚度被设计成提供用于接收晶片的足够的游隙。然而,通过图10B的间隔块提供的游隙不足以用于接收消耗部件,消耗部件比晶片具有较大的半径。为了容纳消耗部件,在该装载锁室内的指状物组件被重新设计,以避免在接收消耗部件时干扰装载锁室内的部件。
图10C示出了在本发明的一实施方式中,支撑机构901的示例性的重新设计的指状物组件902,支撑机构901用于装载锁室110内以使消耗部件能被接收在其上。支撑机构901包括多个指状物组件902。在图10A中所示的一实施方式中,所述支撑机构包括沿圆的外周彼此等距离分布的三个指状物组件。所述多个指状物组件902中的每一个包括顶部支撑指状物902a和底部支撑指状物902b。在一实施方式中,底部支撑指状物902b的顶表面被设计为包括邻近底部支撑指状物902b的第二端的凹部908,而间隔块被布置在第一端在顶部支撑指状物902a和底部支撑指状物902b之间。为了充分容纳消耗部件,间隔块905被重新设计,以提供足够的游隙,从而使得消耗部件208能完全接收在指状物组件902上。在图10C中所示的实施方式中,间隔块905被示出被移动远离装载锁室110的中心,靠近装载锁室110的侧壁,以便不干扰消耗部件的传送路径。第二间隔块905”可以被布置在第一端在底部支撑指状物902b的下方,并且与重新设计的间隔块905有相同的大小、比重新设计的间隔块905厚或薄。在一些实施方式中,没有提供间隔块905,指状物组件可以包括用于支撑顶部支撑指状物和底部支撑指状物902b同时在这两者之间提供缝隙的指状物支撑件。此外,在图10C所示的一实施方式中,在装载锁室110内的各指状物组件902内的底部支撑指状物902b被重新设计以在至少等于消耗部件的半径的距离处在顶表面上包括凹部908。在一实施方式中,凹部908的尺寸被设计为至少是消耗部件的宽度。一个或多个接触垫被限定在指状物组件902的表面上,以便在接收到晶片以及消耗部件208时为晶片以及消耗部件208提供防滑接触支撑表面。例如,衬底接触垫904设置在指状物组件的接近于顶部支撑指状物902a和底部支撑指状物902b的前端的第二端处且在顶部支撑指状物902a和底部支撑指状物902b的顶表面上。在一些实施方式中,衬底接触垫904被限定离支撑机构的中心等于晶片的半径的距离处,以便在接收到晶片时为晶片提供接触支撑表面。此外,消耗品接触垫906被限定在底部支撑指状物902b的凹部908内以提供用于消耗部件208的接触支撑件。基于所述消耗部件的底表面轮廓,附加的消耗品接触垫906可被限定在凹部908内。
在一些实施方式中,在顶部支撑指状物902a处的衬底接触垫904可被用于接收晶片,而在凹部内的消耗品接触垫可被用于接收消耗部件。在替代的实施方式中,顶部支撑指状物902a可以包括具有用于接收消耗部件208的消耗品接触垫906的凹部908,而在底部支撑指状物902b的表面上的衬底接触垫904可被用于接收晶片。在一替代的实施方式中,凹部908可提供在顶部支撑指状物902a和底部支撑指状物902b两者内。在本实施方式中,顶部支撑指状物902a和底部支撑指状物902b两者都被配置成接收消耗部件208和晶片。应当指出的是,消耗部件208和晶片不会在同一时间被接收在指状物组件上。然而,在一些实现方式中,通过使消耗部件能被接收在底部支撑指状物902b,同时使用顶部支撑指状物902a接收晶片,反之亦然,使得同时接收消耗部件和晶片是可能的。在这样的实施方式中,晶片接触垫和消耗品接触垫的设计位置是使得用于接收消耗部件208的接触表面被保持与用于接收晶片的接触表面分开,以避免交叉污染。应当注意的是,晶片接触垫位置被限定为使得在允许的位置误差的极限处所接收的晶片将不接触消耗品接触垫。同样,在允许的位置误差的极限处所接收的消耗部件将不接触晶片接触垫。接触接收表面的分离将在晶片被接收于指状物组件上时避免晶片的交叉污染。在一些实施方式中,代替使用不同的接触垫,环形接触结构可设置于限定在底部支撑指状物902b和/或顶部支撑指状物902a内的凹部908内。环形接触结构可以由弹性体材料制成,并且可以是O形环。由环形接触结构提供的接触表面跨越较大范围的消耗部件半径。
靠近处理模块内的晶片设置的消耗部件(如边缘环)被暴露于苛刻的加工条件,该晶片在处理模块内暴露该苛刻的加工条件。其结果是,化学处理物质中的一些可能已作为污染物沉积在消耗部件的表面上。当消耗部件将在处理模块中被更换时,从处理模块移除使用过的具有污染物的消耗部件,并将其接收到装载锁室110,以及将新的消耗部件从装载锁室110移动到处理模块。当装载锁室110用来移动消耗部件和晶片进出处理模块时,如果接触支撑表面对于接收消耗部件和晶片两者是公共的,则有高的可能性来自使用过的消耗部件的污染物会污染晶片的表面。为了防止这样的污染发生,一种解决方案是使用分离的装载锁室110分别移动晶片和消耗部件。这种布置可能是可行的,但可能会严重影响晶片的吞吐量,因为两个装载锁室110中只有一个将可用于移动晶片。
为了保持晶片吞吐量和避免潜在的晶片污染,在一实施方式中,一种替代的解决方案是使得群集工具组件100内的可用的装载锁室110能用于移动新的和使用过的消耗部件208以及经预处理的和已处理的晶片。例如,在一实施方式中,顶部支撑指状物902a和底部支撑指状物902b可以为消耗部件和晶片提供分隔开的接收区域。在这样的实施方式中,在所述指状物组件提供的多个接触垫使得晶片的接触表面能保持在与消耗部件的接触表面分隔开的高度。在消耗部件是环的形式(即,边缘环)的情况下,通过保持环的接触表面与晶片接触表面在不同的高度,晶片表面污染可避免或最小化。
图10D示出了在一实施方式中,在装载锁室110内的用于支持晶片和消耗部件的一种这样的指状物组件902的放大图。凹部908限定在底部支撑指状物902b的顶表面上。一个或多个消耗品接触垫906被布置在凹部908内以用于接收消耗部件208,而一个或多个衬底接触垫904被设置在靠近支撑指状物902a、902b的前端以用于接收晶片。在一实施方式中,在底部支撑指状物902b上,用于接收消耗部件的消耗品接触垫906设置在离支撑机构的中心至少等于消耗部件208的半径的距离处,而用于接收晶片的衬底接触垫904被设置在离支撑机构的中心至少等于晶片的半径的距离处。布置在多个指状物组件902的凹部908内的消耗品接触垫906限定用于接收消耗部件208的环形接收平面913b,以及设置在指状物组件902上的衬底接触垫904限定用于接收晶片的晶片接收平面913a。凹部908使得环形接收平面913b与晶片接收平面913a能被布置在不同的高度,从而使得用于晶片的接触支撑表面与用于消耗部件的接触支撑表面分离开。接触支撑表面的这种分离被设计成通过防止晶片与用于接收消耗部件的接触支撑表面的任何部分接触,以保护晶片的表面不被污染。在一实施方式中,环形接收平面913b相比于晶片接收平面913a的高度(h2)处于较低的高度(h1)(即,高度h1<h2)。在一实施方式中,h2和h1之间的差至少比消耗部件208的高度大。在另一实施方式中,高度h1>h2,使得晶片被接收在较低的高度处,而消耗部件被接收在较高的高度处,同时使晶片接收表面与消耗部件接收表面分离开。
当然,在图10C和10D中示出的指状物组件的设计是保持晶片的接触表面和消耗部件的接触表面分开的一个示例。其它设计可使用,包括可使用其中高度h1>h2的指状物组件设计。在该设计中,切口可以被限定在支撑指状物902a、902b的顶表面的前端。由切口形成的表面可被用于接收衬底接触垫904以用于支撑晶片,而消耗部件可以被接收在消耗品接触垫906上,消耗品接触垫906被限定于底部支撑指状物902b的顶表面处的凹部908内。在一实施方式中,切口也可以形成在顶部和/或底部支撑指状物902a、902b上,使得其限定用于接收晶片的晶片接收表面,切口至少位于离支撑机构901的中心等于在晶片的半径的距离处,而衬底接触垫904可以提供在其上以便为晶片提供可靠的接触支撑表面。同样地,在支撑指状物902a,902b的顶表面内限定的凹部908内所提供的消耗品接触垫提供用于消耗部件的接触支撑表面。
图10E和10F示出了在一些实施方式中,在重新设计支撑机构901之前和之后的示例性的气锁室。支撑机构901包括已经被重新设计以适应运送消耗部件以及晶片的多个指状物组件。重新设计的指状物组件提供了一种简单、容易且有成本效益的解决方案,因其使得消耗部件208能被接收到装载锁室110内而不必完全重新设计装载锁室110。如图10E所示,为了将消耗部件208充分接收在支撑机构901内的指状物组件的底部支撑指状物902b上,间隔块905'必须被重新设计,以被移出消耗部件的传送路径。图10E所示的现有的设计显示了在将消耗部件接收在底部支撑指状物902b上时造成干扰的间隔块905'。图10F示出了其中重新设计的间隔块905被啮合的一示例性实施方式。重新设计的间隔块905被示出为移动远离气锁中心,更靠近侧壁。如前所述,顶部支撑指状物和底部支撑指状物可以通过重新设计的间隔块905或替代地通过指状物支撑件(未示出)被支撑并分隔开,该指状物支撑件提供足够的游隙以使得消耗部件能被充分接收在底部支撑指状物上。
为了将消耗部件208充分接收在顶部支撑指状物902a上,必须有足够的竖直游隙,以避免由装载锁室110的内边缘半径造成的干扰,并且此类干扰在移动消耗部件进入和离开装载锁室110时会遇到。指状物组件被设计为通过至少在消耗部件的“禁区”和装载锁室的上部和下部槽拐角之间提供最小的游隙而考虑这种限制。如在本申请中使用的禁区指的是最大的消耗部件设计(例如,外径和高度)的横截面和表示公差的叠加的周边偏移。公差的叠加包括由于调平、端部执行器偏转、机械手臂的轨迹、机械手教导和其他公差贡献因子而导致的位置误差的影响。上部和下部槽拐角限定为在接收有消耗部件的装载锁室中的支撑机构的顶部支撑指状物和底部支撑指状物的边缘上的相应的区域。在一些实施方式中,禁区和槽拐角之间限定的最小游隙在约0.01英寸至约0.03英寸之间。在其他实施方式中,最小游隙为约0.025英寸。
将指状物组件902设计为使得至少在被接收于指状物组件上的消耗部件和装载锁室110的侧壁110a之间有最小的标称游隙。在一些实施方式中,最小的标称游隙被设计为至少在约5毫米至约6毫米之间。在其他实施方式中,最小游隙是约5.4毫米。在一些实施方式中,到装载锁室110的侧面的最小的标称游隙被限定以便解决消耗部件错位或位置偏移,消耗部件错位或位置偏移可以通过VTM机械手使用动态对准输入来校正。
另外,在指状物组件902内的间隔块905的高度应该被限定,以便在顶部支撑指状物902a和底部支撑指状物902b之间提供足够的竖直游隙,从而使得接收在底部支撑指状物902b上的消耗部件能被移入和移出装载锁室110,而不受任何干扰。在一些实施方式中,在指状物组件902内的间隔块905被设计,以便在被接收于底部支撑指状物902b上的消耗部件的顶表面和顶部支撑指状物902a的底表面之间提供最小间隙以限定用于沿其移动消耗部件的环形传送平面。在一些实施方式中,最小间隙在约4毫米和5毫米之间。在一些实施方式中,由该间隙限定的竖直游隙是约4.6毫米。在一些实施方式中,顶部支撑指状物和底部支撑指状物之间的竖直游隙被设计为在消耗部件被传送进入和离开装载锁室110时在消耗部件的上方和下方提供至少约2毫米至约3毫米的最小游隙。在另一实施方式中,在顶部支撑指状物和底部支撑指状物之间的竖直游隙被设计为在消耗部件的上方和下方至少约2.3毫米,以使传送能进行。在一些实施方式中,竖直游隙被定义为考虑到在传送过程中存在于端部执行器机构的任何有效载荷偏转。
现在将讨论在一实施方式中,用于更换群集工具组件100内的消耗部件的高级操作。如参照图3和3A所描述的,群集工具组件100包括:一个或多个装载端口,晶片装载器115或更换站108永久或暂时地安装到该一个或多个装载端口;具有用于移动消耗部件和晶片的第一机械手的ATM;装载锁室;具有第二机械手的VTM;以及一个或多个处理模块。消耗部件设置在处理模块内,并且可能需要定期更换,以便晶片处理可以有效地实现。
在一实施方式中,当消耗部件需要在处理模块内被更换时,使所有与VTM集成的处理模块处于不工作状态中。这意味着,除了接合封闭的晶片装载器的情况下,没有晶片处于群集工具组件内。如果更换站被暂时地被安装,则在ATM的至少一个装载端口保持自由以用于接收更换站108。在本实施方式中,群集工具组件100没有设置为同时进行晶片的处理和消耗部件的更换。在一替代实施方式中,群集工具组件可以被配置为同时进行消耗部件的更换和晶片的处理。在这种实施方式中,协调对各种模块的访问,以使消耗部件和晶片能有效移动。
在一实施方式中,带有更换消耗部件的更换站108被安装到群集工具组件100内的自由装载端口。在一实施方式中,安装是手动完成的。在一替代实施方式中,安装是使用机械手完成的。在图3A所示的实施方式中,FOUP型更换站可以使用高架提升传送装置(OHT)或自动导引车(AGV)以自动方式输送,该高架提升传送装置(OHT)或自动导引车(AGV)可以是自动材料搬运系统(AMHS)的一部分。在一实施方式中,AMHS被安装在主体制造设施内。在一些实施方式中,AMHS可以包括存储FOUP型更换站和/或晶片装载器的自动储存器。AMHS可以包括跟踪软件以引导OHT或AGV到适当的更换站或晶片装载器,使得适当的更换站或晶片装载器可以从所述储存器取出并安装到群集工具组件内的自由装载端口。在一些实施方式中,OHT或AGV可配备有射频识别(RFID)标签读取器或条形码阅读器,以查明更换站或晶片装载器在AMHS的储存器内的位置。在一些实施方式中,储存器可以包括多排更换站和/或晶片装载器。在这种实施方式中,软件可以引导OHT或AGV到适当的所述排中,而条形码阅读器或RFID标签读取器可以被用来识别特定的更换站或晶片装载器以从所述排中取出。在一示例中,OHT或AGV因此可以取出该更换站,并自动将其安装到限定在群集工具组件的ATM的第一侧内的自由装载端口。
在安装更换站108时,传送序列在与群集工具组件通信地连接的计算机的用户界面上启动。传送序列导致更换站108被装载到群集工具组件。在一些实施方式中,在加载更换站时,消耗部件映射由ATM机械手103完成。在一些实施方式中,该映射可以是提供动态对准输入,以使消耗部件移动到装载锁室时可以被对准。在其他实施方式中,该映射可以用于确定在每一个槽中的消耗部件的存在。
VTM机械手105同时或顺序地从群集工具组件100中的处理模块112去除使用过的消耗部件以及将使用过的消耗部件208转移到装载锁室110。VTM机械手105通过协调处理模块112内的升降销运动使用平面传送来移动消耗部件208。关于从处理模块去除消耗部件的升降销机构的较多信息参考图4讨论。VTM机械手105将使用过的消耗部件208放置在指状物组件902的底部支撑指状物902b内。在一些实施方式中,顶部支撑指状物902a和底部支撑指状物902b都可以用来运送使用过的或新的消耗部件208。在一实施方式中,底部支撑指状物902b可被用于仅接收使用过的消耗部件208,而顶部支撑指状物902a可以用于仅接收新的消耗部件208,反之亦然。在其他实施方式中,使用过的消耗部件和新的消耗部件的接收并不受限于支撑指状物中的特定的一个,而是可以被接收在顶部支撑指状物902a和底部支撑指状物902b中的任何一个上。在消耗部件208的更换过程中,动态对准在装载锁室110内是有源的。
一旦使用过的消耗部件208被放置在装载锁室110的支撑机构901上,气锁被通风,以使装载锁室110处于环境条件下。然后激活ATM机械手103,以从装载锁室110去除消耗部件208,并将其传送到更换站108。在一实施方式中,这可以包括ATM机械手103以从更换站108取出环载体(即,载体板)1104,并使用它以用于从装载锁室110取出使用过的消耗部件。ATM机械手103然后从更换站108拾取新的消耗部件208,并将其传送到装载锁室110。在接收到新的消耗部件208后,将装载锁室110抽排至真空。为了将装载锁室110抽排至真空,在一实施方式中,装载锁室110可以通过与控制器连接的真空控制模块(未示出)耦合到泵上。控制器被用来协调泵的动作,以便在新的消耗部件208将穿过VTM 104被移动到处理模块112内时,使得装载锁室110能被抽排至真空。一旦装载锁室110被设置为真空状态,VTM机械手105就被激活以从装载锁室110拾取消耗部件208,并将其运送到处理模块112。在一实施方式中,通过连接在VTM 104和装载锁室110之间的闸阀控制对装载锁室110的访问。同样,可以通过第二闸阀(如隔离阀)来控制通过VTM 104对处理模块112的访问,如参照图5C讨论的。第二闸阀可以使用传感器机构来操作。由于在消耗部件208的更换过程中,动态对准是有源的,因此当VTM机械手105从装载锁室110拾取更换消耗部件208时,其在动态对准校正的情况下拾取消耗部件208,并将其放置到处理模块112内。在处理模块112中的升降销机构延伸升降销以接收消耗部件208,将消耗部件208定位在安装状态下,并且然后将升降销缩回壳体(例如,升降销壳体)内。在一实施方式中,在一个以上的处理模块112-120(替代地称为“处理室”)内消耗部件208的更换可以使用该方法顺序地一次处理一个。
图11示出了在一实施方式中用于储存新的和使用过的消耗部件208的示例性更换站108。在一实施方式中,更换站108可以是在结构上与晶片装载器相似,并且包括具有多个隔室207的部件缓冲区224以存储消耗部件208。在一实施方式中,部件缓冲区包括用于接收和存储新的消耗部件208的“干净的”或“新的”消耗部件区1206以及用于接收和存储使用过的和磨损的有可能被污染的消耗部件208的“脏的”或“磨损的”消耗部件区1208。隔板1202可被设置在更换站108内,以将清洁的消耗部件区1206和脏的消耗部件区1208分隔开。在一实施方式中,载体板1104形式的环载体可以存储在更换站内设置的壳体内。在一实施方式中,用于载体板1104的壳体可以设置在干净的或新的消耗部件区1206内。用于载体板1104的壳体可以设置在干净的或新的消耗部件区1206的底部、顶部或在底部和顶部之间的任何位置。在一替代的实施方式中,载体板1104可以被容纳在脏的或磨损的消耗部件区1208内。用于载体板1104的壳体可以设置在脏的或磨损的消耗部件区1208的底部、顶部或在底部和顶部之间的任何位置。在一些实施方式中,更换站108可以容纳两个载体板1104,一个在干净的或新的消耗部件区1206内,而另一个在脏的或磨损的消耗部件区1208内,以使新的和使用过的消耗部件可分别在更换站和装载锁室110之间传送。在替代的实施方式中,替代或附加于更换站108,一个或多个区可以被限定在ATM 102中以存储使用过的和新的消耗部件。在这样的实施方式中,消耗部件可以使用更换站108和在ATM 102中的机械手或利用其他的输送和去除装置从ATM 102输送和去除。
图12示出了在一实施方式中,朝向处理模块内的示例性槽的视图,消耗部件被接收在该处理模块内。例如,消耗部件被沿着位于处理模块112内的成组的室接口引脚1308上面的环形传送平面(RTP)1301接收。在一些实施方式中,将RTP 1301限定在室接口引脚(CIP)1308上面。在某些实施方式中,在被布置于处理模块112中的静电卡盘(ESC)的顶表面上的消耗部件的高度被限定为使得游隙足以让消耗部件能移入和移出处理模块112,而不受任何干扰。在这种实施方式中,游隙确保消耗部件,具有臂架的端部执行器机构能够适合通过处理模块112的槽开口。由于槽开口被设计用于晶片传送,因此消耗部件的尺寸可以通过槽大小限制。当将要传送较宽的消耗部件时,分段的消耗部件可以被用于装配到处理模块112的现有槽开口内,而不是重新设计槽开口。在具体的示例中,ESC的相对高度使消耗部件朝向槽的顶部偏置。由于槽拐角倒圆(radius)的具体尺寸,会存在消耗部件的高度和直径之间的权衡问题。为了确保周围都有足够的游隙,可以围绕消耗部件和机械手限定公差区,以在传送过程中提供组合的体积。公差区将不得不考虑载荷偏转影响、机械手臂的轨迹误差、调平误差的影响以及其他因素。在一些实施方式中,标称环传送路径的上方、下方和至标称环传送路径的侧面的游隙可以小到几毫米或更小。
在一些实施方式中,RTP与晶片传送平面(WTP)不同。在这样的实施方式中,当晶片被传送进入和离开处理模块112时,WTP被限定为在CIP 1308与RTP的上方。一些实施方式中,最小游隙被限定在消耗部件208的禁区1304和通向处理模块112内的开口的内侧拐角倒圆(radius)1306之间,晶片和消耗部件被运送通过该开口。在一些实施方式中,最小游隙的尺寸可以是几毫米。这个最小游隙使得消耗部件能被移进和移出处理模块112,而没有处理模块112中的任何部分干扰消耗部件的传送。
已经描述了多种实施方式,这些实施方式限定在ATM 102及VTM 104的用于运送晶片以便也运送消耗部件的机械手内所用的端部执行器机构。在一些实施方式中,端部执行器机构被重新设计,以便指状物组件延伸超过晶片的边缘,从而使得能够支撑消耗部件。在替代的实施方式中,现有的端部执行器机构被用来运送消耗部件。在这样的实施方式中,因为现有的端部执行器机构没有被设计为支撑消耗部件,因此环载体形式的中间固定装置在消耗部件传送期间被临时使用以支撑消耗部件。该装载锁室中的指状物组件被重新设计以支撑消耗部件。重新设计的端部执行器机构和指状物组件具有多个接触垫以限定用于晶片和消耗部件的相互排斥的接触点,从而避免交叉污染。接触垫(消耗品接触垫、晶片接触垫)可以被设计,以在不同的高度或径向距离处提供接触点,从而实现在预期的晶片或消耗部件的位置误差内的排外的分离。
消耗部件常常会有超过晶片的直径、厚度和重量。因此,附加的朝向工具的消耗部件的传送(所述工具最初没有被设计用于这种传送)受到现有的硬件内的游隙限制。附加的有效载荷导致的偏转进一步减小了游隙。所以,为了适应有效载荷的增加并减小偏转,端部执行器机构可以由较高刚性的材料(如陶瓷)制成以限制偏转或厚度的增大,同时利用重新设计的端部执行器机构减小在机械手上的总有效载荷。各种接触垫(晶片接触垫、消耗品接触垫)被限定在端部执行器机构内的指状物组件的表面上,以支撑期望的位置误差范围内的并且可能具有不同的底表面轮廓的消耗部件。用于使晶片居中的相同的对准输入也可以被用来定位消耗部件以及使消耗部件居中。消耗部件可以经由限定在ATM内的标准晶片FOUP装载端口被输送到群集工具组件并从群集工具组件去除。用于输送和去除消耗部件的盒被设计成类似于用于输送晶片的FOUP设计。盒可以是能手动或通过自动系统(诸如高架轨道FOUP传送系统)暂时地安装到ATM的装载端口的更换站的一部分。该临时安装使得能够更换消耗部件,而不需要移动或消耗在ATM上的其他晶片存储或处理硬件(即,晶片缓冲区,冷却站,集成计量学等)。在替代的实施方式中,消耗部件可以经由更换站接收到ATM内,暂时存储在与装载端口分开的ATM内并且当消耗部件需要更换时取出。本文所描述的各种实施方式提供了一种有效的具有成本效益的方式来更换在群集工具组件内的消耗部件而不破坏真空密封,由此使得群集工具组件具有较短的停机时间。群集工具组件的停机时间减少会导致晶片产量的提高。
图13示出了用于控制以上描述的群集工具组件的控制模块(也称为控制器)220。在一个实施方式中,控制器220可以包括一些示例性部件,诸如处理器、存储器和一个或多个接口。控制器220可被用于部分基于所感测的值来控制在群集工具组件100中的装置。仅举例而言,控制器220可基于所感测的值和其他控制参数来控制一个或多个阀602(包括图5A、5B、5C的隔离阀216、216')、过滤器加热器604、泵606(包括泵233),以及其他设备608。仅举例而言,控制器220从压力计610,流量计612,温度传感器614,和/或其它传感器616接收感测的值。控制器220也可以被用于控制在前体传送和膜沉积过程中的工艺条件。控制器220典型地将包括一个或多个存储器设备和一个或多个处理器。
控制器220可控制前体传送系统和沉积装置的活动。控制器220执行包括用于控制工艺定时、输送系统温度、跨越过滤器的压力差、阀位置、机械手和端部执行器、气体混合物、室压强、室温度、晶片温度、RF功率电平、晶片卡盘或基座的位置,和特定工艺的其它参数的成组的指令的计算机程序。控制器220还可以监测压力差,并将气相前体从一个或多个路径自动切换至一个或多个其它的路径。在某些实施方式中可采用存储在与控制器220相关联的存储器设备上的其它计算机程序。
典型地,将存在与控制器220相关联的用户界面。用户界面可以包括显示器618(例如,显示屏和/或装置和/或工艺条件的图形软件显示),以及用户输入设备620如指针设备、键盘、触摸屏、麦克风,等。
用于控制前体的输送、沉积和处理序列中的其他工艺的计算机程序可以用例如,汇编语言、C、C++、Pascal、Fortran或其它编程语言之类的任何常规的计算机可读编程语言来编写。编译的对象编码或脚本由处理器执行以执行在程序中识别的任务。
与处理条件相关的控制模块(即控制器)参数,诸如,例如,过滤器的压力差、工艺气体的组成和流速、温度、压强、如RF功率电平和低频RF频率之类的等离子体条件、冷却气体的压强、和室壁温度。
系统软件可以用许多不同的方式设计或配置。例如,多个室部件子程序或控制对象可以被写入以控制要进行本发明的沉积工艺所必须的室或处理模块组件的操作。用于此目的的程序或程序的部分的实例包括衬底定位编码、工艺气体控制编码、压力控制编码、加热器控制编码、等离子体控制编码、升降机构控制编码、机械手位置编码、端部执行器位置编码和阀位置控制编码。
衬底定位程序可以包括用于控制用于将衬底加载到基座或卡盘并控制衬底和室的其他部件(例如气体入口和/或靶)之间的间隔的室组件的程序编码。工艺气体控制程序可包括用于控制气体组成和流速的编码以及任选用于使气体在沉积之前流入室中以稳定室中的压强的编码。过滤器监控程序包括比较测量差值与预定值的编码和/或用于切换路径的编码。压强控制程序可包括用于通过调节例如室的排气系统中的节流阀来控制室中的压强的编码。加热器控制程序可包括用于控制流向用于加热前体传送系统中的部件、衬底和/或系统的其它部分的加热单元的电流的编码。可替代地,加热器控制程序可控制传热气体(如氦)到晶片卡盘的传送。阀位置控制编码例如可包括通过控制提供对处理模块或群集工具组件的访问的隔离阀来控制对处理模块或群集工具组件的访问的编码。升降机构控制编码例如可包括激活致动器驱动器以使致动器移动升降销的编码。机械手位置编码例如可包括操控机械手的位置的编码,包括操控所述机械手沿横向轴、竖直轴或径向轴移动的编码。端部执行器位置编码例如可包括操控端部执行器的位置的编码,包括操控机械手以沿横向轴、竖直轴或径向轴延伸、收缩、或移动的编码。
在沉积期间可被监测的传感器的示例包括,但不限于,质量流量控制模块、如压力计610之类的压力传感器、以及位于传送系统、基座或卡盘中的热电偶(例如温度传感器614)。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持所需的工艺条件。先前描述了在单室或多室的半导体处理工具中来实现本发明的实施方式。
前开式环形盒
鉴于相对于在群集工具组件的不同模块处的更换站的位置、在装载锁室内的指状物组件和在群集工具组件内使用以移动消耗部件的不同机械手的端部执行器机构所讨论的各种实施方式,注意现在将涉及作为更换站的一部分的盒的细节,该盒用于向群集工具组件供应新的消耗部件以及从群集工具组件中移除旧的或损坏的消耗部件。在一些实施方式中,消耗部件可以是边缘环。在替代的实施方式中,消耗部件可以是聚焦环、介电环或可以在处理模块中使用并暴露于处理模块内的处理条件的任何其它消耗部件。消耗部件可围绕被接纳在处理模块中的衬底或衬底的部分,围绕限定在处理模块内的卡盘或卡盘的部分,或围绕设置在处理模块的其它部分中并暴露于在处理模块内的处理条件的任何其它消耗部件。消耗部件可以是单个单元或可以包括多个部分。替代地,消耗部件可包括多个环。消耗部件可以由石英、陶瓷、硅、介电材料制成或涂覆有不同的材料。由于处理模块中的处理条件,边缘环可能被磨损或损坏,并且必须以迅速的方式周期性地更换。
图14示出了在一个实施方式中的示例性更换站108,更换站108接合盒以交换处理模块的消耗部件。更换站108可以包括与群集工具组件中的模块的开口连接的装载端口模块1155。例如,装载端口模块1155可以(永久地或临时地)安装到群集工具组件的处理模块112或真空传送模块(VTM)104或大气传送模块(ATM)102。装载端口模块1155用作晶片装载器115或消耗部件装载器的对接站,并且包括可与晶片装载器115或消耗部件装载器耦合的装载端口。在一些实施方式中,该消耗部件装载器在结构上类似于用于将晶片传送进入和离开群集工具组件的晶片装载器115(例如,前开口式统一标准晶片盒(FOUP)),因此在前文中也被称为前开口式环形盒(或简称为“环形盒”)1000。环形盒1000用于将消耗部件208(例如边缘环)传送进出处理模块。环形盒1000中的开口(即,由环形盒的门框限定的封套)与限定在装载端口中的开口匹配,使得消耗部件208可以容易地移入和移出群集工具组件。用于将消耗部件208移入和移出群集工具组件的环形盒1000的结构细节将参照图15A至图21B描述。
图15A和15B示出了用于存储消耗部件(例如边缘环)的环形盒1000的不同视图。如图15A所示,环形盒1000包括外壳结构1127,外壳结构1127提供壳体以容纳环形盒1000的用于接纳和存储消耗部件的各个部分。环形盒1000包括设置在外壳结构1127的顶表面上的凸缘1121。凸缘1121可以被高架运送(OHT)模块接合以往来于装载端口模块1155的装载端口运送环形盒1000。凸缘1121在设计上类似于在FOUP中存在的凸缘,并且因此不详细讨论。除了凸缘1121外,环形盒1000还包括设置在环形盒1000的横向侧上的手柄1122。环形盒1000还包括限定环形盒1000的开口的门框1120。窗1125限定在环形盒1000的后侧的一部分中,以便可目视环形盒1000的内部,从而使得用户能通过目视确定被接纳在环形盒1000中的消耗部件的位置。在一些实施方式中,窗1125可以由聚碳酸酯或其它类似的透明材料制成。窗1125的位置和取向仅作为示例提供,并且也可以考虑其他位置和/或取向。
图15B示出环形盒1000的前侧视图和底侧视图。除了参考图15A限定的各种部件之外,环形盒1000还包括具有各种自动材料搬运系统(AMHS)和/或OHT接口的底板1134。例如,底板1134可以包括分布在底板1134的下侧表面上的多个运动槽1124。运动槽1124用于将环形盒1000与限定在装载端口模块1155中的装载端口的相应运动销对准,环形盒1000耦合到装载端口模块1155。运动槽1124还可以是从接收表面限定的接地的耗散路径的一部分,该接收表面接纳在盒内的消耗部件和装载端口的运动销。装载端口内的运动销接地。该耗散路径将参考图17A和17C更详细地讨论。装配到门框1120中的门1123设置在环形盒1000的前侧,并且包括当消耗部件被接纳在环形盒1000中时接合、分离和固定消耗部件所必要的硬件。门1123可操作以提供对环形盒1000的内部的访问,以便使得群集工具组件内或环形盒1000内的机械手能将消耗部件运入和运出环形盒1000。成对的传送轨道1133设置在环形盒1000的底板1134上,以使得能将环形盒1000手动对准到衬垫搬运系统(例如人工导向车辆(PGV))上。设置在环形盒1000上的手柄1122可用于将环形盒1000手动装载到PGV上。
图16提供了穿过外壳结构1127观察到的环形盒1000的内部的详细表示图。在图16所示的实施方式中,外壳结构1127表示为透明结构。在该实施方式中,透明外壳结构1127提供了环形盒1000的内部结构的视图。在这种实施方式中,不需要用于目视环形盒1000的内部的单独的窗1125。在另一实施方式中,外壳结构1127可以是不透明的。在该实施方式中,窗1125可以纵向地限定在环形盒1000的侧面(例如后侧)的一部分上,以使得能目视环形盒1000的内部。外壳结构1127容纳消耗部件保持结构并且为消耗部件保持结构的不同部件提供稳定性。消耗部件保持结构包括定向在底板1134上方的顶板1132以及在底板1134和顶板1132之间延伸并沿着底板1134的外周分布的多个支撑柱1129。多个支撑柱包括沿着支撑柱的内侧表面的长度限定的用于接纳消耗部件208的多个支撑指状物。底板1134还包括用于接收环载体1104的多个载体支撑件,环载体1104由机械手的端部执行器机构使用来将消耗部件208往来于环形盒1000载运。成对的硬质止动柱1128设置在壳体内部,并且设置成平行于邻近底板1134的后侧设置的成对的支撑柱。在一些实施方式中,每个硬质止动柱1128设置成基本上垂直于成对的支撑柱1129中的相应支撑柱1129,但是与该支撑柱1129间隔开。硬质止动柱1128被配置为当消耗部件208被接收在盒中时提供用于固定消耗部件208的径向约束。多个支撑柱1129使用顶板1132保持在一起,从而为支撑柱1129提供所需的稳定性。在一实施方式中,环形盒1000还包括穿过外壳结构1127直接附接到支撑柱1129的手柄1122,并且支撑柱1129为手柄1122提供直接支撑。
图17A-17G示出了在一些实施方式中的设置在环形盒1000内部并且在将消耗部件208接收和存储在环形盒1000内的过程中使用的支撑柱和保持组件1135的细节。图17A示出了没有外壳结构1127和门组件的环形盒1000的基本结构。该基本结构包括在竖直方向上与顶板1132相对定向的底板1134和在顶板1132和底板1134之间延伸的多个支撑柱1129。在一些实施方式中,底板1134限定环形盒1000的底表面,支撑柱定位在环形盒1000的底表面上的适当位置。底板1134由前侧、后侧和在前侧和后侧之间延伸的两个横向侧限定。在一些实施方式中,两个横向侧基本上彼此平行地延伸。在替代的实施方式中,根据被存储的消耗部件并且根据环形盒1000的设计,两个横向侧可以彼此不同地定向。顶板1132与底板1134相对地定向并且锚固到外壳结构1127的顶表面的下侧。
在一些实施方式中,多个支撑柱包括沿着底板1134的两个横向侧设置的两对支撑柱1129。应当注意,实施方式不限于两对支撑柱,而是可以包括附加的一或多对支撑柱1129。附加的一或多对支撑柱可以沿着两个横向侧或沿着底板1134的后侧设置。回到其中两对支撑柱1129沿着底板1134的两个横向侧设置的实施方式,第一成对的支撑柱中的第一支撑柱1129a设置在底板1134的前侧附近且在底板的第一横向侧上。第一成对的支撑柱中的第二支撑柱1129b设置在底板1134的前侧附近且在底板1134的第二横向侧上,使得第一支撑柱定向成与第一成对的支撑柱中的第二支撑柱相对。第二成对的支撑柱中的第三支撑柱1129c邻近底板1134的后侧设置并且设置在底板1134的第一横向侧上。第二成对的支撑柱中的第四支撑柱1129d邻近底板1134的后侧设置并且设置在底板1134的第二横向侧上,使得第三支撑柱定向成与第二成对的支撑柱中的第四支撑柱相对。支撑柱1129a、1129b、1129c和1129d从底板1134延伸到顶板1132,并且围绕环形盒1000的外周对称分布。支撑柱1129a、1129b、1129c、1129d各自连接到顶板1132,顶板1132为支撑柱1129a、1129b、1129c和1129d提供支撑。顶板1132设置在底板上方并通过第一、第二、第三和第四支撑柱(1129a-1129d)分开。
除了支撑柱1129a、1129b、1129c、1129d之外,环形盒1000的基本结构还可以包括成对的硬质止动柱1128。在图17A所示的一个实施方式中,在成对的硬质止动柱1128中的第一硬质止动柱1128a设置成邻近并定向成平行于第二成对的支撑柱中的第三支撑柱1129c。类似地,成对的硬质止动柱1128中的第二硬质止动柱1128b设置成邻近并定向为平行于第二成对的支撑柱中的第四支撑柱1129d。在一些实施方式中,第一硬质止动柱1128a位于第三支撑柱1129c和底板1134的后侧之间,并且第二硬质止动柱位于第四支撑柱1129d和底板1134的后侧之间。在该实施方式中,硬质止动柱1128a、1128b中的每一个分别与相应的支撑柱1129c、1129d间隔开。在一些实施方式中,硬质止动柱1128朝向环形盒1000的内部区域定向,并且基本上垂直于第二成对的支撑柱中的相应支撑柱1129c、1129d的内边缘。该定向使得硬质止动柱1128能提供径向约束,以便当消耗部件被接纳在环形盒1000内部时固定消耗部件。应该注意的是,多于一对的硬质止动柱1128可以包括在环形盒中。在这样的实施方式中,当环被推入环形盒内的位置时,每个硬质止动柱1128可以相对于相应的支撑柱1129成角度设置,以便提供径向约束。在一些实施方式中,支撑柱1129a、1129b、1129c、1129d中的每一个由单件金属制成。在其它实施方式中,支撑柱1129a、1129b、1129c、1129d中的每一个由多件金属制成,每件金属设计成与另一件金属紧密配合。在一些实施方式中,支撑柱1129a、1129b、1129c、1129d由铝材料制成。在一些实施方式中,为了增加对腐蚀和磨损的耐性,支撑柱1129a-1129d可以由阳极氧化铝或其他耐腐蚀材料制成。
在替代的实施方式中,底板1134的每个横向侧可以包括设置在顶板1132和底板1134之间的单个支撑柱1129。在一些实施方式中,第一硬质止动柱1128a可以与设置在第一横向侧上的第一支撑柱1129a集成,而第二硬质止动柱1128b可与设置在第二横向侧上的第二支撑柱1129b集成。在一些其他实施方式中,第一硬质止动柱1128a可以位于第一支撑柱1129a和底板1134的后侧之间,并且第二硬质止动柱1128b可以位于第二支撑柱1129b和底板1134的后侧之间。在该实施方式中,硬质止动柱1128a、1128b中的每一个分别与相应的第一和第二支撑柱1129间隔开。
环形盒1000的结构还包括设置在环形盒1000的横向侧上的成对的手柄1122。在一些实施方式中,使用一个或多个直接连接件1122a,将该成对的手柄1122中的第一手柄1122附接到第一成对的支撑柱中的第一支撑柱1129a和第二成对的支撑柱中的第三支撑柱1129c,第一支撑柱1129a和第三支撑柱1129c两者都穿过外壳结构1127设置在底板1134的第一横向侧上。类似地,使用一个或多个直接连接件1122a将第二手柄1122附接到第一成对的支撑柱中的支撑柱1129b和第二成对的支撑柱中的支撑柱1129d,支撑柱1129b和支撑柱1129d两者都沿着底板1134的第二横向侧设置。在一些实施方式中,直接连接件1122a可以是螺钉、夹具、使得手柄1122能直接连接到相应支撑柱的保持结构。在一些实施方式中,手柄1122用于手动搬运环形盒1000。
多个支撑指状物1130沿第一成对的支撑柱和第二成对的支撑柱中的支撑柱(1129a、1129b、1129c、1129d)中的每一个的内表面的长度方向设置。支撑指状物1130朝环形盒1000的内部区域延伸,以便提供用于接纳消耗部件的支撑表面。在图17A所示的一实施方式中,设置在第一成对的支撑柱1129a、1129b上的支撑指状物1130的形状和/或设计类似于设置在第二成对的支撑柱1129c、1129d上的支撑指状物的形状和/或设计。
图17B示出了设置在第一和第二成对的支撑柱(1129a-1129d)上的支撑指状物1130的放大视图。在一些实施方式中,支撑指状物1130由铝或其它类似的轻质材料制成。在这种实施方式中,支撑垫1131被限定在每个支撑指状物1130的顶表面上。在一些实施方式中,支撑垫1131可以由诸如碳填充的聚醚醚酮(PEEK)之类的材料制成,以在消耗部件被接收在支撑指状物1130上时为消耗部件提供非刮擦支撑表面。碳填充的PEEK材料仅仅是一个示例,并且也可以使用可以提供类似支撑功能的其他材料。支撑垫1131被限定为提供不同的支撑表面,使得消耗部件在被接收时不接触支撑指状物1130的金属表面。在一个实施方式中,凹槽可以被限定在每个支撑指状物1130的顶表面上并且支撑垫1131可以嵌入到凹槽中,使得支撑垫1131的一部分延伸超过支撑指状物1130的顶表面,以便为消耗部件208提供不同的接收表面,如图17B所示。在其它实施方式中,由PEEK或其它材料制成的杆或条或层可以限定在支撑指状物1130的顶表面的一部分上以限定支撑垫1131。在这样的实施方式中,支撑垫可以被限定为提供从支撑指状物1130的顶表面延伸的凸起表面,以提供不同的支撑表面。图17H示出了一个这样的实施方式的横截面视图,其中支撑垫1131条设置在支撑指状物1130的顶表面上。图17I示出了替代的实施方式的横截面视图,其中设置在每个支撑指状物的顶表面上的支撑垫的轮廓是尖的,而不是平坦的。支撑垫的不同实施方式仅作为示例提供,并且可以设想支撑垫的其他设计。支撑垫1131提供与支撑指状物1130的顶表面分离的不同的线接触或表面接触,以防止污染支撑指状物1130的顶表面。在图17J所示的另一实施方式中,支撑指状物1130由碳填充的PEEK材料制成。在该实施方式中,因为支撑指状物1130提供用于接收消耗部件的非刮擦和不滑的表面,并且没有导致污染发生的金属表面,因此不需要在支撑指状物1130上限定单独的支撑垫1131。应当注意,用于支撑指状物1130和支撑垫1131的材料仅仅是示例,并且不应被认为是限制性的。其它材料可以用于支撑指状物1130和支撑垫1131,只要它们提供设计支撑指状物1130和支撑垫1131所针对的功能即可。
图17C示出了在一个实施方式中的可在用于接收和存储消耗部件的环形盒1000内使用的支撑柱的替代的设计。在该实施方式中,第二成对的支撑柱中的第三支撑柱1129c和第四支撑柱1129d沿着底板1134的内部相对的拐角设置。因此,支撑柱1129c、1129d设计成具有与底板1134的内拐角的成角度的轮廓匹配的成角度的轮廓,如图17C所示。分布在第二成对的支撑柱中的第三支撑柱1129c和第四支撑柱1129d的内表面上的多个支撑指状物1130b的形状不同于分布在第一成对的支撑柱中的第一支撑柱1129a和第二支撑柱1129b的内表面上的支撑指状物1130。如图17C所示,支撑指状物1130b的轮廓被设置成与第二成对的支撑柱中的第三支撑柱1129c和第四支撑柱1129d的轮廓相匹配。
图17D示出了在一实施方式中的设置在第二成对的支撑柱中的第三支撑柱1129c和第四支撑柱1129d的内表面上的支撑指状物1130b的放大图。在一些实施方式中,支撑指状物1130b由金属制成,例如由铝或其他类似的金属制成。为了防止腐蚀,支撑指状物1130可由阳极氧化铝或涂覆有特氟隆、环氧树脂或可防止腐蚀的其他类似材料的铝制成。类似于设置在第一成对的支撑柱中的第一支撑柱1129a和第二支撑柱1129b上的支撑指状物1130,每个支撑指状物1130b可包括限定在支撑指状物1130b的顶表面上的支撑垫1131,以在接收到消耗部件208时为消耗部件208提供不同的支撑表面,从而防止消耗部件208接触支撑指状物1130b的金属表面。在其他实施方式中,支撑指状物1130b可由填充碳的PEEK材料制成。在这样的实施方式中,单独的支撑垫1131没有限定在支撑指状物1130b上。支撑指状物1130、1130b为消耗部件208提供足够的支撑表面,以便防止消耗部件滑出其在支撑指状物1130、1130b上的位置。在一些实施方式中,第一、第二、第三、第四支撑柱(1129a-1129d)中的每一个由铝或其他阳极氧化的或涂覆有腐蚀抑制材料的轻质材料制成。
环形盒1000包括成对的硬质止动柱1128a、1128b。然而,代替如图17A所示的硬质止动柱1128与第二成对的支撑柱中的相应的第三支撑柱1129c和第四支撑柱1129d间隔开,成对的硬质止动柱1128a、1128b中的每一个硬质止动柱被设置为与第二成对的支撑柱中的面对底板1134的后侧的相应的支撑柱的内边缘相邻。例如,第一硬质止动柱1128a设置成与第二成对的支撑柱中的第三支撑柱1129c相邻,而第二硬质止动柱1128b与第二成对的支撑柱中的第四支撑柱1129d相邻。此外,在图17C和17D所示的一个实施方式中,硬质止动柱1128朝向环形盒1000的内部区域定向,以便基本上垂直于第二成对的支撑柱中的支撑柱1129c、1129d的内边缘,从而当消耗部件被接收在环形盒1000内时为消耗部件提供径向约束。在其他实施方式中,硬质止动柱1128a、1128b可以相对于支撑柱1129b的内边缘以任何角度定向,只要其能够给消耗部件提供径向约束即可。在一些实施方式中,第一硬质止动柱1128a和第二硬质止动柱1128b可以使用任何紧固或连接选项(诸如连接工具、连接结构、连接机构、连接元件等)固定到相应的支撑柱1129c、1129d上。在一些实施方式中,硬质止动柱1128a、1128b中的每一个由单件或单条材料(例如填充碳的PEEK材料)制成,而支撑柱1129a-1129d中的每一个由铝制成。在一些实施方式中,支撑柱1129a-d、支撑指状物1130、1130b和环形盒1000内的由金属制成并暴露于环形盒1000内的周围环境的其他表面可能必须进行处理,以防止腐蚀、任何其他磨损或损坏。例如,环形盒1000的由金属制成的任何部件可能由于暴露于由损坏或磨损的消耗部件208带回的处理化学品/化学物质而被损坏。为了避免这种损坏,支撑柱(1129a-1129d)、支撑指状物(1130,1130b)和环形盒1000的由金属制成的其他表面可能必须例如用腐蚀抑制材料(诸如特氟隆、环氧树脂等)涂层来处理,以防止磨损。或者,代替金属,支撑柱1129a-1129d、支撑指状物1130、1130b可由阳极氧化铝制成。
外壳结构1127被构造成附接到环形盒1000的底板1134的外周边,并且包围第一和第二成对的支撑柱1129a-1129d、顶板1132以及第一和第二硬质止动柱1128a、1128b。在一些实施方式中,顶板1132可以是外壳结构1127的一部分。外壳结构包括沿着底板1134的前侧的开口。顶板1132附接到外壳结构1127的顶表面的下侧。外壳结构的前开口构造成与门1123配合。
图17E-17G示出了设置在环形盒1000的前侧上的门1123的细节。门1123具有与装载端口的开口的尺寸匹配的尺寸。门被配置为与外壳结构1127的前开口配合。门1123包括限定在门1123的内表面上并且延伸门1123的长度的保持组件1135,如图17E所示。保持组件1135的细节将参考图17F和17G中提供的扩大的视图详细描述。图17F示出了接收在限定于保持组件1135中的槽中的消耗部件的视图,而图17G示出了标识不同部件的保持组件1135的放大图。
同时参考图17F、17G,保持组件1135包括用于将消耗部件208固定和定位在环形盒1000内的多个部件。例如,保持组件1135包括夹具1150、弹簧机构1151和接收垫1152。夹具1150是附接到门1123的内表面的固定单元。夹具1150用于固定保持组件1135的弹簧机构1151。在一些实施方式中,夹具1150由铝制成。成对的挡板1136设置在接收垫1152的任一侧上。挡板1136用于限定接收垫1152的径向极限,当将消耗部件208固定在环形盒1000内的适当位置时,接收垫1152可移动到挡板1136。另外,当门1123关闭时,挡板1136为盒1000内的消耗部件运动提供径向限制。在一些实施方式中,将成对的挡板1136中的第一挡板1136限定为在夹具1150的与用于固定弹簧机构1151的一侧相对的一侧上与夹具1150相邻,如图17F所示。成对的挡板1136中的第二挡板1136设置在接收垫1152的另一侧上。
弹簧机构1151在一端固定到夹具1150上并在另一端固定到接收垫1152上,并且被设计成当门1123关闭时,向接收垫1152提供足够的弹簧加载力。在一些实施方式中,弹簧机构1151被设计成使得提供弹簧加载力的弹簧部件紧接在保持组件1135的每个接收垫1152之后,使得当环形盒1000的门1123关闭并且弹簧机构1151被致动时,来自致动的弹簧机构1151的力被直接施加到接收垫1152,使得接收垫1152被向内推动。这又将容纳在其上的消耗部件208推向第二成对的支撑柱中的第三和第四支撑柱1129c、1129d。分别与第三和第四支撑柱1129c、1129d相邻的第一和第二硬质止动柱1128a、1128b提供径向约束以将消耗部件208保持在支撑指状物1130、1130b中的所选定的支撑指状物上的适当位置。在一些实施方式中,弹簧机构1151的弹簧部件由钢材料制成。
当机械手将消耗部件208放置在环形盒1000中时,消耗部件208可能不能正确地定位。例如,消耗部件208不会一直向后定位成使得支撑指状物1130可以完全支撑消耗部件208。为了防止消耗部件208在环形盒1000移动远离群集工具组件时围绕其位置移动或者滑出其位置,保持组件1135的各个部件用于朝向第三支撑柱1129c、第四支撑柱1129d以及朝向第一和第二硬质止动柱1128a、1128b推动消耗部件208,使得消耗部件208保持在支撑指状物1130上的合适位置。设置在支撑柱1129a-1129d上的支撑指状物1130提供底部约束以将消耗部件保持在适当位置。
接收垫1152被设计成限定用于接收和对准消耗部件208并将其保持在适当位置的凹部。在一些实施方式中,接收垫1152成形为倒“C”形。接收垫1152的设计确保消耗部件保持在适当位置并且不会从凹部滑出。此外,当消耗部件208由机械手移动到环形盒1000中时,接收垫1152中的凹部的尺寸设置成提供足够的游隙以解决偏转和其他潜在公差。此外,凹部的宽度和高度足以容纳消耗部件208的厚度和高度。此外,接收垫1152中的凹部、支撑指状物1130、1130b和支撑垫1131的深度的尺寸被设计成容纳具有不同底表面轮廓的消耗部件208。在一些实施方式中,接收垫1152由填充碳的PEEK材料制成。在一些实施方式中,当门1123关闭并且消耗部件208被推入就位时,在挡板1136和消耗部件208之间提供足够的游隙。
图18示出了当环形盒1000接收消耗部件208时环形盒1000的内部的俯视图。该俯视图示出了被接收在从第一和第二成对的支撑柱(即,1129a-1129d)向外延伸的支撑指状物1130上的消耗部件。第一和第二硬质止动柱1128a、1128b为消耗部件208提供径向约束,同时确保消耗部件208定位在适当位置。在一些实施方式中,第一和第二硬质止动柱1128a、1128b分别相对于第三和第四支撑柱1129c、1129d定向,使得第一和第二硬质止动柱1128a、1128b的边缘1128e相对于底板1134的外周边面向内部。在其他实施方式中,第一和第二硬质止动柱1128a、1128b分别相对于第三和第四支撑柱1129c、1129d定向,使得第一和第二硬质止动柱1128a、1128b的表面相对于底板1134的外周边面向内部。硬质止动柱1128a、1128b的面向内部的表面可以是边缘表面、平坦侧表面或圆筒形表面。沿着门1123的长度设置的保持组件1135的弹簧机构1151提供足够的径向力,以使环接收垫1152将消耗部件208朝向第一和第二硬质止动柱1128a、1128b推动,并且成对的挡板1136确保弹簧机构1151不对消耗部件208施加过大的力,从而不会使消耗部件208偏离也不会弹出其在支撑指状物1130、1130b上的位置。
在一个实施方式中,间隔控制可以在环形盒1000的门1123处实现,以在接合(即,闭合)门1123或使门1123脱离(即,打开)时调节门框1120和外壳结构1127的开口之间的间隔。在一个实施方式中,当门被接合时,可以通过调节设置在门框1120的每个拐角中的脊中的销的位置来控制门框1120与外壳结构1127的间距。
使用环形盒1000内的机械手的端部执行器机构或群集工具组件中的机械手的端部执行器机构,在环状盒1000和群集工具组件内的处理模块之间移动消耗部件。在一些实施方式中,通常用于将晶片往来于晶片装载器115运送晶片的机械手的端部执行器机构可能没有大到足以容纳通常大于晶片的消耗部件。为了容纳消耗部件,端部执行器机构可以使用环载体1104将消耗部件往来于环形盒1000运送。环载体1104可以存储在环形盒1000的底部、环形盒1000的顶部或者在该顶部和底部之间的任何位置。此外,单个环载体1104或多个环载体1104可以用于运送消耗部件。例如,专用环载体1104可以用于分开运送新的消耗部件和使用过的消耗部件。环载体被接收在限定于环形盒1000中的载体支撑机构上。
图19A示出了在本发明的一个实施方式中的限定在环形盒1000内的用于接收环载体1104的示例性载体支撑机构。在该实施方式中,环形盒1000容纳用于运输新的和使用过的消耗部件的单个环载体1104。载体支撑机构包括例如分布在限定于底板1134上的平面上的多个载体支撑件。每个载体支撑件包括基部支撑结构1137以便为底板1134和设置在基部支撑结构1137的顶部上的顶帽1138提供支撑并且使环载体1104偏离底板1134和顶帽1138。在一些实施方式中,顶帽1138可以是垫圈单元。基部支撑结构1137和顶帽1138被设计成当环载体1104被接收在环形盒1000内时为环载体1104提供支撑。此外,顶帽1138的设计防止环载体1104从其在基部支撑结构上的位置弹出。
载体支撑件根据环载体1104的形状定位在平面中。例如,当环载体1104的形状为三角形时,载体支撑件被定位成沿着环载体1104的三角形轮廓安装。在一些实施方式中,载体支撑件由填充碳的PEEK材料制成。在其他实施方式中,载体支撑件可以由所选择的用于防止腐蚀和其他磨损同时向环载体1104提供支撑的其他材料制成。替代地,载体支撑件可以由金属制成并且涂覆有耐腐蚀材料,例如环氧树脂、特氟隆等。
图19B示出了限定在环形盒1000内的载体支撑机构的替代示例,在环形盒1000内可以接纳多个环载体1104。在该实施方式中,环形盒1000包括限定在底板1134和顶板1132之间并且基本上平行于底板1134定向的隔板1202。在图19B所示的实施方式中,多个载体支撑件设置在底板1134和隔板1202两者上以接收环载体1104。隔板1202可以被限定为将环形盒1000内的干净的(或新的)消耗部件区段1206(参考图11描述的)和脏的消耗部件区段1208(参考图11描述的)分隔开。干净的消耗部件区段1206包括用于接收干净的或新的消耗部件208的专用槽,并且脏的消耗部件区段1208包括用于接收脏的或损坏的或使用过的消耗部件208的专用槽。在一些实施方式中,除了在底板1134和隔板1202处提供载体支撑件之外,在顶板1132的下侧表面上可以设置附加的载体支撑件。附加的载体支撑件在结构上可以类似于参考图19A和19B所述的载体支撑机构,或者可以具有不同的结构。在其中在顶板1132的下侧表面上提供额外的载体支撑件的一些实施方式中,环载体1104可被顶板1132的载体支撑件接纳和支撑。
在一些实施方式中,限定在底板1134和/或隔板1202上的载体支撑件的高度将确定可沿着支撑柱1129a-1129d的长度限定用于接收消耗部件208的支撑指状物1130所依据的高度,以便当消耗部件被接纳在环形盒1000内时避免载体支撑件的任何干扰。例如,支撑指状物1130可以限定在支撑柱1129上,从大于限定在底板1134上的载体支撑件的高度的高度处开始,使得当消耗部件被接纳在底部指状物支撑件处时,载体支撑件不会干扰。类似地,限定在顶板1132的底表面上的载体支撑件的高度将确定沿着支撑柱1129a-1129d的长度限定的支撑指状物1130所达到的最大高度。
图20示出了在本发明的一实施方式中的用于存储和运输消耗部件的环形盒1000的底板1134的底表面视图。底板1134的底表面包括用于手动装载或与自动材料搬运系统(AMHS)、高架运送(OHT)系统等标准兼容的或用于环形盒1000自动对准到装载端口模块1155中的装载端口上的各种接口。设置在底板1134的底表面中的接口类似于可以在晶片载体115(例如前开口式统一标准盒(FOUP))上找到的接口。例如,成对的传送轨道1133可以与环形盒1000的手柄1122一起使用,以将环形盒1000手动地装载到材料搬运车辆,例如人工导向车辆(PGV)。类似地,例如设置在外壳结构1127的顶表面上的用于OHT搬运的凸缘1121,设置在底板1134的底表面上的往复夹持特征1142以及设置在运动槽1124上的载体感测垫1143可以是在通过AMHS、OHT等自动搬运环形盒1000期间一起使用。也可以在通过AMHS、OHT等自动搬运环形盒1000期间使用一个或多个载体感测垫1143。
运动槽1124可以是对准机构的一部分,该对准机构用于将环形盒1000与限定在装载端口模块1155的装载端口上的相应运动销对准。运动槽1124还可以用于提供接地的耗散路径以便保持低的静电放电水平。通风组件1126用于给环形盒1000的内部通风。一个或多个信息垫1140可以用于跟踪各种条件,包括环形盒1000与在更换站中限定的装载端口的对准。提供了一个或多个锁定选项1141,以在操作期间阻塞或接通环形盒1000的一个或多个接口元件。设置在环形盒1000的底部部分中的接口是符合材料搬运标准而且也延伸到环形盒1000的标准接口,该材料搬运标准被定义用于搬运FOUP。
环形盒1000的各种部件可以由金属制成。例如,支撑指状物1130、支撑柱1129(即,1129a、1129b)、底板1134等可以由金属制成,例如由铸铝制成。为了确保消耗部件不被损坏,耗散接地路径被限定从支撑垫1131通过支撑指状物1130的金属部分到支撑柱1129a-1129d中的相应的一个,向下到底板1134,到限定在底板1134的下侧上的运动槽1124,到装载端口上的运动销。装载端口上的运动销接地,使得与消耗部件接触的表面也接地。
通常,支撑柱1129、底板1134、支撑指状物1130等都由铝或其他轻重量的金属/材料制成,以便限制环形盒1000的重量。用于环形盒1000设计中的各种部件的材料通过针对OHT、AMHS等设定的标准限定的重量限制来产生。因此,环形盒1000的一些部件可以由铸铝制成,其他部件可以涂覆有耐腐蚀材料,例如环氧树脂、特氟隆等,一些其他部件可以由塑料模制或者制造。
环形盒1000可以用于存储和运输不同类型的消耗部件。为了确定环形盒1000的确切内容物,环形盒1000可以包括用于确定其中包含的内容物的标识符。在一些实施方式中,可以使用专用识别站来扫描标识符以识别环形盒1000的内容物。识别站可以包括例如具有传感器的机械手,以扫描环形盒标识符并将其与内容物相关联。
图21A和21B提供了在本发明的一些实施方式中环形盒1000的后侧的视图,环形盒标识符可设置在环形盒1000的后侧上以识别环形盒1000的内容物。标识符的后侧位置仅作为示例提供,并且其他侧面或位置也可以用于放置环形盒标识符。在一些实施方式中,环形盒标识符可以是呈现在条形码安装板1144上的条形码的形式,如图21A所示。在替代的实施方式中,环形盒标识符可以是设置在RFID标签板1145上的射频标识符(RFID)标签的形式,如图21B所示。在其他实施方式中,环形盒标识符可以是在设置在环形盒1000的后侧或任何其他侧面上的环形盒标识板上蚀刻的序列号的形式。主机(例如标识站)可将环形盒1000内部的内容物与环形盒标识符相关联,并管理环形盒1000和存储在环形盒1000内的消耗部件的库存。例如,当环形盒耦合到装载端口模块1155上时,装载端口上的传感器可用于读取环形盒标识符并识别存储在环形盒1000内的消耗部件的类型。为了确定存储在环形盒1000中的消耗部件的类型和数量,必须扫描环形盒1000的内容物,并且来自扫描的信息由主机维护和管理。扫描例如在环形盒1000的门1123打开时进行。
在一些实施方式中,当打开环形盒1000的门1123时,具有配备有对准器和传感器(例如,照相机)的端部执行器的机械手可用于上下扫描环形盒1000并且映射被接纳在环形盒1000的多个支撑指状物1130内的消耗部件的位置。在一些实施方式中,该映射信息可以由工具软件用来跟踪不同环形盒1000中的各种消耗部件。在一些实施方式中,该映射信息也可以与环形盒标识符一起存储在数据库中,并且在使用环形盒100的任何时间更新,使得工具软件可以跟踪各种环形盒1000中的消耗部件的库存。更新的映射信息被主机使用以与环形盒1000内部的内容物相关联。当装载端口上的传感器扫描环形盒1000的标识符时,环形盒1000的标识符被用于获得识别被包含在环形盒1000内的消耗部件的类型和数量的映射信息。
各种实施方式描述了环形盒1000的结构,该环形盒1000用于向处理模块112提供新的消耗部件208以更换损坏的或旧的消耗部件。环形盒1000耦合到装载端口模块1155的装载端口,装载端口模块1155进而直接耦合到处理模块(112-120中的任一个)或真空传送模块(VTM)104或大气传送模块(ATM)102。使用环形盒1000内的机械手或使用VTM 104和/或ATM 102中的机械手将消耗部件移动到处理模块。
本文描述的多种实施方式允许消耗部件以快速和有效的方式来更换,而不必将群集工具组件对大气条件开放。其结果是,更换消耗部件的时间、以及在消耗部件的更换过程中污染室的任何风险大大减少,由此允许群集工具组件较快地联机。另外,对处理模块、消耗部件和处理模块中的其他硬件组件的无意损坏的风险也大大减少。
该实施方式的前述描述是为了说明和描述的目的而提供。它不旨在穷尽或限制本发明。特定实施方式的单个元件或特征通常并不限于该特定实施方式,而是在适用时可以互换,并且可以在所选择的实施方式中使用,即使没有具体示出或描述也是如此。特定实施方式的单个元件或特征也可以以许多方式变化。这样的变化方案不应被认为是背离本发明的,并且所有这些修改旨在被包括在本发明的范围之内。
虽然为了清楚理解的目的,已经在一定程度的细节上对上述实施方式进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。因此,所提供的实施方式应被认为是说明性的而不是限制性的,并且这些实施方式并不限于本文所给出的细节,而是可以在其范围和权利要求的等同方案内进行修改。

Claims (29)

1.一种用于交换处理模块的消耗部件的盒,该盒包括:
底板,其具有由前侧、后侧和在该前侧与该后侧之间延伸的两个横向侧限定的外周边;
顶板,其与所述底板在竖直方向上相对定向,所述顶板基本上平行于所述底板;
第一成对的支撑柱,所述第一成对的支撑柱中的每一个沿着所述两个横向侧中的每一个定向并且布置成邻近所述底板的所述前侧,并且所述第一成对的支撑柱中的每一个在所述顶板和所述底板之间延伸,所述第一成对的支撑柱中的每一个包括沿着所述第一成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内部的多个支撑指状物;
第二成对的支撑柱,所述第二成对的支撑柱中的每一个沿着所述两个横向侧中的每一个定向并且设置成邻近所述底板的所述后侧,并且所述第二成对的支撑柱中的每一个在所述顶板和所述底板之间延伸,所述第二成对的支撑柱中的每一个包括沿着所述第二成对的支撑柱中的相应支撑柱纵向分布并且相对于所述外周边面向内部的多个支撑指状物;
第一硬质止动柱,其设置成邻近所述第二成对的支撑柱中的第一个;
第二硬质止动柱,其设置成邻近所述第二成对的支撑柱中的第二个,其中所述第一硬质止动柱和第二硬质止动柱中的每一个延伸所述第二成对的支撑柱的长度,使得所述第一硬质止动柱和第二硬质止动柱的边缘表面相对于所述外周边面向内部;
所述盒的外壳结构,其被配置成附接到所述底板并且包围所述第一成对的支撑柱、所述第二成对的支撑柱、所述顶板以及所述第一硬质止动柱和第二硬质止动柱,所述外壳结构具有沿着所述底板的所述前侧设置的前开口;以及
门,其被构造成与所述外壳结构的所述前开口和所述底板的所述前侧配合,所述门被配置有保持组件,该保持组件设置在所述门的内侧表面上并且在所述底板和所述顶板之间延伸,使得消耗部件在被放置于所述盒中时被设置成搁置在所述第一成对的支撑柱和第二成对的支撑柱的所述支撑指状物上,并且当所述门关闭时,所述保持组件使所述消耗部件靠着所述第一硬质止动柱和第二硬质止动柱固定。
2.根据权利要求1所述的盒,其中所述多个支撑指状物中的每一个包括限定在顶表面上的支撑垫,当消耗部件被接纳在所述多个支撑指状物中的相应一个上时,所述支撑垫为所述消耗部件提供不同的接触表面。
3.根据权利要求2所述的盒,其中所述多个支撑指状物中的每一个包括限定在所述顶表面上的凹槽,所述支撑垫形成在所述凹槽中并在所述顶表面上方延伸。
4.根据权利要求2所述的盒,其中所述支撑垫由碳填充的聚醚醚酮(PEEK)材料制成,并且其中所述多个支撑指状物由铝制成。
5.根据权利要求1所述的盒,其中所述多个支撑指状物由碳填充的聚醚醚酮(PEEK)材料制成。
6.根据权利要求1所述的盒,其中所述第一硬质止动柱和第二硬质止动柱由碳填充的聚醚醚酮(PEEK)材料制成,并且其中所述第一成对的支撑柱和所述第二成对的支撑柱由铝制成。
7.根据权利要求1所述的盒,其中所述顶板固定到所述外壳结构的顶表面的下侧,所述顶板为所述第一成对的支撑柱和所述第二成对的支撑柱提供支撑。
8.根据权利要求1所述的盒,其中所述保持组件包括弹簧机构,当所述门关闭时,所述弹簧机构被致动以固定所述消耗部件。
9.根据权利要求1所述的盒,其中所述保持组件包括多个指状物,其中所述保持组件中的所述多个指状物中的每一个被配置成当所述消耗部件被设置在所述盒中时为所述消耗部件提供支撑,所述多个指状物由碳填充的聚醚醚酮材料制成。
10.根据权利要求1所述的盒,其还包括沿着所述盒的后侧的一部分的长度限定的窗,所述窗提供对所述盒的内部的视觉。
11.根据权利要求1所述的盒,其还包括成对的手柄,其中所述成对的手柄中的每一个手柄被限定在所述盒的横向侧上,并且穿过所述外壳结构连接到被设置在相同的横向侧上的来自所述第一成对的支撑柱中的支撑柱和来自所述第二成对的支撑柱中的支撑柱。
12.根据权利要求1所述的盒,其还包括分布在限定于所述盒内的接收平面上的多个载体支撑件,所述多个载体支撑件中的每一个包括基部支撑结构和设置在所述基部支撑结构上的顶帽,所述基部支撑结构、所述顶帽和所述门上的所述保持组件在环载体被接纳在所述盒中时提供用于搁置所述环载体的支撑表面。
13.根据权利要求12所述的盒,其中,具有所述多个载体支撑件的所述接收平面被限定在所述底板上。
14.根据权利要求12所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在设置于所述顶板和所述底板之间的隔板上,所述隔板限定用于分开存储使用过的消耗部件和新的消耗部件的不同区域。
15.根据权利要求12所述的盒,其中,具有所述多个载体支撑件的所述接收平面被限定在所述盒中的所述顶板的下侧表面上。
16.根据权利要求1所述的盒,其中,所述第一成对的支撑柱、所述第二成对的支撑柱、所述底板和所述顶板的部分由铝制成,并且其中所述第一成对的支撑柱、所述第二成对的支撑柱、所述底板和所述顶板的被暴露于所述盒内的周围环境的部分用耐腐蚀涂层处理。
17.根据权利要求1所述的盒,其中所述盒是前开式环形盒(环形盒),并且所述消耗部件是将在所述处理模块中使用的边缘环。
18.一种用于保持将被供应到处理模块或从处理模块返回的消耗部件的盒,所述处理模块被配置为处理半导体衬底,并且所述半导体衬底的处理导致对所述消耗部件的磨损,所述盒包括,
底板,其具有前侧、后侧、第一横向侧和第二横向侧,所述第一横向侧和所述第二横向侧彼此相反地定向;
第一支撑柱,其被设置成邻近所述前侧并且在所述第一横向侧上;
第二支撑柱,其被设置成邻近所述前侧并且在所述第二横向侧上;
第三支撑柱,其被设置成邻近所述后侧并且在所述第一横向侧上;
第四支撑柱,其被设置成邻近所述后侧并且在所述第二横向侧上;
顶板,其设置在所述底板上方并连接到所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个,并且所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个包括分布在所述底板和所述顶板之间并且朝向所述盒的内部区域定向的支撑指状物;
第一硬质止动柱,其平行于所述第三支撑柱设置,以及第二硬质止动柱,其平行于所述第四支撑柱设置,使得所述第一硬质止动柱和第二硬质止动柱朝向所述盒的所述内部区域定向,并且在所述底板和所述顶板之间延伸;
外壳结构,其被配置为包围所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱、所述顶板、以及所述第一硬质止动柱和所述第二硬质止动柱并且连接到所述底板上,所述外壳结构具有邻近所述底板的所述前侧设置的前开口;以及
门,其与所述外壳结构的所述前开口配合,所述门具有保持组件,该保持组件用于在消耗部件设置在所述支撑指状物中的所选择的支撑指状物上方时将所述消耗部件固定在所述盒内,所述保持组件设置在所述门的内侧表面上并且在所述底板和所述顶板之间延伸。
19.根据权利要求18所述的盒,其中所述第一硬质止动柱与所述第三支撑柱间隔开,并且所述第二硬质止动柱与所述第四支撑柱间隔开。
20.根据权利要求18所述的盒,其中所述第一硬质止动柱附接到所述第三支撑柱上,并且所述第二硬质止动柱附接到所述第四支撑柱上。
21.根据权利要求18所述的盒,其中所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个中的所述支撑指状物中的每一个包括限定在顶表面上的凹槽,在所述顶表面上形成支撑垫,使得支撑垫填充所述凹槽并且在所述顶表面上方延伸以在所述消耗部件设置在所述盒中时形成用于接收所述消耗部件的不同的支撑表面。
22.根据权利要求18所述的盒,其中所述第一支撑柱、第二支撑柱、第三支撑柱和第四支撑柱中的每一个中的所述支撑指状物中的每一个包括设置在顶表面上的支撑垫,当所述消耗部件设置在所述支撑指状物中的所选定的支撑指状物上时,所述支撑垫为所述盒中的所述消耗部件提供不同的支撑表面。
23.根据权利要求18所述的盒,其还包括沿着所述盒的后侧的一部分的长度限定的窗,所述窗提供对所述盒的内部的视觉。
24.根据权利要求18所述的盒,其还包括设置在所述盒的第一横向侧上并穿过所述外壳结构附接到所述第一支撑柱和第三支撑柱上的第一手柄,以及设置在所述盒的第二横向侧上并且穿过所述外壳结构附接到所述第二支撑柱和所述第四支撑柱上的第二手柄。
25.根据权利要求18所述的盒,其还包括分布在限定于所述盒内的接收平面上的多个载体支撑件,所述多个载体支撑件中的每一个包括基部支撑结构和设置在所述基部支撑结构上的顶帽,所述基部支撑结构、所述顶帽和所述门上的所述保持组件在环载体被接纳在所述盒中时提供用于搁置所述环载体的支撑表面。
26.根据权利要求25所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在所述底板上。
27.根据权利要求25所述的盒,其中具有所述多个载体支撑件的所述接收平面被限定在设置于所述顶板和所述底板之间的隔板上,所述隔板限定用于分开存储使用过的消耗部件和新的消耗部件的不同区域。
28.根据权利要求18所述的盒,其中所述保持组件包括纵向分布且朝向所述盒的所述内部区域定向的指状物,所述指状物在所述消耗部件设置在所述盒中时为所述所述消耗部件提供支撑。
29.根据权利要求18所述的盒,其中所述顶板附接到所述外壳结构的顶表面的下侧。
CN201610944877.5A 2015-10-22 2016-10-24 前开式环形盒 Active CN107039308B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911281036.0A CN111489984B (zh) 2015-10-22 2016-10-24 前开式环形盒

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US14/920,090 2015-10-22
US15/048,960 2016-02-19
US15/048,960 US10062599B2 (en) 2015-10-22 2016-02-19 Automated replacement of consumable parts using interfacing chambers
US15/138,097 2016-04-25
US15/138,097 US9881820B2 (en) 2015-10-22 2016-04-25 Front opening ring pod

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201911281036.0A Division CN111489984B (zh) 2015-10-22 2016-10-24 前开式环形盒

Publications (2)

Publication Number Publication Date
CN107039308A CN107039308A (zh) 2017-08-11
CN107039308B true CN107039308B (zh) 2020-01-03

Family

ID=58558903

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610944877.5A Active CN107039308B (zh) 2015-10-22 2016-10-24 前开式环形盒

Country Status (5)

Country Link
US (4) US9881820B2 (zh)
JP (2) JP6912179B2 (zh)
KR (2) KR102571229B1 (zh)
CN (1) CN107039308B (zh)
TW (2) TWI698381B (zh)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570331B2 (en) * 2014-07-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer cassette with electrostatic carrier charging scheme
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
TWI579215B (zh) * 2016-10-07 2017-04-21 家登精密工業股份有限公司 垂直固定機構傳送盒及使用其之傳送方法
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7165510B2 (ja) * 2018-05-25 2022-11-04 株式会社ディスコ 搬送用治具及び交換方法
US10790123B2 (en) * 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
TWI675429B (zh) * 2018-09-14 2019-10-21 樂華科技股份有限公司 晶圓載具輸送裝置
US10978326B2 (en) 2018-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Co, , Ltd. Semiconductor wafer storage device
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
JP7126466B2 (ja) 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP2020126949A (ja) * 2019-02-06 2020-08-20 東京エレクトロン株式会社 輸送器具及び治具
KR20200102612A (ko) 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7357453B2 (ja) * 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US10950485B2 (en) * 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
DE102019125819A1 (de) * 2019-04-17 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterverarbeitungsvorrichtung und verfahren unter einsatz einer elektrostatischen entladungs-(esd)- verhinderungsschicht
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
CN112470249B (zh) 2019-05-14 2022-05-27 玛特森技术公司 具有聚焦环调整组件的等离子处理设备
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
WO2020247146A1 (en) * 2019-06-06 2020-12-10 Lam Research Corporation Automated transfer of edge ring requiring rotational alignment
CN112071799A (zh) * 2019-06-10 2020-12-11 中微半导体设备(上海)股份有限公司 一种托爪、气锁室及等离子体处理装置主机平台
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR102090278B1 (ko) * 2019-06-27 2020-03-17 에이피티씨 주식회사 반도체용 부품의 교환을 위한 부품 교환 장치 및 이에 의한 부품의 교환 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7372825B2 (ja) * 2019-11-28 2023-11-01 株式会社ディスコ 運搬システム、及び消耗品ボックス
US11370114B2 (en) * 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US20230030233A1 (en) * 2020-01-06 2023-02-02 Lam Research Corporation Autoconfiguration of hardware components of various modules of a substrate processing tool
WO2021150548A1 (en) * 2020-01-23 2021-07-29 Lam Research Corporation Edge ring transfer with automated rotational pre-alignment
JP7471106B2 (ja) * 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
JP7378318B2 (ja) * 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
US20210296149A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Enclosure system shelf
US20210335651A1 (en) * 2020-04-23 2021-10-28 Adaptive Plasma Technology Corp. Apparatus for exchanging an article of a semi-conductor process and a method for exchanging the article using the same
JP7419154B2 (ja) 2020-05-01 2024-01-22 東京エレクトロン株式会社 部品交換システムおよび部品交換装置
JP7467611B2 (ja) * 2020-05-01 2024-04-15 東京エレクトロン株式会社 加工システム
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
TW202232624A (zh) * 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
TW202218027A (zh) * 2020-10-27 2022-05-01 瑞士商伊斯美加半導體控股公司 處理晶圓之總成及方法
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置
KR102642683B1 (ko) * 2021-02-09 2024-03-04 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220347831A1 (en) * 2021-04-30 2022-11-03 Divergent Technologies, Inc. Mobile parts table
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220156138A (ko) 2021-05-17 2022-11-25 세메스 주식회사 링 캐리어 및 기판 처리 시스템
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901207B2 (en) * 2021-06-18 2024-02-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor wafer processing system and method
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102614918B1 (ko) * 2021-06-28 2023-12-20 세메스 주식회사 반송 어셈블리 및 이를 가지는 기판 처리 장치
KR102491002B1 (ko) * 2021-06-28 2023-01-27 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11817724B2 (en) 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
CN114823441B (zh) * 2022-06-28 2022-09-02 深圳市星国华先进装备科技有限公司 一种针测机传输机构晶圆防滑出保护装置
CN115188698B (zh) * 2022-09-06 2022-12-09 上海果纳半导体技术有限公司武汉分公司 晶圆缓存机构及晶圆传输装置
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000030951A (ko) * 1998-10-20 2000-06-05 윤종용 반도체 제조 장치
US7279041B2 (en) * 2002-10-08 2007-10-09 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
CN101981684A (zh) * 2008-01-13 2011-02-23 诚实公司 用于大直径晶片运输的方法和设备
JP4857239B2 (ja) * 2007-10-25 2012-01-18 株式会社トプコン ウェハ保持装置
CN104733354A (zh) * 2013-12-23 2015-06-24 朗姆研究公司 用于改善的晶片装卸的微结构

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4930634A (en) * 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
US6776289B1 (en) * 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6267245B1 (en) * 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
US7121414B2 (en) * 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2004288727A (ja) 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
KR101229132B1 (ko) 2003-07-11 2013-02-01 테크-셈 아크티엔게젤샤프트 전자부품들을 제조하는 동안 판형상의 기질들을 저장하고 전달하기 위한 장치
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
US20090194456A1 (en) * 2006-07-07 2009-08-06 Entegris, Inc. Wafer cassette
KR101887110B1 (ko) 2007-05-18 2018-08-09 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
JP4921429B2 (ja) * 2008-07-03 2012-04-25 信越ポリマー株式会社 基板収納容器
US8652260B2 (en) 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US20100099342A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Pad conditioner auto disk change
JP2010153585A (ja) 2008-12-25 2010-07-08 Ebara Corp 基板保持具および基板保持方法
TWI346638B (en) * 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP5363277B2 (ja) * 2009-11-11 2013-12-11 信越ポリマー株式会社 基板収納容器、及び支持部材
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20170236737A1 (en) * 2010-10-20 2017-08-17 Entegris, Inc. Wafer container with door guide and seal
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
TWI494174B (zh) 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
JP6041699B2 (ja) * 2013-02-20 2016-12-14 信越ポリマー株式会社 基板収納容器
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
TWI674168B (zh) * 2015-07-27 2019-10-11 美商應用材料股份有限公司 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000030951A (ko) * 1998-10-20 2000-06-05 윤종용 반도체 제조 장치
US7279041B2 (en) * 2002-10-08 2007-10-09 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
JP4857239B2 (ja) * 2007-10-25 2012-01-18 株式会社トプコン ウェハ保持装置
CN101981684A (zh) * 2008-01-13 2011-02-23 诚实公司 用于大直径晶片运输的方法和设备
CN104733354A (zh) * 2013-12-23 2015-06-24 朗姆研究公司 用于改善的晶片装卸的微结构

Also Published As

Publication number Publication date
US20180068879A1 (en) 2018-03-08
TWI698381B (zh) 2020-07-11
TWI739470B (zh) 2021-09-11
US20180040492A1 (en) 2018-02-08
US10062589B2 (en) 2018-08-28
US10062590B2 (en) 2018-08-28
KR20170054253A (ko) 2017-05-17
KR20230128248A (ko) 2023-09-04
JP6912179B2 (ja) 2021-08-04
US9881820B2 (en) 2018-01-30
CN111489984A (zh) 2020-08-04
JP7383665B2 (ja) 2023-11-20
JP2021168409A (ja) 2021-10-21
CN107039308A (zh) 2017-08-11
TW202035244A (zh) 2020-10-01
US20180019142A1 (en) 2018-01-18
JP2017098540A (ja) 2017-06-01
US20170117170A1 (en) 2017-04-27
KR102571229B1 (ko) 2023-08-24
TW201726509A (zh) 2017-08-01

Similar Documents

Publication Publication Date Title
CN107039308B (zh) 前开式环形盒
CN107068586B (zh) 使用连接的室自动更换消耗部件
CN107039307B (zh) 用连接等离子体处理系统的端部执行器自动更换消耗部件
CN110828337A (zh) 在原位从半导体处理模块移除和更换消耗部件的系统
CN113811987A (zh) 自动化处理模块环定位及替换
CN111489984B (zh) 前开式环形盒

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant