TW201726509A - 前開式環狀傳送盒 - Google Patents

前開式環狀傳送盒 Download PDF

Info

Publication number
TW201726509A
TW201726509A TW105133988A TW105133988A TW201726509A TW 201726509 A TW201726509 A TW 201726509A TW 105133988 A TW105133988 A TW 105133988A TW 105133988 A TW105133988 A TW 105133988A TW 201726509 A TW201726509 A TW 201726509A
Authority
TW
Taiwan
Prior art keywords
support
consumable
disposed
pair
transfer case
Prior art date
Application number
TW105133988A
Other languages
English (en)
Other versions
TWI698381B (zh
Inventor
史考特 翁
達蒙 蒂龍 杰納堤
德瑞克 約翰 威特科威克
艾立克斯 派特森
理查 H 古德
奧斯汀 恩戈
馬克 伊斯托克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/920,090 external-priority patent/US20170115657A1/en
Priority claimed from US15/048,960 external-priority patent/US10062599B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201726509A publication Critical patent/TW201726509A/zh
Application granted granted Critical
Publication of TWI698381B publication Critical patent/TWI698381B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Packaging Frangible Articles (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種用以更換製程模組內之易損零件的傳送盒包含基部板,該基部板具有前側、背側、第一側邊、及第二側邊。第一支撐柱係設置於接近前側的第一側邊上。第二支撐柱係設置於接近前側的第二側邊上。第三支撐柱係設置於接近背側的第一側邊上,且第四支撐柱係設置於接近背側的第二側邊上。支撐柱的每一者包含縱向分佈、且指向內的複數支撐伸出部。第一硬停止柱(hard stop column)係平行於第三支撐柱而設置、且第二硬停止柱係平行於第四支撐柱而設置。連接於該基部板的殼結構 (shell structure)係配置成容納第一、第二、第三、及第四支撐柱、頂部板、以及第一及第二硬停止柱,且包含設置於該基部板之前側的前開口。門體係與該前開口匹配,且包含固持組件,當易損零件被接收於傳送盒中時,該固持組件用以固定傳送盒中的易損零件。

Description

前開式環件傳送盒
本實施例相關於用於製造半導體晶圓的叢集工具組件,且更特別地相關於從叢集工具組件達成易損零件之傳送及移除的更換站。
在製造製程中用以產生半導體晶圓的典型叢集工具組件包含一或更多製程模組,且每一製程模組係用以執行特定的製造操作,例如清理操作、沉積、蝕刻操作、淋洗操作、乾燥操作等。用以執行該等操作的化學物及/或處理條件對持續曝露於製程模組內之嚴酷條件的製程模組的一些硬體元件造成損傷。該等受損或磨損的硬體元件需定期且快速更換,以確保受損硬體元件不使製程模組中的其他硬體元件曝露於嚴酷的條件,且確保半導體晶圓的品質。例如,鄰近製程模組內之半導體晶圓而設置的邊緣環由於其位置、以及持續曝露於離子轟擊而可能受損,該離子轟擊係來自蝕刻操作中所使用之製程模組內所產生的電漿。受損邊緣環需快速更換,以確保受損邊緣環不使如夾持部之下方硬體元件曝露於嚴酷的製程條件。可進行更換的硬體元件在本文中稱為易損零件。
受損之易損零件的現有更換過程需要受訓的服務技術員進行一系列步驟。技術員需要使叢集工具組件離線、泵抽/沖洗該叢集工具組件以避免曝露於有毒殘留物、打開叢集工具、移除受損之易損零件、以及用新的易損零件替換受損之易損零件。一旦已更換受損零件,技術員然後必須清理叢集工具、將叢集工具組件泵抽至真空、以及調節叢集工具組件以進行晶圓處理。在一些情形中,調節可涉及藉由在半導體晶圓上運行測試製程而鑒定該叢集工具組件、取該半導體晶圓的橫剖面並分析該橫剖面,以確保製程操作的品質。更換受損的易損零件係非常複雜且耗時的過程,需要叢集工具組件為離線狀態持續顯著一段時間,從而影響半導體製造商的利潤率。
本發明的實施例在該上下文中提出。
本發明的實施例定義更換站,該更換站可耦接於叢集工具組件,且係設計成移除及更換設置於叢集工具組件內之製程模組的受損硬體元件(例如,邊緣環),而無需破真空(亦即,使叢集工具組件曝露於大氣條件)。可進行更換之受損硬體元件在本文中亦稱為易損零件。叢集工具組件包含一或更多製程模組,每一製程模組係配置成執行半導體晶圓處理操作。當製程模組中的易損零件曝露於該製程模組中的化學物及製程條件時,易損零件受損並需要以適時方式進行更換。受損之易損零件可不打開叢集工具組件的情況下、藉由將更換站安裝於叢集工具組件而更換。更換站包含零件緩衝部,該零件緩衝部具有用以儲存新及舊易損零件的隔室。更換站及(複數)製程模組係耦接至控制器,以使控制器能夠在(複數)製程模組維持於真空狀態時協調更換站及(複數)製程模組之間的存取,以容許易損零件的更換。
為提供對受損之易損零件的簡單存取,製程模組可設計成包含升降機構。當接合時,升降機構係配置成容許將易損零件移動至上升位置,使得叢集工具組件內可用的自動機器可用以從製程模組獲取並取回已上升的易損零件。提供替代易損零件至製程模組,且使用升降機構來接收該易損零件,以及使該易損零件降低至製程模組中的定位。
藉由提供更換站以存取易損零件,消除了使叢集工具組件開放於大氣條件以存取受損之易損零件的需要。在一些實施例中,更換站係維持於真空狀態,從而消除易損零件更換期間污染的風險。因此,在受損之易損零件更換後,對製程模組進行再調節以使其呈主動操作狀態所需的時間實質上減少了。進一步講,自動機器及升降機構容許易損零件的更換,而在易損零件的取回及更換期間無誤傷製程模組之任何硬體元件的風險。
本揭露內容的實施例提供一種叢集工具組件,其可用以從製程模組移除及更換易損零件,而無需使叢集工具組件開放於大氣條件。因為不打開叢集工具組件,故該叢集工具組件無需進行沖洗或泵抽。因此,調節及鑒定叢集工具組件所需的時間顯著減少。
更換站可設置於三個不同位置處。在一位置處,具有抽真空及直接從製程模組收回易損零件之能力的滾動式更換站(roll-up replacement station)係暫時直接安裝於叢集工具組件內的製程模組。從更換站取出新的易損零件,並將其直接定位於製程模組中。在該位置處,更換站將包含自動機器、及用以容納舊及新易損零件的零件緩衝部。隔離閥將保留於製程模組上。為進行保養動作,僅製程模組而非整個叢集工具組件將必須為離線狀態,故該配置係期望的。
在第二位置處,更換站係永久安裝於真空轉移模組(VTM, vacuum transfer module),且VTM內的自動機器係用以從製程模組移除及更換易損零件。在此位置處,更換站不需專用自動機器,而VTM自動機器的末端作用器將處理半導體晶圓及易損零件兩者的移動。
在第三位置處,更換站係暫時或永久安裝於大氣轉移模組(ATM, atmospheric transfer module),且ATM的自動機器、真空轉移模組(VTM)的自動機器係用以從製程模組移除及更換易損零件。在此位置處,更換站將無需專用的自動機器臂,而VTM及ATM自動機器末端作用器、以及設置於ATM及VTM之間的負載鎖腔室將處理半導體晶圓及易損零件兩者。
製程模組包含易損零件升降機構。易損零件通常係例如邊緣環的環件。將必須使易損零件升起,使得自動機器可輕易獲取該易損零件並將其抽出。在一實施例中,升降機構包含設有升降銷的真空密封致動器。在另一實施例中,致動器係維持於真空狀態。在通常操作情況下,升降銷保持為收回狀態,且不與易損零件接觸。當易損零件需進行更換時,致動器使升降銷伸出並使易損零件上升。自動機器使末端作用器伸入製程模組,使得末端作用器(例如,附接於自動機器之抹刀狀(spatula-shaped)或手指狀(finger-shaped)部件)在易損零件下方滑動。然後致動器使升降銷收回,從而將易損零件定位於末端作用器上。將易損零件拉回至更換站中。相反的順序係用以將新易損零件定位於製程模組中。
在一實施例中揭露用以更換製程模組之易損零件的傳送盒。該傳送盒包含基部板、頂部板、第一對支撐柱、第二對支撐柱、第一對硬停止柱、第二對硬停止柱、殼結構、及門體。基部板具有藉由前側、背側、及延伸於該前側及背側之間的兩側邊而定義的外周。第一對支撐柱的每一者係沿著兩側邊的每一者而定向、且接近基部板的前側而設置。第一對支撐柱的每一者延伸於頂部板及基部板之間,並且包含沿著第一對支撐柱之分別支撐柱縱向分佈、且相對於外周而面向內的複數支撐伸出部。第二對支撐柱的每一者係沿著兩側邊的每一者而定向、且接近背側板而設置。第二對支撐柱的每一者延伸於頂部板及基部板之間,且包含沿著第二對支撐柱之分別支撐柱縱向分佈、且相對於外周而面向內的複數支撐伸出部。第一硬停止柱係接近第二對支撐柱的第一者而設置。第二硬停止柱係接近第二對支撐柱的第二者而設置。第一及第二硬停止柱的每一者延伸第二對支撐柱的長度,使得第一及第二硬停止柱的邊緣表面相對於外周而面向內。傳送盒的殼結構係配置成附接於基部板,且容納第一對支撐柱,第二對支撐柱、頂部板、及第一及第二硬停止柱。殼結構具有沿基部板之前側而設置的前開口。門體係配置成與殼結構的前開口、及基部板的前側相匹配。門體係配置成具有設置於門體之內側表面上、且延伸於基部板及頂部板之間的固持組件,使得當易損零件被設置於傳送盒中時,該易損零件安放於第一及第二對支撐柱的支撐伸出部上。當門體閉合時,固持組件使易損零件抵向第一及第二硬停止柱而固定。
在另一實施例中揭露用以固持待供應之易損零件、或從製程模組取回之易損零件的傳送盒。傳送盒包含基部板、第一支撐柱、第二支撐柱、第三支撐柱、第四支撐柱、頂部板、第一硬停止柱、第二硬停止柱、殼結構、及門體。基部板係藉由前側、背側、第一側邊、及第二側邊而定義。第一及第二側邊係定向成彼此相向。第一支撐柱係設置成接近前側、且在第一側邊上。第二支撐柱係設置成接近前側、且在第二側邊上。第三支撐柱係設置成接近背側、且在第一側邊上。第四支撐柱係設置成接近背側、且在第二側邊上。頂部板係設置於基部板上方,且係連接於第一、第二、第三、及第四支撐柱的每一者。第一、第二、第三、及第四支撐柱的每一者包含分佈於基部板及頂部板之間、且朝向傳送盒之內部區域而定向的支撐伸出部。第一硬停止柱係平行於第三支撐柱而設置,且第二硬停止柱係平行於第四支撐柱而設置,使得第一及第二硬停止柱係定向成朝向傳送盒的內部區域、且延伸於基部板及頂部板之間。殼結構係配置成連接於基部板,並且容納容納第一、第二、第三、及第四支撐柱、頂部板、第一硬停止柱、及第二硬停止柱。殼結構具有接近基部板之前側而設置的前開口。門體與殼結構的前開口相匹配,並且具有當易損零件被設置於支撐伸出部之選擇者上方時用來固定傳送盒中之該易損零件的固持組件。固持組件係設置於門體的內側表面上、且延伸於基部板及頂部板之間。
本發明的其他實施態樣結合隨附圖式將從以下詳細說明而變得明白,從而藉由範例之方式說明本發明的原理。
本揭露內容之實施例定義用以處理半導體晶圓的叢集工具組件。叢集工具組件包含用以處理半導體晶圓的製程模組。更換站係安裝於叢集工具組件。更換站係維持於真空狀態,以在無污染製程模組或叢集工具組件之風險的情況下,提供更換易損零件之必要的製程條件。更換站內專用的自動機器或叢集工具組件內可用的自動機器係用以從製程模組取回舊易損零件,並以新易損零件替換。
在一些實施例中,更換站可直接安裝於叢集工具組件的製程模組,其中易損零件需進行更換。在如此之實施例中,更換站係直接耦接至製程模組。定義於更換站中的自動機器係用以取回及更換易損零件。
在一些其他的實施例中,更換站可直接安裝於叢集工具組件內的真空轉移模組 (VTM)。更換站係安裝成維持叢集工具組件的均勻性及對稱性。用以移動半導體晶圓進出製程模組之VTM的自動機器亦用以取回及更換設置於製程模組中的易損零件。
在一些其他的實施例中,更換站可直接安裝於叢集工具組件的大氣轉移模組。在如此之實施例中,大氣轉移模組的自動機器與叢集工具組件之真空轉移模組的自動機器協作,以從製程模組存取及更換易損零件。更換站係設計成安裝於叢集工具組件的不同模組,並在無需將叢集工具組件開放於大氣條件以存取易損零件的情況下達成易損零件的更換。
叢集工具組件的習知設計需打開叢集工具組件,以存取及更換製程模組內的易損零件。叢集工具組件的打開需要使叢集工具組件離線、以及將叢集工具組件沖洗至大氣條件,以容許對製程模組進行存取。一旦打開叢集工具組件,受訓技術員將手動從製程模組移除及更換易損零件。一旦更換易損零件,必定要調節叢集工具組件,使得半導體晶圓可進行處理。因為半導體晶圓係貴重的產品,故在調節叢集工具組件時需格外小心。該調節將需要清理叢集工具組件、將叢集工具組件泵抽至真空、調節叢集工具組件、及利用試運轉鑒定叢集工具組件。該等步驟的每一者需要顯著的時間及努力。除調節叢集工具組件之每一步驟所需的時間外,在叢集工具組件的調節期間,在一或更多步驟遇到問題時,還可能耗費額外的延遲。叢集工具組件的調節期間通常遇到的一些問題可包含:更換期間易損零件的失準、更換受損或舊易損零件時對新易損零件的損傷、取回或更換易損零件期間對製程模組中其他硬體元件的損傷、叢集工具組件在泵抽後未達成真空、叢集工具組件未達成製程性能等。基於每一問題的嚴重性,可能不得不花費額外的時間及努力,這進一步導致使叢集工具上線的延遲,從而直接影響製造商的利潤率。
將更換站安裝於叢集工具組件、以及透過更換站存取易損零件節省了維護該叢集工具組件所需的顯著量的時間及努力。藉由使用叢集工具組件內可用的自動機器以更換易損零件,使對易損零件、製程模組、及/或叢集工具組件造成損傷的風險最小化,以及藉由維持更換站於真空狀態從而避免使叢集工具組件的內側曝露於外側大氣,使污染的風險最小化。利用自動機器,吾人可達成製程模組中易損零件之較精確的對準,同時使對製程模組之其他硬體元件的損傷最小化。因此,調節叢集工具組件所需的時間大幅減少。易損零件的適時更換增加定義於半導體晶圓中之半導體元件的品質及良率。
圖1描繪一實施例中叢集工具組件100的簡化示意圖,其係用以處理半導體晶圓。叢集工具組件100包含複數模組,以容許半導體晶圓在受控制環境中、半導體晶圓最少曝露於環境的情況下受到處理。在一實施例中,叢集工具組件100包含大氣轉移模組(ATM)102、常見真空轉移模組(VTM)104、及一或更多製程模組112~120。ATM 102係操作於環境(亦即,大氣)條件下,且與晶圓載具(未顯示)介接,以將半導體晶圓帶入所整合之叢集工具組件100進行處理,以及在處理後用以返還半導體晶圓。ATM 102可包含自動機器,以將半導體晶圓從晶圓載具移動至VTM 104。因為ATM 102係處於大氣條件,故該自動機器可為乾式自動機器(dry robot)的一部分。
VTM 104係操作於真空下,以在半導體晶圓從一製程模組移入另一製程模組時,使半導體晶圓表面對大氣空氣的曝露最小化。因為VTM 104係操作於真空下,且ATM 102係操作於大氣條件下,故負載鎖腔室110係定位於ATM 102及VTM 104之間。負載鎖腔室110提供受控制的介接部,以容許半導體晶圓從ATM 102轉移進入VTM 104。在此實施例中,ATM 102內的自動機器可用以將半導體晶圓放置於負載鎖腔室110中。可於VTM 104內設置單獨的自動機器,以從負載鎖腔室110取出半導體晶圓,並轉移該半導體晶圓進出製程模組(112~120)。在一些實施例中,負載鎖腔室亦由於其位置而稱為「介接腔室」。
一或更多製程模組112~120係與VTM 104整合,以容許半導體晶圓在由VTM 104所維持的受控制環境中從一製程模組移至另一製程模組。在一些實施例中,製程模組112~120可均勻分佈於VTM 104周圍,且係用以執行不同的製程操作。使用製程模組112~120可實施的一些製程操作包含蝕刻操作、淋洗、清理、乾燥操作、電漿操作、沉積操作、電鍍操作等。舉例而言,製程模組112可用以執行沉積操作、製程模組114可用以執行清理操作、製程模組 116可用以執行第二沉積操作、製程模組118可用以執行蝕刻或移除操作等。具有受控制環境的VTM 104容許在無污染風險的情況下轉移半導體晶圓進出製程模組112~120,且VTM 104內的自動機器有助於轉移半導體晶圓進出與VTM 104整合的諸多製程模組112~120。
在一實施例中,更換站108係安裝於叢集工具組件100內的製程模組(例如,製程模組112~120的任何一者)。在圖1中所描繪之例示性實施例中,更換站108係安裝於製程模組118。當製程模組112~120之任何一者中需更換易損零件時,更換站可配置成安裝於其他製程模組112~120的任何者。例如,製程模組118可用以執行蝕刻操作。更換站108係用以取回及更換製程模組118中所使用的易損零件。更換站108包含如泵浦機構的機構,(未顯示)以在更換站108被安裝於製程模組時泵抽更換站108並將更換站108維持於真空狀態。
在一實施例中,當製程模組(112~120)需更換易損零件時,更換站108可透過隔離閥耦接至該製程模組(亦即,製程模組112~120的任何一者),且當易損零件已成功更換時,更換站108可從製程模組(112~120)解耦。在該實施例中,更換站108係如下設計的可移動模組單元:被暫時安裝於製程模組以完成所需操作(例如,易損零件的更換)、一旦完成製程模組處所需的操作便被卸除、然後被返還或移動至執行更換易損零件之所需操作的不同製程模組處。例如,隔離閥容許更換站108維持於真空狀態。
更換站108包含接收及容納易損零件的零件緩衝部。在一些實施例中,零件緩衝部可包含複數隔室,用以接收自製程模組取回之舊的易損零件及待傳送至製程模組之新的易損零件。在一實施例中,更換站108中的開口(更換站108係於該開口處安裝於隔離閥)係定尺寸成容許易損零件移動進出更換站108。
易損零件係製程模組內的硬體零件,其由於持續曝露於製程模組內的製程條件而需進行更換。由於易損零件持續曝露於半導體晶圓處理期間所使用的嚴酷製程條件,故該易損零件需加以密切監控,以判定何時損傷已超過可接受位準,使得該易損零件可快速進行更換。例如,在蝕刻製程模組中,邊緣環係設置成鄰近在夾持組件上所安裝之半導體晶圓,以延伸半導體晶圓的製程區域。在蝕刻操作期間,邊緣環曝露於來自電漿的離子轟擊,該電漿係用以在半導體晶圓的表面上形成特徵部。經過一段時間,邊緣環可能由於持續曝露而受損。當邊緣環受損超過可接受位準,邊緣環需進行更換,使得邊緣環的損傷不會使其他下方元件曝露或以其他方式不利地影響半導體晶圓處理。
在典型蝕刻操作中,當半導體晶圓被接收於製程模組中時,來自電漿的離子以垂直於電漿鞘區(該電漿鞘區在定義於半導體晶圓上方的製程區域中形成)的角度撞擊半導體晶圓表面。當邊緣環的覆層由於離子轟擊而磨損時,半導體晶圓的邊緣係曝露的,導致電漿鞘區沿半導體晶圓邊緣的輪廓圍繞。因此,撞擊半導體晶圓表面的離子沿著電漿鞘區的輪廓,從而導致朝向半導體晶圓表面之邊緣形成傾斜特徵部。該等傾斜特徵部將影響形成於半導體晶圓上之半導體元件的整體良率。進一步講,當邊緣環的覆層磨損時,舉例而言,例如夾持部的下方元件可能曝露於離子,從而損傷夾持部表面。為改善良率以及避免對任何下方元件的損傷,需定期更換邊緣環(亦即,易損零件)。
安裝於製程模組118的更換站108將容許易損零件(亦即,邊緣環)輕易進行更換,而不破壞製程模組中的真空狀態。在一實施例中,更換站108包含專用自動機器,其係配置成使末端作用器伸入製程模組(亦即,製程模組118)以取回需進行更換的易損零件、以及傳送新的易損零件。製程模組內之升降機構提供對易損零件的存取。更換站108的自動機器可用於將新的易損零件定位於升降機構的升降銷上,且該升降機構將使該新的易損零件安裝於製程模組內的位置處。
在一實施例中,為幫助更換易損零件,更換站係透過第一隔離閥安裝於製程模組(例如,製程模組112~120的任何一者或每一者)。來自更換站的自動機器係用以從製程模組存取及取回易損零件、將其移入定義於更換站中的零件緩衝部、以及提供來自零件緩衝部的替代易損零件。在一實施例中,第一隔離閥可操作性地連接至控制器,以協調製程模組中之易損零件的取回及更換。
除了使用第一隔離閥將更換站安裝於製程模組外,製程模組還可使用第二隔離閥而耦接至叢集工具組件100的真空轉移模組(VTM)。當接合時,第二隔離閥係配置成將製程模組(112~120)與叢集工具組件100的其餘部分隔離,使得可在不影響叢集工具組件100之其他製程模組之操作的情況下,輕易實施製程模組內易損零件的更換。設置第二隔離閥容許使特定的製程模組(112~120的任何一者)離線,以此代替使整個叢集工具組件100離線,同時可容許叢集工具組件10內之製程模組(112~120)的其餘者持續處理半導體晶圓。進一步講,因為僅使一個特定製程模組(例如,112~120之任一者)離線來更換(複數)易損零件,故將製程模組(112~120)及叢集工具組件100恢復至完全操作狀態將花費顯著少的時間。因此,調節及鑒定叢集工具組件100之操作所花費的時間便短得多。VTM 104的自動機器可用以在半導體晶圓處理期間移動半導體晶圓進出製程模組(112~120)。
為容許更換站108的自動機器從製程模組(112~120)取回易損零件,該易損零件必須為可輕易存取。在一實施例中,製程模組(112~120)包含對需更換之易損零件提供存取的升降機構。在一實施例中,升降機構可包含可進行延伸的升降銷,以將易損零件移至上升位置。使更換站108中之自動機器的末端作用器伸入製程模組(112~120)並在易損零件下方滑動。然後升降機構將升降銷收回,使易損零件留在自動機器的末端作用器上。然後將載有易損零件的末端作用器從製程模組(112~120)收回至更換站108中。使用自動機器之末端作用器將新的易損零件移至製程模組(112~120),以及伸出升降機構的升降銷以接收新的易損零件。升降機構的升降銷一起動作,以使新的易損零件在製程模組(112~120)中的位置處對準。使用升降機構取回及更換易損零件的過程將參考圖4更詳細加以討論。
在一些實施例中,可能不得不使整個叢集工具組件100離線,以更換易損零件。舉例而言,這在多於一個製程模組(112~120)內多於一個易損零件需進行更換時可能發生。即使在如此之實施例中,使叢集工具組件100離線、將更換站安裝於(複數)製程模組(112~120)、移除及更換易損零件、調節及鑒定叢集工具組件100的時間仍可短得多,這是因為更換站及(複數)製程模組係維持於真空狀態。因此,叢集工具組件100的製程條件(亦即,真空狀態)在易損零件的更換期間不受不利影響。進一步講,因為更換係使用自動機器完成,故易損零件之較精準的取回及更換可加以工程設計,從而避免對易損零件及/或製程模組(112~120)造成損傷的風險。
在一些實施例中,製程模組之安裝更換站之邊側處的開口可定尺寸為使得易損零件可輕易適合通過該開口。進一步講,製程模組(112~120)中的開口可設計成使得在製程模組(112~120)中、及整體叢集工具組件100中可能發生的任何不對稱問題最小化。
參考圖1所討論的諸多實施例及實施方式容許在製程模組(112~120)中之易損零件需進行更換時將更換站108暫時安裝於製程模組(112~120),以及在完成易損零件之更換時將更換站108收回。更換站108可包含單一的零件緩衝部(其具有兩個不同的容納區域,以接收及容納舊及新的易損零件) ,或者具有不同的零件緩衝部(用以分開容納舊及新的易損零件)。設置於更換站108中的自動機器、及(複數)零件緩衝部容許易損零件直接往返製程模組(112~120)的傳送及取回。製程模組(112~120)中的隔離閥容許僅使製程模組(112~120) 離線而非整個叢集工具組件100離線。
圖2描繪叢集工具組件100的替代實施例,其中更換站108係配置成安裝於設置在叢集工具組件100中的真空轉移模組(VTM)104,以此代替安裝於製程模組(112~118)。VTM 104包含自動機器,其係在半導體晶圓的處理期間用以將半導體晶圓從負載鎖腔室110移至製程模組112~118、以及將半導體晶圓移動進出與VTM 104所整合的一或更多製程模組112~118。自動機器包含用以接收、固持、以及在不同製程模組之間移動半導體晶圓的末端作用器。在VTM 104中定義單獨的開口,以容許安裝更換站108,使得更換站108與定義在VTM 104中的開口對準。在對稱性重要的情形中,VTM 104中的開口係定義成保留VTM 104及叢集工具組件100的均勻性及對稱性。例如,可在VTM 104中定義與該開口相反之具有虛擬門體的虛擬開口,以維持VTM 104的均勻性及對稱性。或者,若在VTM 104中已存在虛擬開口,並且開口係足夠大以移動易損零件,則更換站108可安裝於該虛擬開口,以繼續維持叢集工具組件100的均勻性及對稱性。
典型地,VTM 104中的開口係定尺寸成適配半導體晶圓及用來移動該半導體晶圓進出VTM 104的載體/自動機器。然而,大於半導體晶圓的易損零件可能不適合通過。例如,當半導體晶圓被接收於製程模組(112~118)中時,設置成圍繞該半導體晶圓的邊緣環比該半導體晶圓寬。在如此之情形中,在不對開口重新進行工程設計情況下,邊緣環整體可能無法適合通過針對移動半導體晶圓而設計的開口。在一些情形中,因為可能影響叢集工具組件的對稱性,所以對VTM 104之開口重新進行工程設計可並非為可行的選擇。因此,作為對VTM 104之開口重新進行工程設計、及在叢集工具組件100中導致不對稱性的替代,可使用分段易損零件,使得每一段可適合通過開口。例如,用以圍繞製程模組中之半導體晶圓的邊緣環可設計成由兩個或更多部件組成的分段邊緣環,每一部件係設計成適合通過VTM 104及更換站108的開口。在此範例中,可個別地抽出及更換分段的邊緣環。
更換易損零件時,特別是更換具複數部件之分段易損零件時,易損零件的每一段必須在製程模組內適當對準並定位,使得複數段之間不定義間隙。應注意,在例如高縱橫比蝕刻操作的製程操作中,存在於蝕刻製程模組之任何元件之間的間隙將導致離子通過並損傷任何下方元件。例如,高縱橫比蝕刻器模組中邊緣環中的間隙將導致高能量離子流過通往下方夾持部(該邊緣環可設置於該下方夾持部上),從而損傷夾持部的表面。為防止形成間隙,分段易損零件可設計成確保在製程模組中進行安裝時,每一段與其他段緊密配合。因此,在一些實施例中,分段或具複數部件之易損零件可設計成具有互鎖段。或者,易損零件可設計成具有重疊段,以防止離子或處理氣體/化學物找到通往下方元件的直接流動路徑。例如,在一些實施例中,易損零件可由可整體地或分段地適合通過開口(定義於VTM 104及更換站之間)的內部件及外部件組成,且該易損零件可以一部件重疊於另一部件的方式安裝於製程模組中,從而防止間隙形成。易損零件的設計上可實施其他變化,以使易損零件能夠被移動進出VTM 104,而不必對開口(該開口係設計成維持叢集工具組件的對稱性)重新進行工程設計。
在一實施例中,作為在更換站108中使用專用自動機器的替代,在VTM 104中用以移動半導體晶圓進出製程模組的自動機器亦可用於易損零件的取回及更換。在一些實施例中,用以在複數製程模組之間移動半導體晶圓之自動機器的末端作用器亦用以接收、固持、以及在製程模組112~118及更換站108之間移動易損零件。在其他實施例中,VTM 104的自動機器係設計成具有不同的末端作用器,用以移動易損零件及半導體晶圓。末端作用器通常係定義於自動機器中用以取回、支撐、固持、拿取、抬升、移動、或旋轉可移動零件(例如,半導體晶圓、或易損零件)的部件。可移動零件可被固持在任何方向平面上。可設置單獨的末端作用器,以個別地移動易損零件及半導體晶圓,以防止半導體晶圓的污染。
在替代實施例中,更換站108中的專用自動機器可與VTM 104之自動機器一起運作,以抽出及更換製程模組中的易損零件。例如,VTM 104之自動機器可用以從製程模組抽出舊的易損零件,以及將其移至定義於VTM 104與更換站108之間的暫存區域(staging area)。更換站108的專用自動機器可用以將舊的易損零件從暫存區域移入零件緩衝部。類似地,更換站108的專用自動機器可用以將新的易損零件從更換站108的零件緩衝部移入暫存區域,且VTM 104之自動機器可用以將新的易損零件從暫存區域移至製程模組。在一實施例中,暫存區域可具有用以接收舊易損零件的第一區域、以及用以接收新易損零件的第二區域。製程模組(112~118)中的升降機構係用以將新的易損零件安裝在製程模組(112~118)中。
圖2所描繪之實施例中之更換站108的設計類似於參考圖1所討論之更換站108的設計。例如,圖2之更換站108包括例如泵浦的機構,以在將更換站108安裝於在VTM 104時,將更換站108維持於真空狀態。將更換站108的製程條件維持得與VTM 104類似(亦即,真空狀態)將確保VTM 104內的製程條件在易損零件的更換期間不受不利影響。一或更多零件緩衝部係定義於更換站108中,以接收及固持舊及新的易損零件。
圖2中所描繪之製程模組(118)的設計稍微不同於在圖1中所定義的製程模組(118)。其中,圖2中所描繪之製程模組不包含第二開口。例如,因為更換站108係直接安裝於VTM 104,且從更換站108至製程模組(118)的存取係透過VTM 104提供,故製程模組(118)無需用來安裝更換站108的第二開口。此外,單一的隔離閥係用以在易損零件的更換期間透過VTM 104提供對製程模組(118)的存取,以及用以在半導體晶圓的處理期間隔離製程模組。應注意,更換站108係維持於真空狀態,使得易損零件可在對叢集工具組件100中之製程條件無不利影響的情況下輕易進行更換。因此,調節及鑒定叢集工具組件100以處理半導體晶圓的動作可在較短時間完成,這是因為無需沖洗/泵抽過程,且其他鑒定步驟係在較短時間內進行。在一些實施例中,更換站108可永久地安裝於VTM 104。
圖3描繪叢集工具組件100的另一實施例,其中更換站108係安裝於大氣轉移模組(ATM)102。例如,叢集工具組件100之ATM 102中用以將半導體晶圓從晶圓載具移至負載鎖腔室110的自動機器亦用以移動易損零件往返更換站108。在此實施例中,安裝於ATM 102的更換站108係維持於與ATM 102相同的大氣條件。因此,更換站108不需要使更換站108維持於真空狀態的泵浦或類似機構。在一些實施例中,更換站108可永久地安裝於ATM 102。
除ATM 102外,圖3中所描繪之叢集工具組件100還包括真空轉移模組(VTM)104及與VTM 104整合的複數製程模組112~120。負載鎖腔室110係定義於ATM 102 與VTM 104之間,且充當將半導體晶圓從ATM 102移至VTM 104的介接部,同時保持ATM 102 與VTM 104中的製程條件。
叢集工具組件100的負載鎖腔室110係設計成處理半導體晶圓及易損零件二者。如隔室之單獨的暫存區域可設置於負載鎖腔室110中,以接收半導體晶圓與易損零件,以免污染半導體晶圓。負載鎖腔室110中針對接收易損零件而設計的暫存區域可進一步配置成提供用以接收舊易損零件及新易損零件之單獨的暫存區域。定義於負載鎖腔室110中的開口係設計成適配易損零件及半導體晶圓。或者,當開口並非設計成適配易損零件時,可使用分段易損零件,使得易損零件的每一段可適合通過定義於負載鎖腔室110中的開口。
在圖3中所描繪的實施例中,在VTM 104中用以將半導體晶圓從負載鎖腔室110移至一製程模組(112~120)(該製程模組(112~120)係整合在該VTM 104中)、或從一製程模組(112~120)移至另一製程模組(112~120)的自動機器亦用以在負載鎖腔室110與製程模組(112~120)之間移動易損零件。
在一些實施例中,除ATM 102與VTM 104的自動機器外,更換站108還可包括專用自動機器,該專用自動機器係配置成於更換站的零件緩衝部及ATM 102之間移動易損零件。在如此之實施例中,ATM 102的自動機器可用以在ATM 102 與負載鎖腔室110之間移動易損零件與半導體晶圓,且VTM 104的自動機器可用以在負載鎖腔室110與製程模組(112~120)之間移動易損零件與半導體晶圓。在一實施例中,單一末端作用器可設置於ATM 102及VTM 104的自動機器中,其可在不同的時間接合,以移動半導體晶圓及易損零件二者。在另一實施例中,可於ATM 102及VTM 104的自動機器中設置單獨的末端作用器,一者用以移動半導體晶圓,且另一者用以移動易損零件。升降機構係用以使新的易損零件適當對準,並將其安裝於製程模組(112~120)內的適當位置處。
圖3A描繪一實施例中叢集工具組件100的更詳細版本,其相較於圖3中所描繪之內容包含一額外模組。如同圖3,圖3A中所述描繪實施例顯示耦接於ATM 102之第一側101a的更換站108,以及使用ATM 102及VTM 104的自動機器以更換易損零件。在此實施例中,除更換站108係安裝於ATM 102的第一側101a以外,一或更多晶圓載具115亦安裝於大氣轉移模組102的第一側101a。晶圓載具115可為例如前開式晶圓傳送盒(FOUP, front opening unified pod)的標準晶圓載具、或設計成與ATM 102耦接的客製化晶圓載具。更換站108及(複數)晶圓載具115係配置成藉由定義於ATM 102之第一側101a的特定裝載埠(未顯示)而暫時或永久地耦接於ATM 102。通往更換站108及(複數)晶圓載具115(標準載具及客製化載具二者皆可)的門體係設計成與定義於ATM 102之第一側101a上之標準裝載埠的開口相容。晶圓載具115包含晶圓緩衝部,該晶圓緩衝部包含用以接收及固持已處理或未處理晶圓的複數隔室。應注意,晶圓及基板在本申請案中係可互換使用,且指的是用於製造如積體電路之電子裝置的半導體材料薄切片。在一些實施例中,更換站108在結構及設計上類似於晶圓載具115(例如,FOUP),且包含具有複數隔室207的零件緩衝部224,該複數隔室207係配置成接收及儲存新及/或舊的易損零件208。
除更換站108及晶圓載具115外,一或更多緩衝站113亦可耦接於ATM 102。緩衝站113可包含動態對準器(dynamic aligner),其一般係用以提供對準輸入(alignment input)至與ATM 102通訊連接的電腦。使用對準輸入來使正被傳送至負載鎖腔室110的晶圓對準。例如,ATM 102內之自動機器103可用以將晶圓從晶圓載具115之一者移至設置於緩衝站113內之動態對準器內側的夾持部上。夾持部係配置成使晶圓旋轉。動態對準器內的感測器係用以偵測沿晶圓之邊緣所設置的一或更多凹口、及凹口的偏心,並將此資料作為對準輸入提供至電腦。電腦可將對準輸入提供至自動機器,使得自動機器可使用該對準輸入來將晶圓從動態對準器傳送至負載鎖腔室110,使得晶圓在傳送時適當地對準。在一些實施例中,一或更多動態對準器可用以將易損零件的對準輸入提供至電腦,以確保易損零件在傳送至負載鎖腔室110時適當地對準。應注意,用以使晶圓對準的對準輸入可不同於用以使易損零件對準的對準輸入。在其他實施例中,易損零件的對準可在更換站內完成。在如此之實施例中,當傳送易損零件至負載鎖腔室110時,無需進一步進行對準。
在一些實施例中,ATM 102內設計成移動晶圓的自動機器103亦設計成將易損零件208從更換站108移至負載鎖腔室110。自動機器103的既有設計包含具有用以支撐晶圓之「伸出部」的末端作用器機構。然而,末端作用器機構上的伸出部係設計成支撐晶圓,且因此係短的且不設計成提供易損零件的接觸支撐,這是因為易損零件在直徑上典型地大於晶圓。為考量此差異,在一實施例中, 將ATM 102內自動機器103之末端作用器機構的伸出部延長至足夠容許伸出部提供易損零件208之接觸支撐的長度。儘管具有延長伸出部的末端作用器機構已被描述為實施於ATM 102的自動機器103中,但應注意,本文中所述之末端作用器機構亦可實施於VTM 104的自動機器105中。
在替代實施例中,ATM 102內自動機器之末端作用器機構的短伸出部係用以支撐環載體。環載體的表面係用以支撐易損零件。環載體可為載體板的形式,其係儲存於更換站108中的外殼內,且在需將易損零件輸送至負載鎖腔室110時被取回。在此實施例中,末端作用器機構的伸出部不必重新進行設計,因為末端作用器的既有伸出部可用以支撐載體板。在ATM 102及/或VTM 104內所使用之末端作用器機構的細節將參考圖6A~6C詳細加以描述。用以支撐及輸送易損零件的載體板將參考圖8A~8B、9A~9B加以描述。
在整合於叢集工具組件100中的製程模組112中更換易損零件需對製程模組112、及製程模組112內的易損零件208進行存取。對製程模組112的存取已參考圖1~3加以描述,其中更換站108係直接安裝於製程模組(112~120)、或真空轉移模組104、或大氣轉移模組102,藉此提供對製程模組(112~118、120)的存取。一旦進入製程模組(112~120),需要提供對易損零件的存取,使得可在不損傷易損零件或製程模組(112~120)之其他硬體元件的情況下,安全地取回及更換易損零件。
圖4描繪升降機構的例示性實施例,其可用於叢集工具組件100的製程模組(112~120)中,以提供對需進行更換之易損零件208的存取。在一些實施例中,易損零件208係設置在底部邊緣環236上方、且鄰近蓋環232之處。底部邊緣環236係設置在基部環240上方,且在一些實施例中,該底部邊緣環236接近套環238。隔離環234可設置於升降機構及腔室側壁之間。升降機構係配置成將易損零件208移至上升位置,使得可存取易損零件208。在一些實施例中,易損零件208為鄰近半導體晶圓150而設置的邊緣環,該半導體晶圓150係在處理期間被接收於製程模組中。升降機構包括連接至複數致動器204的複數升降銷202。例如,升降銷可沿一平面分布,以容許升降銷於不同點處接觸易損零件,以及移動易損零件。在一些實施例中,分布於一平面的升降銷可組成不同組,每一組升降銷獨立操作,以存取及抬升不同的易損零件。在一些實施例中,致動器204係與複數升降銷202配套的真空密封致動器。
致動器204係由致動器驅動機206所驅動。在非接合模式中,升降銷202保持為收回於外殼內(該外殼係定義於升降機構中)、且不與易損零件208相接觸的狀態。當易損零件208需進行更換時,致動器204係透過致動器驅動機206供電。已供電的致動器204使升降銷202伸至外殼外側,以接觸易損零件208並將易損零件208移至上升位置。因為製程模組(例如,118)係維持於真空狀態,故當易損零件升起時,易損零件係被升至真空空間210中。VTM 104或更換站108的自動機器將末端作用器伸入製程模組118,且使該末端作用器在升起的易損零件208下方滑動。在一些實施例中,附接於自動機器的末端作用器係塑形為類似抹刀,從而容許該末端作用器支撐升起的易損零件。一旦末端作用器已滑動定位,致動器204將升降銷202收入外殼中,使易損零件208安放於末端作用器上。然後操控自動機器將攜帶易損零件208的末端作用器拉回VTM 104或更換站108中(取決於使用哪個自動機器來取回易損零件208)。當需將新的易損零件208定位於製程模組(例如,118)中時,發生相反的順序。製程模組(例如,118)的升降機構係用以將易損零件適當地安裝於製程模組(118)中的適當位置處,使得可操作製程模組(118)與叢集工具組件100。
除提供電力至致動器以操作升降銷來升起易損零件208外,在一些實施例中,連接至升降機構之致動器驅動機206的電源亦可透過升降銷供應電力至易損零件。在如此之實施中,致動器204與升降銷202可由傳導材料製成,以供應電力至易損零件208。在一些實施例中,與易損零件接觸之升降銷的表面區域可充當電接觸部,且可用以將來自電源的電力供應至易損零件。在一些實施例中,電源為射頻(RF)電源,以容許升降銷202將RF電力供應至易損零件208。使用RF電源對易損零件208供電的額外細節係於2015年7月13日申請、且名為「Extreme Edge Sheath and Wafer Profile Tuning Through Edge-Localized Ion Trajectory Control and Plasma Operation」之共同擁有且共同待審之美國臨時專利申請案第62/191817號中加以描述,該美國臨時專利申請案係整體併入於此,以供參考。在一些實施例中,可切換升降銷202。可利用切換來控制供應至易損零件208的電量。在一些實施例中,可利用切換來將不同的電力供應至易損零件208。在一些實施例中,供應至易損零件208的電力可用以加熱易損零件208。例如,當易損零件208為邊緣環時,由電源所供應之電力可用以提供溫度受控制的邊緣環。在一些實施例中,可透過其他方式(例如透過電容耦合)將電力提供至易損零件208。使用替代方式(例如,電容耦合)將電力提供至例如邊緣環的易損零件208的額外細節係在2015年8月18日申請、且名為「Edge Ring Assembly for Improving Feature Profile Tilting at Extreme Edge of Wafer」之共同擁有且共同待審之美國臨時專利申請案第62/206753號中加以描述,該美國臨時專利申請案係併入於此,以供參考。應注意,本文中討論之用以將電力提供至易損零件208的不同方式僅為範例,且將電力提供至邊緣環的其他形式亦可加以採用。在一些實施例中,易損零件208 (具多件式易損零件的單一分件或複數不同分件)可利用一或更多磁鐵進行對準,並安裝在製程模組(例如,118)中的位置處。例如,設置於製程模組(例如,118)中的升降機構可包括易損零件208受支撐於其上的表面。一或更多磁鐵可設置於易損零件208受支撐於其上之升降機構之表面的底側。設置於升降機構中的磁鐵可用以使易損零件在製程模組(例如,118)內對準定位。
在一些實施例中,升降機構可連接至空氣壓縮機或其他壓縮壓力源,以容許氣動地操作該升降機構。在一些實施例中,升降機構可用以提供靜電夾持,以使易損零件208在製程模組(例如,118)內夾持定位。在該等實施例中,升降機構可連接至直流(DC)電源,以容許升降銷202提供DC電力,以將易損零件208在製程模組(例如,118)內夾持定位。
圖5A描繪一實施例中之例示性叢集工具組件,其標示用以更換製程模組118內之易損零件的諸多元件。製程模組118可為蝕刻器模組,其可用以產生變壓器耦合電漿(TCP,transformer coupled plasma) (用以執行傳導蝕刻)或電容耦合電漿(CCP,capacitively coupled plasma) (用以進行介電蝕刻),或可用以執行電漿增強化學氣相沉積(PECVD)、或原子層沉積(ALD)、或半導體晶圓上任何其他類型的蝕刻。或者,製程模組118可用以執行任何其他的製程操作(例如,沉積、電鍍等),以在半導體晶圓上定義不同的特徵部。
更換站108可包括零件緩衝部224。在一實施例中,零件緩衝部224包含複數隔室207,該複數隔室207係配置成接收取自製程模組的舊易損零件208、以及需被傳送至製程模組的新易損零件208。或者,可使用單獨的零件緩衝部224,以區別地儲存舊易損零件208及新易損零件208。更換站108內的交換處理器214可用以將新的易損零件208從零件緩衝部224之隔室207移入製程模組118、以及用以將舊的易損零件208從製程模組118取回並儲存於零件緩衝部224的隔室207中。交換處理器214包括配置成橫向、垂直、及/或徑向移動的自動機器215,以容許交換處理器214的末端作用器213存取零件緩衝部224及製程模組118中的易損零件208。末端作用器可配置成存取、取回、及傳送易損零件208至零件緩衝部224或製程模組。在一些實施例中,末端作用器可為設計成在任何平面上取回、拿取、抬升、支撐、固持、移動、或旋轉易損零件的特別末端作用器。可操作交換處理器214的末端作用器,以在操作期間進行伸出及縮回,使得易損零件可從製程模組取回並儲存於零件緩衝部224中。在一些實施例中,末端作用器可配置成徑向、橫向、及/或垂直移動,以在取回操作期間提供較大的靈活性。交換處理器214係連接至控制器220,以控制交換處理器214之自動機器215與末端作用器213的移動。
更換站108亦可包括連接至泵浦233的真空控制模組231,以操控更換站108中的製程條件。在一些實施例中,更換站108係連接至控制器220,以使得在易損零件的更換期間能夠透過真空控制模組231協調泵浦233的操作或動作。
第一隔離閥216係設置於更換站108與製程模組118之間,以容許更換站108安裝於製程模組118。在一些實施例中,第一隔離閥216可為閘閥。製程模組118包括第一側與第二側,且製程模組118的第一側係耦接至真空轉移模組(VTM)104,且製程模組118的第二側係耦接至第一隔離閥216的第一側。第一隔離閥216的第二側係耦接至更換站108。以上耦接對例如分別定義於更換站108及製程模組118中的門體217、219加以操控,以容許更換站108中的自動機器215對製程模組118進行存取。第二隔離閥216'的第一側係耦接至VTM 104,且第二隔離閥216'的第二側係耦接至製程模組118的第一側。以上耦接容許對門體227、229的操控,該門體227、229分別覆蓋定義於製程模組118與VTM 104中的對應開口,以容許VTM 104中的自動機器在處理期間對製程模組118進行存取並將半導體晶圓移動進出製程模組118。第一隔離閥216及第二隔離閥216'係連接至控制器220,以協調製程模組118與VTM 104及更換站108的耦接。
製程模組118包括上電極218,其可用以提供製程化學物至定義於製程模組118中的處理區域。舉例而言,上電極218可連接至電源(未顯示),以提供電力至處理區域中的製程化學物,從而產生電漿。在一些實施例中,該電源可為透過匹配網路(未顯示)連接至上電極218的RF電源。或者,上電極可為電性接地。
製程模組118亦包含下電極230。在一些實施例中,下電極230係配置成接收半導體晶圓150以進行處理。在一些實施例中,下電極230為靜電夾持部。下電極230可耦接至電源(未顯示),以在處理期間提供電力至下電極230。或者,下電極230可為電性接地。
製程模組118包含升降機構221,以使易損零件208能夠被移動到上升位置。升降機構221類似於參考圖4所討論的升降機構,且包含複數升降銷202及致動器204,以將易損零件抬升至上升位置,且致動器驅動機206係連接至致動器204,以提供電力來驅動致動器204。致動器驅動機206可耦接至控制器220,以在易損零件的更換期間控制升降機構221的操作。
控制器220包含真空狀態控制部223與轉移邏輯225,以幫助協調連接至控制器220之諸多元件的操作。在一實施例中,當製程模組118中待更換易損零件時,使更換站108與第一隔離閥216接觸。因應於在第一隔離閥216處偵測到更換站108,從第一隔離閥216發送訊號至控制器220。然後控制器220協調更換站108對製程模組118的耦接並維持更換站108的真空狀態。例如,因應於接收自第一隔離閥216的偵測訊號,控制器220的真空狀態控制部223可發送訊號至真空控制模組231,以開始將更換站108耦接至製程模組118的過程。因應於接收自真空狀態控制部223的訊號,真空控制模組231可致動泵浦233,以容許泵浦233使更換站成為真空狀態。一旦更換站108已達真空狀態,從真空控制模組231發送訊號至真空狀態控制部223。然後真空狀態控制部223發送訊號至第一隔離閥216,以將更換站耦接於製程模組118。作為回應,第一隔離閥216確保介於更換站108及製程模組118之間之第一隔離閥216的中間區域係維持於真空狀態。一旦確認,第一隔離閥216執行製程模組118對第一隔離閥216之第一側、以及更換站108對第一隔離閥216之第二側的耦接。可進行進一步的測試,以確保於操控門體217、219以提供對製程模組118的存取之前,更換站108及第一隔離閥216的中間區域係處於真空狀態。
作為耦接操作的一部分,真空狀態控制部223可協調第二隔離閥216'的操作,以保持門體227、229為閉合且密封的,該門體227、229覆蓋定義於製程模組118、及與該製程模組118所整合之VTM 104中的對應的開口。在耦接操作期間,製程模組118中的升降機構221係保持於非接合模式,且升降銷202係收回於升降機構221的外殼中,且易損零件208安放於其安裝位置中。例如,易損零件208為邊緣環。當半導體晶圓150存在於製程模組118中時,處於安裝位置處的邊緣環係鄰近且實質上圍繞半導體晶圓150而定位。
一旦完成耦接的過程,從第一隔離閥216及一些實施例中的第二隔離閥216'發送訊號至控制器220。作為回應,控制器220啟動轉移邏輯225。轉移邏輯225係配置成協調自動機器215、更換站108內交換處理器214之末端作用器213、及製程模組118中升降機構221之致動器驅動機206的移動,以容許末端作用器213從製程模組118取回易損零件、並將其移至(定義於更換站108中之)零件緩衝部224中的隔室207、以及將易損零件的替換件從零件緩衝部224的隔室207移回至製程模組118進行安裝。操控升降機構221,以將替代易損零件安裝於製程模組118中的適當位置處。
圖5B描繪一實施例中依循以從製程模組118取回易損零件208的過程。一般在製程模組118中接收進行處理的半導體晶圓之前更換易損零件208。根據此實施例,一旦更換站108透過第一隔離閥216耦接於製程模組118且第二隔離閥216' 將門體227、229對VTM 104密封,則使用控制器220的轉移邏輯225發送訊號至交換處理器214及致動器驅動機206,以從製程模組取回易損零件並替換以新的易損零件。轉移邏輯225發送訊號,以操控自動機器215與末端作用器213,從而容許末端作用器213伸入製程模組118以取回易損零件。同時,如圖5中所述,轉移邏輯225操控致動器驅動機206,以使致動器204將升降銷202移出定義於升降機構221中的外殼,藉此將易損零件208從安裝位置移至上升位置。末端作用器213滑動於升起之易損零件208的下方,從而實質上支撐該易損零件208。然後操控致動器驅動機206,以使致動器204將升降銷202收回至升降機構221中的外殼中,從而容許升起的易損零件208安放於交換處理器214的末端作用器213上。然後操控交換處理器214的末端作用器213,以攜帶易損零件208一起收回至更換站108中。然後操控末端作用器213,以將取回的易損零件208移至零件緩衝部224的隔室207。
新的易損零件208係以類似的方式從零件緩衝部224的不同隔室207移至製程模組118。當新的易損零件208被移入製程模組118時,操控致動器驅動機206,以使得致動器204將升降銷202伸出外殼,從而接收新的易損零件208。致動器204容許降低升降銷202,使得易損零件208係設置於製程模組118中的安裝位置處。在易損零件的更換期間,真空狀態控制部223持續地與真空控制模組231介接,以確保泵浦233持續將更換站保持於真空狀態,以搭配維持於製程模組118中的真空狀態。
一旦更換易損零件208,使用控制器220來協調更換站108從製程模組118的撤回。據此,控制器220發送訊號至第一隔離閥216,以閉合製程模組118及更換站108之間的門體217、219,以及發送訊號至第二隔離閥216',以解鎖門體227、229,從而容許VTM 104對製程模組118的存取。
在一些實施例中,可在使製程模組回到主動操作之前調節製程模組118。由於易損零件的更換係在真空中實行、且僅製程模組118需進行調節,故調節操作可花費較短時間。然後可從真空狀態控制部223發送訊號至真空控制模組231,以容許泵浦233排淨更換站108。然後可將更換站108從製程模組118卸除。
圖5C描繪在圖2中所描繪的叢集工具組件的一實施例中,依循以更換易損零件的過程,其中更換站108係安裝於VTM 104,而不是製程模組118。在此實施例中,更換站108係透過第一隔離閥216安裝於真空轉移模組(VTM)104,使得第一隔離閥216的第一側係耦接於VTM 104的第一側。更換站108係耦接於第一隔離閥216的第二側。第二隔離閥216'係設置成第二隔離閥216' 的第一側耦接於製程模組118,且第二隔離閥216' 的第二側耦接於VTM 104的第二側。第一隔離閥216係配置成操控門體237、239,該門體237、239分別覆蓋定義於更換站108及VTM 104中的對應開口,且第二隔離閥216'係配置成操控門體227、229,該門體227、229分別覆蓋定義於VTM 104及製程模組118中的對應開口,以容許VTM 104中的自動機器在製程模組118、及更換站108中之零件緩衝部224中之隔室207之間存取、取回、及移動易損零件。圖5C中所描繪之更換站108不包含具有自動機器215及末端作用器213的專用交換處理器214。自動機器235係操作性地耦接於控制器220,以容許控制器協調自動機器235的操作。進一步講,更換站、第一隔離閥216、VTM 104、第二隔離閥216'、及製程模組118係耦接於控制器220,以於易損零件更換期間在更換站及製程模組之間進行同步存取,同時製程模組118、VTM 104、及更換站108係保持於真空狀態。
將更換站108安裝於VTM 104的過程類似於參考圖5A所討論的實施例,但更換站108係安裝於VTM 104而非製程模組118。更換易損零件208的過程類似於參考圖5A所討論的實施例,但控制器220係與VTM 104的自動機器235進行協調,以取代與圖5A中所討論之更換站108的自動機器215、末端作用器213進行協調。
在替代實施例中,更換站108可包含具有自動機器215與末端作用器213的交換處理器214(未顯示),其中交換處理器214係操作性地連接於控制器220。控制器220係用以在易損零件的更換期間控制自動機器215、末端作用器213、及自動機器235的協調。在此實施例中,自動機器215與末端作用器213可用以在零件緩衝部224與VTM 104之間取回及移動易損零件,且VTM 104的自動機器235可用以在VTM 104與製程模組118之間移動易損零件。
應注意,在圖5C中所描述的實施例中,第二隔離閥216' 在易損零件的更換期間不用以將製程模組118與叢集工具組件100的其他部分隔離。這是因為以下事實:該實施例中對製程模組的存取係透過VTM 104提供。因此,第二隔離閥216'係配置成在易損零件需進行更換時提供存取,同時在半導體晶圓的處理期間達成製程模組118的選擇性隔離。在此實施例中,易損零件更換後之叢集工具組件100的調節將花費較短時間,這是由於更換站、VTM 104、及製程模組118在易損零件的更換期間係全部維持於真空狀態。
圖6A描繪末端作用器機構70,其係用在ATM 102的自動機器103中,以在晶圓載具115及負載鎖腔室110之間轉移晶圓。如圖示6A中所描繪,末端作用器機構70包含腕板701,其在一側上係可連接於例如ATM 102中之自動機器103的自動機器、且在另一側上係可連接於安裝臂組。在一些實施例中,安裝臂組係由單一的不鏽鋼材料板製成。伸出部組件705係安裝於安裝臂組的第二側。在一些實施例中,伸出部組件705係使用彈簧加壓的安裝方式(spring loaded mounting)安裝於安裝臂組,以提供水平調整伸出部組件705的方式。伸出部組件705包含成對伸出部707,其如圖6A中所示延長至足夠支撐晶圓但不足夠支撐易損零件208的長度。在一些實施例中,末端作用器機構70的腕板701及伸出部組件705係由鋁材料製成。在一些實施例中,伸出部組件705的長度L1-a約為280mm,且伸出部707的長度L1-b約為103mm。
可將伸出部組件705的伸出部707伸出,以接收易損零件208。然而,若將伸出部組件705的伸出部707伸出,因為由外部材料所導致之載重上的增加,所以圖6A之末端作用器機構70中所使用的不鏽鋼材料將導致伸出部707偏離晶圓轉移平面的彎曲。彎曲量將使該末端作用器機構70較不適用於緊密空間,例如在轉移平面上需要精確性之叢集工具組件內的空間。因此,維持伸出部組件705的原始設計,而不對伸出部組件705重新進行設計以延長伸出部707。伸出部組件705的原始設計係藉由容許伸出部組件705支撐環載體而用以輸送易損零件。因此,環載體係用以支撐易損零件208。環載體的細節將參考圖8A~8B加以描述。
圖6B、6B-1、及6C描繪一些實施例中用於叢集工具組件100內之自動機器中的例示性末端作用器機構700。圖6B描繪例示性末端作用器機構700的俯視圖、圖6B-1描繪其側面圖、以及圖6C描繪其側角度視圖,該例示性末端作用器機構700係用以接收及輸送易損零件。圖6B、6B-1、及6C中所描繪之末端作用器機構700係經重新設計,以容許末端作用器機構700除輸送晶圓外還能輸送易損零件。應注意,圖6B、6B-1、6C中所描繪之末端作用器機構700可實施於VTM 104內的自動機器105中、及/或ATM 102內的自動機器 103中。重新設計的末端作用器機構700包含腕板702,該腕板702可在一末端處安裝於自動機器,且在相反末端處安裝於安裝臂組704。如圖6B-1中所描繪,安裝臂組704係由頂板704a及底板704b所定義。在一些實施例中,安裝臂組704的頂板704a係由不鏽鋼材料製成,且安裝臂組704的底板704b係由鋁製成。用於頂板及底板之材料上可有所變化,只要維持安裝臂組的功能即可。在一些實施例中,可在頂板704a及腕板702之間實施彈簧加壓的安裝方式(spring loaded mounting)。
具有成對伸出部706的伸出部組件710係夾持於安裝臂組704的頂板704a及底板704b之間,且成對伸出部706從安裝臂組704向外延伸。在一些實施例中,末端作用器機構700的伸出部組件710係由陶瓷材料製成。陶瓷材料提供伸出部706及伸出部組件710的抗撓性,從而降低偏離環轉移平面的彎曲,特別當支撐晶圓及/或易損零件時。進一步講,陶瓷重量上較輕,從到導致伸出部組件710上載重降低。以上夾持提供伸出部組件710的穩定安裝,同時確保在陶瓷伸出部組件710中不會導致任何應力破裂。進一步講,輕重量的陶瓷材料容許伸出部組件710實質上平行於環轉移平面而對準,使得易損零件可平滑地轉移進出負載鎖腔室110。第一對易損接觸墊708a係定義於伸出部組件710的近端,且第二對易損接觸墊708b係定義於伸出部組件710的遠端,其接近伸出部組件710之伸出部706的頂端。易損接觸墊708a、708b之間的長度L3-a係定義成約為301mm。類似地,第三對基板接觸墊708c係接近第一對易損接觸墊708a設置,且第四對基板接觸墊708d係接近第二對易損接觸墊708b設置。第三對及第四對基板接觸墊係設置成在第一對及第二對易損接觸墊內側。基板接觸墊708c、708d之間的長度L3-b係定義成約為133mm。
現在參考圖6B,伸出部組件710具有鄰近安裝臂組704而定義的近端710a及定義於成對伸出部706之尖端處的遠端。在一些實施例中,長度L2-a係定義成當已接收易損零件208時至少涵蓋該易損零件208的直徑,以容許支撐易損零件。例如,伸出部組件710的長度L2-a係定義為至少約360mm,且成對伸出部706的長度L2-b係定義為至少182mm。伸出部組件710係用以接收及輸送易損零件208及晶圓兩者。例如,伸出部組件710係配置成輸送300mm晶圓及圍繞該300mm晶圓之如邊緣環的易損零件。在其他實施例中,伸出部組件710係配置成輸送200mm晶圓、或450mm晶圓、或具有任何其他尺寸的晶圓、及圍繞該分別尺寸之晶圓之如邊緣環的易損零件。在該等實施例中,伸出部組件710係定尺寸成支撐適當尺寸的晶圓及易損零件。
為幫助易損零件208及晶圓的輸送,複數接觸墊係以變化的距離設置於伸出部組件710的頂部表面上,以在接收易損零件及晶圓時支撐該易損零件及晶圓。在一些實施例中,設置單獨組的接觸墊,以容許易損零件及晶圓在伸出部組件710上具有不同的接觸表面。當利用相同的伸出部組件710來輸送易損零件時,以上配置可期望降低晶圓污染。第一對易損接觸墊708a可設置於伸出部組件710的頂部表面上,且係位於或接近安裝臂組704附近的近端710a。第二對易損接觸墊708b係設置於伸出部組件710的頂部表面上,且係位於或接近成對伸出部706之頂端706a轉移易損零件。類似地,第三對基板接觸墊708c係設置於伸出部組件710的頂部表面上、鄰近第一對易損接觸墊、且介於第一對及第二對易損接觸墊708a、708b之間。第四對基板接觸墊708d係設置於伸出部組件710的頂部表面上、鄰近第二對易損接觸墊、且介於第一及第二對易損接觸墊708a、708b之間。第三對及第四對基板接觸墊708c、708d係用以輸送晶圓。易損接觸墊708a、708b係設置於晶圓之直徑的外側,且基板接觸墊708c、708d係設置於晶圓之直徑的內側。該設計容許將伸出部組件710上晶圓及易損零件208的接收表面隔開,以使晶圓的污染最小化。
在一些實施例中,接觸墊係由如彈性體材料之止滑材料製成。易損接觸墊708a、708b在伸出部706之頂部表面上的位置係使得當自動機器之末端作用器機構700抬升易損零件208時,接觸墊提供對易損零件208之底部表面的可靠的接觸支撐。在一些實施例中,多於一組之易損接觸墊708a、708b可設置於伸出部組件710的近端710a及遠端710b處,使得其能夠提供可靠的接觸支撐。在一些實施例中,可設置額外的易損接觸墊708,以提供具有不同底部表面輪廓、可需要多於一個接觸點之易損零件208之底部表面的接觸支撐。
圖7A~7D描繪在末端作用器機構700中所使用之伸出部組件710的特定實施例,其用以支撐具有不同底部表面輪廓的易損零件208。在一些實施例中,舉例而言,在晶圓被接收於製程模組112中時,易損零件208可為鄰近晶圓而設置的邊緣環。邊緣環在底部表面可具有不同的橫剖面輪廓,且易損接觸墊708係設置於伸出部組件710的頂部表面上,以考量易損零件208的不同表面輪廓。
圖7A描繪一實施例,其中易損零件208之底部表面邊緣的橫剖面實質上為長方形。在該實施例中,當易損零件208係適當對準並被接收於末端作用器機構700之伸出部組件710上時,設置於伸出部組件710之頂部表面的易損接觸墊708提供足夠的接觸表面。在一些實施例中,易損接觸墊708a、708b係隔開至少等於易損零件之直徑的距離。在圖7A中所描繪的實施例中,易損接觸墊708係設置成在跨距中點接觸易損零件208的底部表面。
圖7B描繪一實施例中易損零件208之底部表面的替代橫剖面輪廓。易損零件208在該易損零件208之外直徑處、於底部表面中包含切口。設置於伸出部組件710之頂部表面上的易損接觸墊708的位置確保易損接觸墊持續提供易損零件208的接觸支撐,且只要易損零件208在伸出部706上的對準程度係在預定義的位置誤差限制內,則易損接觸墊不會錯過易損零件208的底部表面。
圖7C-1描繪一實施例中易損零件208之底部表面的第二替代橫剖面輪廓。易損零件208在底部表面的中部包含切槽。若使用設置於圖7A及7B中所描繪之距離處的易損接觸墊708,則如圖7C-1中所描繪,接觸墊708將不提供與易損零件208之底部表面的足夠或可靠的接觸。這將導致易損零件被接收於伸出部706的頂部表面上,而非易損接觸墊708的表面上。因為成對伸出部706係由具有良好光滑度的陶瓷材料製成,故被接收於成對伸出部706上的易損零件208有高可能性會在其輸送期間發生失準,這是非常不期望的結果。為避免發生如此之失準,可在伸出部組件710上於不同距離處設置額外的易損接觸墊,從而當易損零件208被接收於伸出部組件710上時,確保具有切槽之該易損零件208的底部表面與設置於成對伸出部706上的一或更多易損接觸墊708進行足夠接觸。易損接觸墊係定義於伸出部組件710上,以支撐在預期位置誤差範圍內、具有預期橫剖面的易損零件208,同時該易損接觸墊亦保持在晶圓偏移的預期範圍之外,使得當已接收晶圓時,晶圓絕不接觸該易損接觸墊。Figure 7C-2描繪如此之範例,其中一組兩個易損接觸墊708係設置於伸出部組件710上不同距離處,以在易損零件208的底部提供可靠的接觸支撐。兩個易損接觸墊708之間的距離可根據切槽的寬度進行配置。
圖7D描繪一實施例中易損零件208之底部表面的第三替代橫剖面輪廓。在該實施例中,易損零件208包含較小的內直徑唇部,以容許當晶圓被接收於製程模組中時,該晶圓被接收於易損零件的唇部上方。在該實施例中,易損零件208的底部表面包含比圖7A~7C中所描繪者更大的表面面積。因此,設置於伸出部組件710上的易損接觸墊708將在輸送期間提供易損零件208之足夠的接觸支撐。一些實施例可使用與圖7A~7D中所顯示之內容不同類型的接觸墊概念。
圖7E描繪VTM 104內之自動機器 105中所述的末端作用器機構700,且圖7F-1、7F-2顯示該末端作用器機構700中所使用之替代接觸墊概念的細節,以達成具有變化底部輪廓之易損零件的接收。圖7E中所描繪之末端作用器機構700的諸多元件類似於參考圖6A中所描繪之末端作用器機構700所討論的內容。
圖7F-1及7F-2描繪在一些實施例中,在用於接收易損零件之末端作用器機構700中所使用的替代接觸墊概念。圖7F-2顯示伸出部組件710內伸出部706之部位A-1的放大圖,其中定義替代接觸墊概念。在如此之實施例中,環件接觸結構709可用來代替易損接觸墊708。在一些實施例中,環件接觸結構709可為由彈性材料製成之O型環(o-ring)的形式。使用O型環或環件接觸結構709容許跨距易損零件半徑之較大範圍的環狀線接觸。這亦解決了參考圖7A~7D所描述之易損零件底部輪廓變化的問題,並提供末端作用器機構700上位置誤差的較大容忍度。在一些實施例中,易損接觸墊或環件接觸結構及晶圓接觸墊係定義成末端作用器機構700的永久性零件。在如此之實施例中,接觸墊(易損接觸墊、晶圓接觸墊)及/或接觸結構可由陶瓷材料、或適於ATM 102及VTM 104中所定義之環境的任何其他金屬製成。在其他實施例中,易損接觸墊、晶圓接觸墊或接觸結構可由可更換的易損材料製成,例如彈性體或其他類似類型的材料。
返回參考圖6B~6C,圖6B~6C中所描繪之末端作用器機構700可被真空轉移模組104內的自動機器105採用,且在一些實施例中,亦可在ATM 102內的自動機器103中採用。在替代實施例中,圖6B~6C的末端作用器機構700可被VTM 104之自動機器105採用,同時圖6A中所描繪之末端作用器機構70、或重新設計的末端作用器機構70或700的配置可實施於ATM 102的自動機器103內。
圖8A~8B描繪本發明的一些實施例中,ATM 102及VTM 104內之自動機器所使用之不同末端作用器機構的俯視圖。如之前所提及,ATM 102中的自動機器103可使用具有短伸出部及載體板的既有末端作用器機構70、或具有用以移動晶圓及易損零件之延長伸出部的經改裝末端作用器機構700。圖8A描繪整合於ATM 102之自動機器103內的既有末端作用器機構70的俯視圖,其係典型地用以傳送晶圓至負載鎖腔室110。既有末端作用器機構70亦可用以將易損零件傳送至負載鎖腔室110。如圖8A中可見,定義於末端作用器機構70中的成對伸出部707係設計成提供晶圓(未顯示)的接觸支撐,且不設計成提供易損零件208(其具有比晶圓大的半徑)的接觸支撐。為使末端作用器機構70能夠用以支撐及轉移易損零件,使用環載體固定件。
圖8B描繪一例示性實施,其中環載體固定件係與ATM 102之自動機器103中的末端作用器機構70一起使用,以在更換站108及負載鎖腔室110之間輸送易損零件208。環載體固定件可為載體板1104的形式。在一些實施例中,載體板1104係由碳纖維材料製成。碳纖維重量輕且適於使用,因為碳纖維將伸出部組件705上載重的增加最小化。碳纖維亦提供足夠的抗撓性,以使彎曲最小化。
在一些實施例中,載體板1104係配置成在形狀上為三角形,且尺寸係定為使得頂點(1104a、1104b、1104c)距離載體板1104之中心1104m的距離至少等於易損零件208的半徑。載體板的三角形設計具有許多優勢。例如,三角形的載體板容許將其上接收有易損零件208的載體板1104輕易地移動進出負載鎖腔室110,而不干涉定義於負載鎖腔室110內的任何元件。這是可能的,因為頂點1104a~1104c使載體板1104能夠在例如定義於負載鎖腔室110中的複數伸出部組件902之間輕易移動,同時維持足夠的空隙。進一步講,因為頂點1104a~1104c係從重心 (定義於三角形載體板1104的中心1104m)等距離分佈,故易損零件208可適當地受支撐於頂點,而不在任何一側上提供過度的壓力。
在一實施例中,載體板1104係儲存於更換站108內,且係藉由使用末端作用器機構70之 ATM 102的自動機器103取回。在一些實施例中,設置於ATM 102之自動機器103中的末端作用器機構70可配置成在拿取載體板1104時提供足夠的吸力,使得載體板1104可牢固地接收及承載於末端作用器機構70上。在一些實施例中,不同的載體板1104可用以分開地輸送舊及新的易損零件。例如,第一載體板可用以輸送舊的易損零件,且第二載體板可用以輸送新的易損零件。
使用具有呈載體板1104之形式之環載體的末端作用器機構70具有其優勢。例如,可使用既有的末端作用器機構70,且無需對既有末端作用器機構70重新進行設計。將末端作用器機構70重新設計成支撐環件可需要形狀改變,該形狀改變可能干涉晶圓支撐件或諸多晶圓傳遞位置(wafer hand-off location)處的其他硬體。如此的空隙問題可藉由改變ATM中自動機器的自動機器臂軌道而解決,但是軌道改變可能增加晶圓轉移次數,從而降低系統產能。替代性使用環載體容許使用晶圓轉移的目前軌道,而對晶圓產能無任何改變。在不改變晶圓轉移軌道的情況下,可視需要定義環轉移特定軌道。進一步講,這對既有韌體具有較少影響。在一些實施例中,(呈載體板1104之形式的)環載體可能增加載重,這可能導致產生偏離環轉移平面的彎曲。然而,藉由環載體使用例如碳纖維之適當的輕量材料,載重上任何的增加及所產生之彎曲可實質上被最小化。
圖9A及9B描述一些實施例中,與載體板1104一起用來將易損零件208轉移進入負載鎖腔室110之例示性末端作用器機構70的側視圖及俯視圖。末端作用器機構70包含在一末端處可附接於自動機器103、且在相反末端處可附接於安裝臂組703的腕板701。伸出部組件705係附接於安裝臂組703的第二末端。伸出部組件705包含從安裝臂組703向外延伸的成對伸出部707。伸出部組件705具有接近安裝臂組703的近端705a。伸出部組件705的遠端705b係定義於成對伸出部707的伸出部尖端707a。第一載體接觸墊721a係設置於接近叉部723(其係形成於伸出部707的基部處)之中心的伸出部組件705的頂部表面上。第二對載體接觸墊721b係設置於伸出部組件705的頂部表面上、且位於伸出部組件705的遠端 (705b)附近。載體板1104係使用第一載體接觸墊721a及第二對載體接觸墊721b而受支撐於伸出部組件705上。伸出部組件705亦配置成輸送基板或晶圓。應注意,在一些實施例中,具有(呈載體板1104之形式的)環載體的既有末端作用器機構70僅可與ATM 102的自動機器一起使用,這是因為儲存及從更換站108取回載體板1104係便利的,且無需針對儲存載體板1104而對其他模組的任何者重新進行工程設計。載體板1104包含易損接觸墊708,該易損接觸墊708係以自載體板1104之中心的距離超過基板半徑的距離設置於頂點1104a~1104c的每一者處。在一些實施例中,易損接觸墊708自載體板1104之中心的距離至少為易損零件208的半徑長度,以在易損零件被接收於載體板1104的頂點上時提供易損零件的止滑接觸表面。如參考圖7A~7D中所描繪之實施例所討論,易損接觸墊708可設置於自載體板1104之頂點的不同距離處。在一些實施例中,每一頂點可包含自頂點1104a~1104c之每一者等距離設置的成對易損接觸墊708。在替代的實施例中,複數易損接觸墊708可定義於自頂點1104a~1104c之每一者的不同距離處,以容許接觸墊針對具有不同底部表面輪廓之易損零件208提供可靠的接觸表面。
除了在ATM 102及/或VTM 104的自動機器內包含經改裝之末端作用器機構700外,還可在負載鎖腔室110內進行額外的改裝,以容許易損零件在更換操作期間被接收於該負載鎖腔室110中。
圖10A~10F提供支撐機構的諸多視圖及細節,在一些實施例中,該支撐機構係接合於負載鎖腔室110內,以接收及支撐易損零件。圖10A描繪簡化負載鎖腔室110的俯視圖,在一實施例中,該簡化負載鎖腔室110係於需更換易損零件208之叢集工具組件100內介接於ATM 102及VTM 104之間。負載鎖腔室110包含用以接收易損零件208的支撐機構901。支撐機構901包含複數伸出部組件902,該複數伸出部組件902提供易損零件被接收於其上的接觸表面。伸出部組件902係設置於負載鎖腔室110內的固定位置處。在一些實施例中,如以下段落中將描述,伸出部組件902係配置成具有用以接收晶圓及易損零件之互相獨立的(mutually exclusive)接觸點,以避免交叉污染。應注意,接觸點可處於不同的高度或徑向距離,以在預期晶圓、或易損零件的位置誤差內達成獨立分離 (exclusive separation)。
圖10B描繪負載鎖腔室110內之針對接收晶圓而設計的既有伸出部組件903。每一伸出部組件903包含頂部支撐伸出部903a及底部支撐伸出部903b。如所示,頂部支撐伸出部903a及底部支撐伸出部903b二者之頂部表面係設計成包含向下傾斜的一部分911。接觸墊912係定義成接近頂部及底部支撐伸出部903a、903b的尖端,以在晶圓被接收時提供晶圓的止滑接觸表面。間隔塊係定義成支撐頂部及底部支撐伸出部903a、903b。間隔塊的厚度係設計成提供用以接收晶圓之足夠空隙。然而,由圖10B之間隔塊所提供的空隙不足以接收易損零件(其具有比晶圓大的半徑)。為考量易損零件,重新設計負載鎖腔室中的伸出部組件,以避免當接收易損零件時,干涉負載鎖腔室的元件。
圖10C描繪本發明一實施例中,支撐機構901之例示性重新設計的伸出部組件902,其係用於負載鎖腔室110內以使得易損零件能夠被接收於該例示性重新設計的伸出部組件902上。支撐機構901包含複數伸出部組件902。在圖10A中所描繪的實施例中,支撐機構包含沿著一圓的圓周彼此等距離分佈的三個伸出部組件。複數伸出部組件902的每一者包含頂部支撐伸出部902a及底部支撐伸出部902b。在一實施例中,底部支撐伸出部902b的頂部表面係設計成包含凹部908,其接近底部支撐伸出部902b的第二末端,同時間隔塊係在第一末端處設置於頂部支撐伸出部902a及底部支撐伸出部902b之間。為完全考量易損零件,間隔塊905係重新設計成提供足夠空隙,以容許易損零件208完全地被接收於伸出部組件902上。在圖10中所描繪之實施例中,間隔塊905係顯示為從負載鎖腔室110的中心移至較遠處、較靠近負載鎖腔室110的側壁,以免干涉易損零件的轉移路徑。第二間隔塊905''可設置於第一末端處底部支撐伸出部902b的下方,且第二間隔塊905''相較於重新設計的間隔塊905具有相同的尺寸、更厚、或更薄。在一些實施例中,作為設置間隔塊905的替代,伸出部組件可包含用以支撐頂部支撐伸出部及底部支撐伸出部902b、同時在其之間提供間隙的伸出部支撐件。此外,在圖10C中所描述的實施例中,負載鎖腔室110內每一伸出部組件902中的底部支撐伸出部902b係重新設計成包含在頂部表面上、於至少等於易損零件半徑之距離處的凹部908。在一實施例中,凹部908的尺寸係設計成至少為易損零件的寬度。一或更多接觸墊係定義於伸出部組件902的表面上,以在接收晶圓或易損零件208時,提供晶圓及易損零件208的止滑接觸支撐表面。例如,基板接觸墊904係設置於頂部及底部支撐伸出部902a、902b的頂部表面上、在接近該頂部及底部支撐伸出部902a、902b之尖端的伸出部組件的第二末端處。在一些實施例中,基板接觸墊904係定義於自支撐機構之中心等於晶圓半徑的距離處,以在接收晶圓時提供晶圓的接觸支撐表面。進一步講,易損接觸墊906係定義於底部支撐伸出部902b的凹部908中,以提供易損零件208的接觸支撐。基於易損零件的底部表面輪廓,可在凹部908中定義額外的易損接觸墊906。
在一些實施例中,頂部支撐伸出部902a處的基板接觸墊904可用以接收晶圓,而凹部內的易損接觸墊可用以接收易損零件。在替代的實施例中,頂部支撐伸出部902a可包含具有用以接收易損零件208之易損接觸墊906的凹部908,而底部支撐伸出部902b之表面上的基板接觸墊904可用以接收晶圓。在替代實施例中,凹部908可設置於頂部及底部支撐伸出部902a、902b二者中。在該實施例中,頂部及底部支撐伸出部902a、902b二者皆係配置成接收易損零件208及晶圓。應注意,易損零件208及晶圓並非同時被接收於伸出部組件上。然而,在一些實施例中,藉由容許將易損零件接收於底部支撐伸出部902b處、同時使用頂部支撐伸出部902a來接收晶圓(或者,反之亦然),則可同時接收易損零件及晶圓。在如此之實施例中,晶圓接觸墊及易損接觸墊的設計位置係使得用以接收易損零件208的接觸表面係與用以接收晶圓的接觸表面保持分離,以避免交叉污染。應注意,晶圓接觸墊位置係定義成使得接收於容許位置誤差之極限處的晶圓將不接觸易損接觸墊。類似地,接收於容許位置誤差之極限處的易損零件不會接觸晶圓接觸墊。接觸接收表面的分離係為了避免晶圓被接收於伸出部組件上時的交叉污染。在一些實施例中,作為使用不同接觸墊的替代,可在定義於底部支撐伸出部902b及/或頂部支撐伸出部902a中的凹部908中設置環件接觸結構。環件接觸結構可由彈性體材料製成,且可為O型環。由環件接觸結構所提供的接觸表面跨距易損零件半徑的較大範圍。
靠近製程模組內之晶圓而設置之例如邊緣環的易損零件係曝露於製程模組內晶圓所曝露的嚴酷處理條件。因此,一些處理化學物可能已作為污染物沉澱在易損零件的表面上。當製程模組中待更換易損零件時,將帶有污染物的舊易損零件從製程模組移除,並將其接收至負載鎖腔室110中,以及將新的易損零件從負載鎖腔室110移至製程模組。由於負載鎖腔室110係用以移動易損零件及晶圓二者進出製程模組,故若用以接收易損零件及晶圓二者的接觸支撐表面係共用的,則來自舊易損零件的污染物有高可能性會污染晶圓的表面。為防止發生如此之污染,一解決方案係使用單獨的負載鎖腔室110來單獨地移動晶圓及易損零件。該設置可為可行的,但可能嚴重地影響晶圓產能,因為兩個負載鎖腔室110中將僅有一者可用於移動晶圓。
為維持晶圓產能、並避免潛在的晶圓污染,在一實施例中,替代的解決方案係容許叢集工具組件100內可用的負載鎖腔室110用於移動新及舊易損零件208、以及經預處理及經處理晶圓。例如,在一實施例中,頂部及底部支撐伸出部902a、902b可提供用於易損零件及晶圓之單獨的接收區域。在如此之實施例中,設置於伸出部組件的複數接觸墊容許晶圓的接觸表面保持於與易損零件之接觸表面相隔開的高度。在易損零件呈環件(亦即,邊緣環)之形式的情形中,藉由將環件的接觸表面維持於與晶圓接觸表面不同的高度,可避免晶圓表面污染或使之最小化。
圖10D描繪在一實施例中,負載鎖腔室110內用以支撐晶圓及易損零件二者之如此之伸出部組件902的放大圖。凹部908係定義於底部支撐伸出部902b的頂部表面上。一或更多易損接觸墊906係設置於凹部908中,用以接收易損零件208,且一或更多基板接觸墊904係靠近支撐伸出部902a、902b的尖端而設置,用以接收晶圓。在一實施例中,在底部支撐伸出部902b上,用以接收易損零件的易損接觸墊906係設置於自支撐機構之中心至少等於易損零件208半徑的距離處,且用以接收晶圓的基板接觸墊904係設置於自支撐機構之中心至少等於晶圓半徑的距離處。設置於複數伸出部組件902之凹部908中的易損接觸墊906定義用以接收易損零件208的環接收平面913b,且設置於伸出部組件902上的基板接觸墊904定義用以接收晶圓的晶圓接收平面913a。凹部908容許環接收平面913b設置於與晶圓接收平面913a不同的高度,從而將晶圓的接觸支撐表面與易損零件的接觸支撐表面隔開。接觸支撐表面的隔開係設計成藉由防止晶圓接觸用於接收易損零件之接觸支撐表面的任何部位而保護晶圓的表面免於污染。在一實施例中,環接收平面913b係處於比晶圓接收平面913a(h2 )低的高度(h1 )(亦即,高度h1 < h2 )。在一實施例中,h2 及h1 間的差異至少大於易損零件208的高度。在另一實施例中,高度h1 > h2 ,使得當將晶圓接收表面與易損零件接收表面隔開時,晶圓被接收於較低的高度,且易損零件被接收於較高的高度。
當然,圖10C及10D中所描繪之伸出部組件設計係使晶圓及易損零件之接觸表面保持為隔開的一範例。可採用其他設計,包含高度h1 > h2 的伸出部組件設計。在該設計中,切槽可定義於支撐伸出部902a、902b之頂部表面上的尖端處。由切槽所形成的表面可用以接收用來支撐晶圓的基板接觸墊904,而易損零件可被接收於定義在底部支撐伸出部902b之頂部表面處之凹部908內的易損接觸墊906上。在一實施例中,可於頂部及/或底部支撐伸出部902a、902b上的(複數)尖端(未顯示)處形成切槽,使得該切槽定義用以接收晶圓的晶圓接收表面。形成(複數)尖端處的該切槽,使得被接收於(由該切槽所定義之)晶圓接收表面上的基板接觸墊904係處於距支撐機構901之中心等於晶圓半徑的至少距離處,使得基板接觸墊904在晶圓被接收時,提供用於晶圓的可靠接觸支撐表面。類似地,設置於在支撐伸出部902a、902b之頂部表面中所定義之凹部908中的易損接觸墊提供易損零件的接觸支撐表面。
圖10E及10F描繪一些實施例中重新設計支撐機構901之前及之後的例示性空氣鎖腔室。支撐機構901包含已重新設計成考量易損零件及晶圓之輸送的複數伸出部組件。重新設計的伸出部組件提供簡單、容易、且具成本效益的解決方案,這是因為其使得易損零件208能夠被接收於負載鎖腔室110內,而不必完全地重新設計負載鎖腔室110。如圖10E中所描繪,為在支撐機構901內伸出部組件之底部支撐伸出部902b上完全接收易損零件208,不得不重新設計間隔塊905',以將其移出易損零件的轉移路徑。圖10E中所顯示之既有設計顯示間隔塊905',該間隔塊905'在於底部支撐伸出部902b上接收易損零件時有所干涉。圖10F描繪一例示性實施例,其中採用重新設計的間隔塊905。重新設計的間隔塊905係顯示為從空氣鎖中心移至較遠處、較靠近側壁。如之前所提及,頂部及底部支撐伸出部可藉由重新設計的間隔塊905、或藉由伸出部支撐件(未顯示)而支撐及隔開,這提供足夠的空隙,以容許易損零件完全地接收於底部支撐伸出部上。
為在頂部支撐伸出部902a上完全接收易損零件208,必須有足夠的垂直空隙,以避免由負載鎖腔室110之內側邊緣半徑所產生的干涉,且當移動易損零件進出負載鎖腔室110時可能受到如此之干涉。伸出部組件係藉由在易損零件的「獨佔空間(exclusion zone)」及負載鎖腔室的上下槽孔轉角(slot corner)之間至少提供最小空隙而設計成考量以上限制。如本申請案中所使用之獨佔空間是指最大易損零件設計(例如,外側直徑及高度)的橫剖面加代表公差疊加的圓周偏移(perimeter offset)。公差疊加包含起因於調平(leveling)、末端作用器彎曲、自動機器臂軌道、自動機器調校(robot teaching)、及其他造成公差之因子的位置誤差效應。上下槽孔轉角係定義為接收易損零件之負載鎖腔室內、支撐機構之頂部及底部支撐伸出部之邊緣以外的分別區域。在一些實施例中,定義於獨佔空間及槽孔轉角之間的最小空隙係介於約0.01”至約0.03”之間。在其他實施例,該最小空隙約為0.025”。
伸出部組件902係設計成使得在接收於伸出部組件上之易損零件及負載鎖腔室110之側壁110a之間至少有最小標稱空隙。在一些實施例中,最小標稱空隙係設計成至少為約5mm至約6mm之間。在其他實施例,最小空隙約為5.4mm。在一些實施例中,至負載鎖腔室110之邊側的最小標稱空隙係定義成考量易損零件錯位或位置偏移,該易損零件錯位或位置偏移可利用動態對準輸入、藉由VTM自動機器而加以修正。
進一步講,伸出部組件902中間隔塊905的高度應定義成提供頂部及底部支撐伸出部902a、902b之間的足夠垂直空隙,以容許接收於底部支撐伸出部902b上的易損零件移動進出負載鎖腔室110,而沒有任何干涉。在一些實施例中,伸出部組件902中的間隔塊905係設計成在接收於底部支撐伸出部902b上之易損零件之頂部表面及頂部支撐伸出部902a之底部表面之間提供最小間隙,以定義沿以移動易損零件的環轉移平面。在一些實施例中,最小間隙係介於約4mm及5mm之間。在一些實施例中,由間隙所定義之垂直空隙約為4.6mm。在一些實施例中,頂部支撐伸出部及底部支撐伸出部之間的垂直空隙係設計成當易損零件正被轉移進出負載鎖腔室110時,在易損零件上方及下方至少提供約為2mm至約3mm的最小空隙。在另一實施例中,頂部支撐伸出部及底部支撐伸出部之間的垂直空隙係設計成在易損零件上方及下方至少約為2.3mm,以達成轉移。在一些實施例中,垂直空隙係定義成考量末端作用器機構在轉移期間所存的任何載重彎曲。
在一實施例中,現在將討論於叢集工具組件100內更換易損零件的高階操作。如參考圖3及3A所描述,叢集工具組件100包含一或更多裝載埠(晶圓載具115或更換站108係永久或暫時安裝於該裝載埠)、具有用以移動易損零件及晶圓之第一自動機器的ATM、負載鎖腔室、具有第二自動機器的VTM、及一或更多製程模組。易損零件係設置於製程模組中,且可需要定期進行更換,以使得晶圓處理可有效率地實施。
在一實施例中,當製程模組中需更換易損零件時,使與VTM整合的所有製程模組呈空載狀態。這意謂著除了所接合之閉合晶圓載具外,在叢集工具組件中沒有晶圓。若更換站係暫時安裝的,則ATM至少一裝載埠係保持為空閒狀態,以接收更換站108。在該實施例中,叢集工具組件100並非設定成用以同時進行晶圓處理及易損零件更換。在替代實施例中,叢集工具組件可配置成同時進行易損零件更換及晶圓處理二者。在如此之實施例中,協調對諸多模組的存取,以容許有效率地移動易損零件及晶圓。
在一實施例中,具有替代易損零件的更換站108係安裝於叢集工具組件100內空閒的裝載埠。在一實施例中,安裝係手動完成。在替代實施例中,安裝係使用自動機器完成。在圖3A所描繪之實施例中,FOUP型更換站可利用懸吊式輸送(OHT, Overhead Hoist Transfer)系統、或自動導引車(AGV, Automatic Guided Vehicle)而以自動方式加以傳送,該懸吊式輸送系統或自動導引車可為自動化物料搬運系統 (AMHS, Automated Material Handling System)的一部分。在一實施例中,AMHS係安裝於主製造工廠(host fabrication facility)中。在一些實施例中,AMHS可包含儲存FOUP型更換站及/或晶圓載具的自動化倉儲部(automated stocker)。AMHS可包含軌道軟體,以引導OHT或AGV至適當的更換站或晶圓載具,使得該適當的更換站或晶圓載具可自倉儲部取回,並被安裝於叢集工具組件內之空閒的裝載埠。在一些實施例中,OHT或AGV可配備有無線射頻辨識系統(RFID, radio frequency identifier)標籤讀取器或條碼讀取器,以精確定位AMHS之倉儲部內更換站或晶圓載具的位置。在一些實施例中,倉儲部可包含成列的更換站及/或晶圓載具。在如此之實施例中,軟體可引導OHT或AGV至適當的列,且條碼讀取器或RFID標籤讀取器可用以辨識待從該列取回之特定的更換站或晶圓載具。在一範例中,OHT或AGV因此可取回更換站,並將其自動安裝於在叢集工具組件之ATM之第一側中所定義的空閒裝載埠。
一旦安裝更換站108,則在與叢集工具組件通訊連接之電腦的使用者介面上啟動轉移順序。轉移順序將更換站108裝載於叢集工具組件。在一些實施例中,一旦裝載更換站,則藉由ATM自動機器103完成易損零件對位圖(mapping)。在一些實施例中,該對位圖可提供動態對準輸入,使得易損零件在被移入負載鎖腔室時可進行對準。在其他實施例中,對位圖可用以判定每一槽孔中易損零件的存在。
VTM自動機器105同時或依序將舊易損零件從叢集工具組件100中之製程模組112移除,以及將舊易損零件208轉移至負載鎖腔室110。VTM自動機器105藉由協調製程模組112內升降銷的動作使用平面轉移移動易損零件208。關於用以從製程模組移除易損零件之升降銷機構的更多資訊係參考圖4加以討論。VTM自動機器105將舊易損零件208定位於伸出部組件902之底部支撐伸出部902b中。在一些實施例中,頂部及底部支撐伸出部902a、902b二者皆可用以輸送舊或新易損零件208。在一實施例中,底部支撐伸出部902b僅可用以接收舊的易損零件208,且頂部支撐伸出部902a僅可用以接收新的易損零件208,或反之亦然。在其他實施例中,舊及新易損零件的接收不受限於複數支撐伸出部的特定一者,而是可被接收於頂部或底部支撐伸出部902a、902b的任何一者上。在易損零件208的更換期間,在負載鎖腔室110中進行動態對準。
一旦舊易損零件208被定位於負載鎖腔室110之支撐機構901上,則排空空氣鎖,以使負載鎖腔室110成環境條件。然後致動ATM自動機器103,以從負載鎖腔室110移除易損零件208,並且將其轉移更換站108。在一實施例中,這可包含ATM自動機器103從更換站108取回環載體(亦即,載體板1104),並用該環載體從負載鎖腔室110取回舊的易損零件。然後,ATM自動機器103從更換站108拿取新的易損零件208,並將其轉移至負載鎖腔室110。接收新的易損零件208之後,將負載鎖腔室110泵抽至真空。為將負載鎖腔室110泵抽至真空,在一實施例中,可將負載鎖腔室110透過與控制器介接之真空控制模組(未顯示)而耦接於泵浦。使用控制器來協調泵浦的動作,以容許當新易損零件208透過VTM 104被移入製程模組112時,將負載鎖腔室110泵抽至真空。一旦負載鎖腔室110係設定至真空狀態,則致動VTM自動機器105,以從負載鎖腔室110拿取易損零件208,並將其輸送至製程模組112。在一實施例中,對負載鎖腔室110的存取係透過介接於VTM 104及負載鎖腔室110之間的閘閥而加以控制。類似地,如參考圖5C所討論,透過VTM 104對製程模組112的存取可透過例如隔離閥的第二閘閥而加以控制。第二閘閥可使用感測器機構而加以操作。因為在易損零件208的更換期間進行動態對準,故當VTM自動機器105從負載鎖腔室110拿取易損零件208時,其拿取進行動態對準校正的易損零件208,並將其定位於製程模組112中。製程模組112中的升降銷機構伸出升降銷,以接收易損零件208、將該易損零件208定位於安裝狀態中、以及然後將升降銷收回外殼(例如,升降銷外殼)內。在一實施例中,多於一者之製程模組112~120(或者稱為「製程腔室」)中易損零件208的更換可使用該製程逐一依序處理。
圖11描繪一實施例中用以儲存新及舊易損零件208的例示性更換站108。在一實施例中,更換站108在結構上可類似於晶圓載具,且包含具有儲存易損零件208之複數隔室207的零件緩衝部224。在一實施例中,零件緩衝部包含用以接收及儲存新易損零件208的「清潔」或「新」易損零件部1206,以及用以接收及儲存舊或已磨損(可能已污染)易損零件208的「髒污」或「已磨損」易損零件部1208。可於更換站108中設置分隔板1202,以將清潔易損零件部1206及髒污易損零件部1208隔開。在一實施例中,呈載體板1104之形式的環載體可儲存於設置在更換站內的外殼中。在一實施例中,載體板1104的外殼可設置在清潔或新易損零件部1206內。載體板1104的外殼可設置在清潔或新易損零件部1206的底部處、頂部處、或底部及頂部其中之間的任何位置處。在替代實施例中,載體板1104可容納於髒污或已磨損易損零件部1208中。載體板1104的外殼可設置在髒污或已磨損易損零件部1208的底部處、頂部處、或底部及頂部其中之間的任何位置處。在一些實施例中,更換站108可容納兩個載體板1104:一者在清潔或新易損零件部1206內、且另外一者在髒污或已磨損易損零件部1208內,使得新及舊易損零件可分開地在更換站及負載鎖腔室110之間轉移。在替代實施例中,作為更換站108的替代、或附加於更換站108,可在ATM 102內定義一或更多部位,以儲存舊及新的易損零件。在如此之實施例中,使用更換站108及ATM 102中的自動機器、或利用其他的傳送及移除手段,可傳送易損零件並將其從ATM 102移除。
圖12描繪一實施例中進入製程模組的例示性槽孔圖,其中正接收易損零件。易損零件係沿著環轉移平面(RTP, ring transfer plane)1301而接收,該環轉移平面1301例如係位於製程模組112內一組腔室介接銷1308上方。在一些實施例中,RTP 1301係定義在腔室介接銷(CIP, chamber interface pin)1308上方。在某些實施例中,易損零件在設置於製程模組112中之靜電夾持部(ESC, electrostatic chuck)之頂部表面上方的高度係定義成容許足夠的空隙,以供易損零件在無任何干涉的情況下移動進出製程模組112。在如此之實施例中,該空隙確保易損零件、具有臂組的末端作用器機構能夠適合通過製程模組112的槽孔開口。因為槽孔開口係針對晶圓轉移設計,故易損零件尺寸可能受限於槽孔尺寸。當轉移較寬的易損零件時,可使用分段易損零件,以適合進入製程模組112的既有槽孔開口,以此代替對槽孔開口重新進行設計。在特定範例中,ESC的相對高度使易損零件偏向槽孔的頂部。由於槽孔轉角半徑的特定尺寸,故在易損零件高度及直徑之間可有所權衡。為確保四周皆有足夠的空隙,可在易損零件及自動機器周圍定義代表轉移期間之組合容積的公差區。公差區將不得不考量負載彎曲效應、自動機器臂軌道誤差、調平誤差效應、及其他因素。在一些實施例中,標稱環轉移路徑上方、下方、及至其邊側的空隙可小如幾毫米或更小。
在一些實施例中,RTP與晶圓轉移平面(WTP, wafer transfer plane)不同。在如此之實施例中,當晶圓正被轉移進出製程模組112時,WTP係定義在CIP 1308及RTP上方。在一些實施例中,在易損零件208之獨佔空間1304及進入製程模組112之開口(晶圓及易損零件係透過該開口進行輸送)的內轉角半徑1306之間定義最小空隙。在一些實施例中,最小空隙在尺寸上可為幾毫米。該最小空隙容許易損零件移動進出製程模組112,而在易損零件的轉移中無製程模組112之任何部位的干涉。
已描述諸多實施例,從而定義用以輸送晶圓且亦用以輸送易損零件、用於ATM 102及VTM 104之自動機器內的末端作用器機構。在一些實施例中,重新設計末端作用器機構,使得伸出部組件延長超過晶圓的邊緣,以容許易損零件的支撐。在替代實施例中,使用既有末端作用器機構來輸送易損零件。在如此之實施例中,在易損零件轉移期間,暫時使用呈環載體之形式的中間固定件來支撐易損零件,這是因為既有末端作用器機構並非針對支撐易損零件而設計。負載鎖腔室內的伸出部組件係重新設計成支撐易損零件。重新設計的末端作用器機構及伸出部組件具有複數接觸墊,以定義晶圓及易損零件之互相獨立的接觸點,以避免交叉污染。接觸墊(易損接觸墊、晶圓接觸墊)可設計成在不同高度或徑向距離處提供接觸點,以在預期的晶圓或易損零件位置誤差內達成獨立分離。
易損零件通常可超過晶圓的直徑、厚度、及重量。因此,對最初並非針對易損零件轉移而設計的工具附加如此之易損零件轉移受到既有硬體內空隙的限制。額外載重引發的彎曲進一步減少空隙。因此,為考量載重上的增加、並降低彎曲,末端作用器機構可由如陶瓷之更高抗撓性的材料製成,以限制彎曲或厚度上的增加,同時利用重新設計的末端作用器機構減少自動機器上的總載重。諸多接觸墊(晶圓接觸墊、易損接觸墊)係定義在末端作用器機構內伸出部組件的表面上,以支撐在預期位置誤差範圍內、且可能具有變化底部表面輪廓的易損零件。用於置中晶圓的相同對準輸入亦可用以定位及置中易損零件。易損零件可經由定義於ATM中的標準晶圓FOUP裝載埠而傳送至叢集工具組件,以及從叢集工具組件移除。用以傳送及移除易損零件的傳送盒係設計成類似於用以傳送晶圓的FOUP設計。傳送盒可為更換站的一部分,其可手動、或藉由自動系統(例如,懸吊式軌道FOUP傳送系統)暫時安裝於ATM的裝載埠。該暫時安裝容許在不使ATM上之其他晶圓儲存或處理硬體移位、且不消耗ATM上之其他晶圓儲存或處理硬體(即晶圓緩衝部、冷卻站、所整合之度量衡器等)的情況下更換易損零件。在替代實施例中,易損零件可經由更換站而接收至ATM中、暫時儲存於與裝載埠隔開的ATM內、且在需更換易損零件時取回。本文中所描述的諸多實施例提供叢集工具組件內易損零件之有效率的、具成本效益的更換方法,而不破壞真空密封,從而容許叢集工具組件具有較短的停機時間。叢集工具組件減少的停機時間將導致改善的晶圓產能。
圖13顯示用以控制以上所述叢集工具組件的控制模組(亦稱為「控制器」)220。在一實施例中,控制器220可包含一些例示性元件,例如處理器、記憶體、及一或更多介面。部分基於感測值,控制器220可用以控制叢集工具組件100中的裝置。僅舉例而言,控基於感測值及其他控制參數,制器220可控制一或更多閥602(包含圖5A、5B、5C的隔離閥216、216') 、過濾器加熱器604、泵浦606(包含泵浦233)、及其他裝置608。僅舉例而言,控制器220接收來自壓力計610、流量計612、溫度感測器614、及/或其他感測器616的感測值。控制器220亦可用以控制前驅物傳送期間及膜沉積期間的製程條件。控制器220典型地將包含一或更多記憶體裝置及一或更多處理器。
控制器220可控制前驅物傳送系統及沉積設備的活動。控制器220執行包含指令組的電腦程式,以控制製程時程、傳送系統溫度、跨過濾器之壓差、閥位置、自動機器與末端作用器、氣體的混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓夾持部或基座位置、及特定製程的其他參數。控制器220亦可監控壓差,以及自動將氣相前驅物傳送從一或更多路徑切換到一或更多其他路徑。在一些實施例中,可採用儲存在與控制器220相關聯之記憶體裝置上的其他電腦程式。
典型地將具有與控制器220相關聯的使用者介面。該使用者介面可包含顯示器618(例如,顯示螢幕、及/或設備及/或製程條件的圖形軟體顯示器)、以及使用者輸入裝置620(例如指示裝置、鍵盤、觸控螢幕、麥克風等)。
用以控制前驅物之傳送、沉積、及製程順序中之其他製程的電腦程式可以任何習知的電腦可讀程式語言編寫,例如組合語言、C、C++、Pascal、Fortran或其他語言。已編成的目的碼或腳本係藉由處理器執行,以執行在程式中所標識之任務。
控制模組(亦即,控制器)參數與例如過濾器壓差、製程氣體組成及流速、溫度、壓力、電漿狀態(例如,RF功率位準及低頻RF頻率)、冷卻氣體壓力、及腔壁溫度的製程條件相關。
系統軟體可以許多不同方式加以設計或配置。例如,可編寫諸多腔室元件子程式或控制目標,以控制對於實行發明性沉積製程必要之腔室或製程模組元件的操作。針對此目的之程式或部分程式的範例包含基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼、電漿控制碼、升降機構控制碼、自動機器位置碼、末端作用器位置碼、及閥位置控制碼。
基板定位程式可包含用以控制腔室元件的程式碼,該腔室元件係用以將基板裝載至基座或夾持部上、並用以控制基板及腔室之其他部件(例如,氣體入口及/或靶材)之間的間隔。製程氣體控制程式可包含程式碼,用以控制氣體組成及流速、以及可選地用以使氣體在沉積之前流入腔室,以穩定腔室中的壓力。過濾器監控程式包含將(複數)量測壓差與(複數)預定值加以比較的程式碼、及/或用以切換路徑的程式碼。壓力控制程式可包含藉由調節例如腔室之排氣系統中的節流閥而控制腔室中之壓力的程式碼。加熱器控制程式可包含用以控制電流的程式碼,該電流係流向加熱單元,以加熱前驅物傳送系統中的元件、基板、及/或系統的其他部位。或者,加熱器控制程式可控制例如氦之熱轉移氣體向基板夾持部的傳送。閥位置控制碼可包含程式碼,以藉由控制隔離閥而控制對製程模組或叢集工具組件的存取,舉例而言,該隔離閥提供對製程模組或叢集工具的存取。舉例而言,升降機構控制碼可包含啟動致動器驅動機以使致動器移動升降銷的程式碼。自動機器位置碼可包含操控(複數)自動機器之位置(包含例如操控自動機器沿橫向、垂直向、或徑向軸移動)的程式碼。末端作用器位置碼可包含操控末端作用器之位置(包含例如操控自動機器伸出、縮回、或沿橫向、垂直向、或徑向軸移動)的程式碼。
在沉積期間可監控之感測器的範例包含但不限於質流控制模組、例如壓力計610的壓力感測器、及(位於傳送系統、基座、或夾持部中的)熱偶 (例如,溫度感測器614)。經適當編程的回饋及控制演算法可與來自該等感測器的資料一起用以維持期望的製程條件。前述內容描述單一腔室或複數腔室半導體處理工具中,本發明之實施方式的實施例。
前開式環件傳送盒:
在諸多實施例相關於更換站在叢集工具組件之不同模組處的位置、負載鎖腔室內的伸出部組件及不同自動機器的末端作用器機構在叢集工具組件中用於移動易損零件而討論的情況下, 現在將關注傳送盒的細節,該傳送盒係更換站的一部分,其係用以供應新的易損零件至叢集工具組件、及從叢集工具組件移除舊的或受損的易損零件。在一些實施例中,易損零件可為邊緣環。在替代的實施例中,易損零件可為聚焦環、介電環、或可用於製程模組中且可能被曝露於製程模組內之處理條件的任何其他易損零件。易損零件可圍繞被接收於製程模組中的基板或基板的部分、圍繞定義於製程模組內側的夾持部或夾持部的部分、或圍繞設置於製程模組之其他部位中且被曝露於製程模組內之製程條件的任何其他易損元件。易損零件可為單一單元,或者可包含複數部件。或者,易損零件可包含複數環件。易損零件可由石英、陶瓷、矽、介電材料製成,或塗佈有不同的材料。由於製程模組中的處理條件,故邊緣環可能變得磨損或受損,且必須以快速方式定期加以更換。
圖14描繪一實施例中,接合傳送盒以更換製程模組之易損零件的例示性更換站108。更換站108可包含與叢集工具組件中之模組的開口介接的裝載埠模組1155。例如,裝載埠模組1155可(永久或暫時地)安裝於叢集工具組件之製程模組112、或真空轉移模組(VTM)104、或大氣轉移模組(ATM)102。裝載埠模組1155充當晶圓載具115或易損零件載具的對接站,並且該裝載埠模組1155包含裝載埠,晶圓載具115或易損零件載具可耦接於該裝載埠。在一些實施例中,易損零件載具在結構上類似於用以轉移晶圓進出叢集工具組件的晶圓載具115(亦即,前開式晶圓傳送盒(FOUP)),並且因此易損零件載具在本文中自此亦稱為前開式環件傳送盒(或簡稱為「環件傳送盒」)1000。環件傳送盒1000係用以轉移例如邊緣環的易損零件208進出製程模組。環件傳送盒1000中的開口(亦即,由環件傳送盒之門體邊框所定義之外殼)匹配定義於裝載埠中的開口,使得易損零件208可輕易地被移動進出叢集工具組件。用以移動易損零件208進出叢集工具組件的環件傳送盒1000的結構細節將參考圖15A至21B加以描述。
圖15A及15B描繪用以儲存例如邊緣環之易損零件的環件傳送盒1000的不同視圖。如圖15A所描繪,環件傳送盒1000包含殼結構1127,該殼結構1127提供外殼,以容納用來接收及儲存易損零件之環件傳送盒1000的諸多部件。環件傳送盒1000包含設置於殼結構1127之頂部表面上的凸緣1121。凸緣1121可接合懸吊式輸送(OHT)模組,以輸送環件傳送盒1000往返裝載埠模組1155的裝載埠。凸緣1121在設計上類似於FOUP中找到的凸緣,並且因此不作詳細討論。除凸緣1121外,環件傳送盒1000還包含設置於環件傳送盒1000之側邊上的把手1122。環件傳送盒1000亦包含門體邊框1120,該門體邊框1120定義環件傳送盒1000的開口。窗部1125係定義於環件傳送盒1000之背側的一部分中,以提供對環件傳送盒1000內部的查看,從而容許使用者視覺上判定被接收於環件傳送盒1000中之易損零件的位置。在一些實施例中,窗部1125可由聚碳酸酯或其他類似透視材料製成。窗部1125的位置及方向僅作為範例而提供,且亦可考量其他位置及/或方向。
圖15B描繪環件傳送盒1000的前側及底側視圖。除了參考圖15A所定義的諸多部件外,環件傳送盒1000亦包含具有諸多自動化物料搬運系統 (AMHS)介接部及/或OHT介接部的基部板1134。例如,基部板1134可包含分佈於基部板1134之下側表面上的複數運動槽1124。運動槽1124係用以使環件傳送盒1000與對應的運動銷對準,該對應的運動銷係定義於該環件傳送盒1000所耦接之裝載埠模組1155的裝載埠中。運動槽1124亦可為接地之耗散路徑的一部分,該接地之耗散路徑係由在傳送盒內接收易損零件之接收表面、及裝載埠之運動銷所定義。裝載埠中的運動銷係接地的。耗散路徑將參考圖17A及17C更詳細加以討論。適配於門體邊框1120中的門體1123係設置於環件傳送盒1000的前側中,且該門體1123包含在易損零件被接收於環件傳送盒1000中時,與該易損零件接合、分開、及固定該易損零件的必要硬體。門體1123係可操作成提供對環件傳送盒1000內側的存取,以容許叢集工具組件內、或環件傳送盒1000內的自動機器輸送易損零件進出環件傳送盒1000。成對的傳送帶軌道1133係設置於環件傳送盒1000的基部板1134上,以容許將環件傳送盒1000手動對準至例如人工導引車(PGV, person guided vehicle)的襯墊搬運系統上。設置於環件傳送盒1000上的把手1122可用以將環件傳送盒1000手動裝載於PGV上。
圖16提供透過殼結構1127審視之環件傳送盒1000內側的詳細代表圖。在圖16所描繪的實施例中,殼結構1127係以透明結構為代表。在該實施例中,透明的殼結構1127提供環件傳送盒1000之內部結構 的視圖。在如此之實施例中,無需單獨的窗部1125來查看環件傳送盒1000的內側。 在另一實施例中,殼結構1127可為不透明的。在該實施例中,窗部1125可在環件傳送盒1000的一側(例如,背側)的一部分上沿縱向定義,以提供對環件傳送盒1000之內側的查看。殼結構1127容納易損零件固持結構,並且提供對易損零件固持結構之不同元件的安定性。易損零件固持結構包含定向於基部板1134之上的頂部板1132、及延伸於基部板1134及頂部板1132之間且沿基部板1134之外周分佈的複數支撐柱1129。複數支撐柱包含沿著支撐柱之內側表面的長度方向定義的複數支撐伸出部,用以接收易損零件208。基部板1134更包含用以接收載體板1104的複數載體支撐件,自動機器的末端作用器機構使用該載體板1104來載送易損零件208往返環件傳送盒1000。成對的硬停止柱1128係設置於外殼內側、且設置成平行於成對的支撐柱,該成對的支撐柱係接近基部板1134的背側而設置。在一些實施例中,每一硬停止柱1128係設置成實質上垂直於成對支撐柱中對應的支撐柱1129,但與該支撐柱1129隔開。硬停止柱1128係配置成當易損零件208被接收於傳送盒中時提供徑向限制,以固定該易損零件208。複數支撐柱1129係利用頂部板1132而固持在一起,該頂部板1132提供支撐柱1129所需的穩定性。在一實施例中,環件傳送盒1000亦包含透過殼結構1127直接附接於支撐柱1129的把手1122,且支撐柱1129提供把手1122的直接支撐。
圖17A~17G描繪一些實施例中,設置於環件傳送盒1000內、且用以在環件傳送盒1000內接收及儲存易損零件208之支撐柱及固持組件1135的細節。圖17A顯示沒有殼結構1127及門體組件的環件傳送盒1000的基本結構。基本結構包含定向成與頂部板1132在垂直方向上相向的基部板1134、及延伸於頂部板1132及基部板1134之間的複數支撐柱1129。在一些實施例中,基部板1134定義環件傳送盒1000的底部表面,支撐柱係在該底部表面上適當定位。基部板1134係由前側、背側、及延伸於該前側及該背側之間的兩側邊而定義。在一些實施例中,兩側邊實質上彼此平行。在替代的實施例中,取決於正被儲存之易損零件、以及取決於環件傳送盒1000的設計,兩側邊可定向成彼此不同。頂部板1132係定向成與基部板1134相向,且係固定於殼結構1127之頂部表面的下側。
在一些實施例中,複數支撐柱包含沿著基部板1134的兩側邊而設置的兩對支撐柱1129。應注意,實施例不受限於兩對支撐柱,而可包含額外的一或成對支撐柱1129。額外的一或成對支撐柱可沿著基部板1134的兩側邊或背側而設置。返回至兩對支撐柱1129沿著基部板1134之兩側邊而設置的實施例,第一對的第一支撐柱1129a係接近基部板1134的前側、且設置於基部板的第一側邊上。第一對的第二支撐柱1129b係接近基部板1134的前側、且設置於基部板1134的第二側邊上,使得第一對的第一支撐柱定向成與第二支撐柱相向。第二對的第三支撐柱1129c係接近基部板1134的背側、且設置於基部板1134的第一側邊上。第二對的第四支撐柱1129d係接近基部板1134的背側、且設置於基部板1134的第二側邊上,使得第二對的第三支撐柱定向成與第四支撐柱相向。支撐柱1129a、1129b、1129c、及1129d自基部板1134延伸至頂部板1132,且沿環件傳送盒1000的外周對稱分佈。支撐柱1129a、1129b、1129c、及1129d係各連接於頂部板1132,該頂部板1132提供支撐柱1129a、1129b、1129c、及1129d的支撐。頂部板1132係設置於基部板之上,且藉由第一、第二、第三、及第四支撐柱(1129a~1129d)隔開。
除支撐柱1129a、1129b、1129c、1129d外,環件傳送盒1000的基本結構還可包含成對的硬停止柱1128。在圖17A所描繪的實施例中,成對硬停止柱中的第一硬停止柱1128a係接近第二對支撐柱中之第三支撐柱1129c而設置、且定向成平行於該第二對支撐柱中之第三支撐柱1129c。類似地,成對硬停止柱中的第二硬停止柱1128b係接近第二對支撐柱中之第四支撐柱1129d而設置、且定向成平行於該第二對支撐柱中之第四支撐柱1129d。在一些實施例中,第一硬停止柱1128a係位於第三支撐柱1129c及基部板1134的背側之間,且第二硬停止柱係位於第四支撐柱1129d及基部板1134的背側之間。在該實施例中,硬停止柱1128a、1128b的每一者分別地與個別支撐柱1129c、1129d隔開。在一些實施例中,硬停止柱1128係定向成朝向環件傳送盒1000的內部區域、且實質上垂直於第二對支撐柱之分別的支撐柱1129c、1129d的內側邊緣。如此的方向容許硬停止柱1128提供徑向限制,以在易損零件被接收於環件傳送盒1000內側時,固定該易損零件。應注意,在環件傳送盒中可包含多於一對的硬停止柱1128。在如此之實施例中,每一硬停止柱1128可設置成相對於分別支撐柱1129的一角度,以當環件被推入環件傳送盒內的位置中時,提供徑向限制。在一些實施例中,支撐柱1129a、1129b、1129c、1129d 的每一者係由單一金屬塊製成。在其他實施例中,支撐柱1129a、1129b、1129c、1129d 的每一者係由複數金屬塊製成,且每一塊係設計成與另一塊緊密配合。在一些實施例中,支撐柱1129a、1129b、1129c、1129d係由鋁材料製成。在一些實施例中,為增加對腐蝕及磨損的抵抗力,支撐柱1129a~1129d可由陽極化鋁、或其他耐腐蝕材料製成。
在替代的實施例中,基部板1134的每一側邊可包含設置於頂部板1132及基部板1134之間的單一支撐柱1129。在一些實施例中,第一硬停止柱1128a可與設置於第一側邊上的第一支撐柱1129整合,且第二硬停止柱1128b可與設置於第二側邊上的第二支撐柱1129整合。在一些其他實施例中,第一硬停止柱1128a可位於第一支撐柱1129及基部板1134的背側之間,且第二硬停止柱1128b可位於第二支撐柱1129及基部板1134的背側之間。在該實施例中,硬停止柱1128a、1128b的每一者係分別地與個別第一及第二支撐柱1129隔開。
環件傳送盒1000的結構更包含設置於環件傳送盒1000之側邊上的成對把手1122。在一些實施例中,成對把手1122中的第一把手1122係使用一或更多直接連接部1122a、透過殼結構1127而附接於第一對支撐柱的第一支撐柱1129a及第二對支撐柱的第三支撐柱1129c,該第一對支撐柱的第一支撐柱1129a及第二對支撐柱的第三支撐柱1129c二者皆係設置於基部板1134的第一側邊上。類似地,第二把手1122係使用一或更多直接連接部1122a而附接於第一對支撐柱的支撐柱1129b及第二對支撐柱的支撐柱1129d,該支撐柱1129b及支撐柱1129d二者皆係沿著基部板1134的第二側邊而設置。在一些實施例中,直接連接部1122a可為螺釘、夾具、及容許把手1122直接連接於對應支撐柱的固持結構。在一些實施例中,把手1122係用於環件傳送盒1000的手動搬運。
複數支撐伸出部1130係沿第一及第二對支撐柱中之每一支撐柱(1129a、1129b、1129c、1129d)的內側表面縱向設置。支撐伸出部1130向環件傳送盒1000的內部區域延伸,以提供用以接收易損零件的支撐表面。在圖17A所描繪的實施例中,設置於第一對支撐柱1129a、1129b上之支撐伸出部1130的形狀及/或設計類似於設置於第二對支撐柱1129c、1129d上之支撐伸出部的形狀及/或設計。
圖17B顯示設置於第一及第二對支撐柱(1129a~1129d)上之支撐伸出部1130的放大圖。在一些實施例中,支撐伸出部1130係由鋁、或其他類似輕量材料製成。在如此之實施例中,支撐墊1131係定義於每一支撐伸出部1130的頂部表面上。在一些實施例中,支撐墊1131可由例如碳填充聚醚醚酮(PEEK,PolyEtherEtherKetone)的材料製成,以在易損零件被接收於支撐伸出部1130上時,提供該易損零件的防刮支撐表面。碳填充PEEK材料僅為範例,且亦可使用能夠提供類似支撐功能的其他材料。定義支1131撐墊,以提供不同的支撐表面,使得易損零件被接收時不接觸支撐伸出部1130的金屬表面。在一實施例中,如圖17B中所描繪,可在每一支撐伸出部1130的頂部表面上定義凹槽,且可將支撐墊1131嵌入該凹槽中,使得支撐墊1131的一部分延伸超過支撐伸出部1130的頂部表面,以提供用於易損零件208的不同接收表面。在其他實施例中,由PEEK或其他材料製成的桿狀物、或帶狀物、或覆層可定義於支撐伸出部1130之頂部表面的一部分上,以定義支撐墊1131。在如此之實施例中,支撐墊可定義成提供自支撐伸出部1130之頂部表面延伸的凸起表面,以提供不同支撐表面。圖17H描繪一如此之實施例的橫剖面圖,其中帶狀物支撐墊1131係設置於支撐伸出部1130的頂部表面上。圖17I描繪一替代實施例的橫剖面圖,其中設置於每一支撐伸出部之頂部表面上的支撐墊的輪廓係尖凸而非平坦的。支撐墊的不同實施例僅作為範例提供,且可實施支撐墊的其他設計。支撐墊1131提供與支撐伸出部1130之頂部表面隔開的不同線接觸或面接觸,以防止支撐伸出部1130之頂部表面的污染。在圖17J所描述的另一實施例中,支撐伸出部1130係由碳填充PEEK材料製成。在該實施例中,無需在支撐伸出部1130上定義單獨的支撐墊1131,這是因為支撐伸出部1130提供用以接收易損零件的防刮及防滑表面,並且沒有發生污染的金屬表面。應注意,用於支撐伸出部1130及支撐墊1131的材料僅為範例,且不應考量為限制性。其他材料可用於支撐伸出部1130及支撐墊1131,只要其提供支撐伸出部1130及支撐墊1131所設計之功能即可。
圖17C描繪在一實施例中,可用於在環件傳送盒1000內接收及儲存易損零件之支撐柱的替代設計。在該實施例中,第二對支撐柱的第三及第四支撐柱1129c及1129d係沿著基部板1134的內部相向角落而設置。因此,如圖17C中所示,支撐柱1129c、1129d係設計成具有與基部板1134之內部角落的角輪廓相匹配的角輪廓。分佈於第二對支撐柱之第三及第四支撐柱1129c、1129d內側表面上的複數支撐伸出部1130b與分佈於第一對支撐柱之第一及第二支撐柱1129a、1129b內側表面上的支撐伸出部1130的形狀不同。如圖17C中所描繪,支撐伸出部1130b的輪廓與第二對支撐柱之第三及第四支撐柱 1129c、1129d的輪廓相匹配。
圖17D顯示在一實施例中,設置於第二對支撐柱之第三及第四支撐柱 1129c、1129d內側表面上的支撐伸出部1130b的放大圖。在一些實施例中,支撐伸出部1130b係由例如鋁、或其他類似金屬的金屬製成。為防止腐蝕,支撐伸出部1130可由陽極化鋁、或塗佈有鐵氟龍、環氧樹脂、或可防止腐蝕之其他類似材料的鋁製成。類似於設置於第一對支撐柱之第一及第二支撐柱1129a、1129b上的支撐伸出部1130,每一支撐伸出部1130b可包含定義於支撐伸出部1130b之頂部表面上的支撐墊1131,以在易損零件208被接收時提供用於該易損零件208的不同支撐表面,以防止易損零件208與支撐伸出部1130的金屬表面接觸。在其他實施例中,支撐伸出部1130可由碳填充PEEK材料製成。在如此之實施例中,在支撐伸出部1130b上不定義單獨的支撐墊1131。支撐伸出部1130、1130b提供用於易損零件208的足夠支撐表面,以防止易損零件從其在支撐伸出部1130a、1130b上的位置中滑出。在一些實施例中,第一、第二、第三、第四支撐柱(1129a~1129d)的每一者係由經陽極化的、或塗佈有腐蝕抑制材料的鋁或其他輕量材料製成。
環件傳送盒1000包含成對硬停止柱1128a、1128b。然而,作為如圖17A中所描繪之硬停止柱1128係與第二對支撐柱之分別的支撐柱1129c、1129d隔開的替代,成對硬停止柱1128a、1128b的每一者係鄰近面向基部板1134之背側的第二對支撐柱之分別支撐柱的內側邊緣而設置。例如,第一硬停止柱1128a係鄰近第二對支撐柱之第三支撐柱1129c而設置,且第二硬停止柱1128b鄰近第二對支撐柱的第四支撐柱1129d。進一步講,在圖17C及17D所描繪的實施例中,硬停止柱1128係定向成朝向環件傳送盒1000的內部區域,從而實質上垂直於第二對支撐柱之支撐柱1129c、1129d的內側邊緣,以在易損林零件被接收於環件傳送盒1000內時,提供用於易損零件的徑向限制。在其他實施例中, 硬停止柱1128a、1128b可相關於支撐柱1129b的內側邊緣定向成任何角度,只要其能夠提供對易損零件的徑向限制即可。在一些實施例中,第一及第二硬停止柱1128a、1128b可使用任何固定或連接方式而固定於分別的支撐柱1129c、1129d,例如連接工具、連接結構、連接機構、連接元件等。在一些實施例中,硬停止柱1128a、1128b的每一者係由單一的材料塊或材料帶(例如,碳填充PEEK材料)製成,而支撐柱1129a~1129d的每一者係由鋁製成。在一些實施例中,由金屬製成、且被曝露於環件傳送盒1000內之大氣環境的支撐柱1129a~d、支撐伸出部1130、1130b、及環件傳送盒1000內的其他表面可能必須加以處理,以防止腐蝕、任何其他磨損、或損傷。例如,由金屬製成之環件傳送盒1000的任何元件可能由於曝露於由受損或已磨損易損零件所帶回之處理化學物/化學成分而受損。為避免如此之損傷,由金屬製成之環件傳送盒1000的支撐柱(1129a~1129d)、支撐伸出部(1130、1130b)、及其他表面可能必須加以處理,例如,塗佈如鐵氟龍、環氧樹脂等的腐蝕抑制材料來防止磨損。或者,取代金屬,支撐柱1129a~1129d、支撐伸出部1130、1130b可由陽極化鋁製成。
殼結構1127係配置成附接於環件傳送盒1000之基部板1134的外周,且容納第一及第二對支撐柱1129a~1129d、頂部板1132、及第一及第二硬停止柱1128a、1128b。在一些實施例中,頂部板1132可為殼結構1127的一部分。殼結構包含沿著基部板1134前側的開口。頂部板1132係附接於殼結構1127之頂部表面的下側。殼結構的前開口係配置成與門體1123相匹配。
圖17E~17G描繪設置於環件傳送盒1000之前側上之門體1123的細節。門體1123具有配合裝載埠之開口之尺寸的尺寸。門體係配置成與殼結構1127的前開口相匹配。如圖17E中所描繪,門體1123包含定義於門體1123的內側表面上、且延伸門體1123之長度的固持組件1135。固持組件1135的細節將參考圖17F及17G中所提供之放大圖而詳細加以描述。圖17F描繪一易損零件的視圖,該易損零件係被接收於在固持組件1135中所定義的槽孔內,以及圖17G描繪標識不同元件之固持組件1135的放大圖。
同時參考圖17F、17G,固持組件1135包含用以在環件傳送盒1000內固定及定位易損零件208的複數元件。例如,固持組件1135包含夾具1150、彈簧機構1151、及接收墊1152。夾具1150為附接於門體1123之內側表面的固定單元。夾具1150係用以固定固持組件1135的彈簧機構1151。在一些實施例中,夾具1150係由鋁製成。成對緩衝部1136係設置於接收墊1152的任一側上。緩衝部1136係用以定義徑向限制,當易損零件208在環件傳送盒1000內固定定位時,接收墊1152的移動受限於該徑向限制。額外地,當門體1123閉合時,緩衝部1136提供易損零件在傳送盒1000內移動的徑向限制。在一些實施例中,如圖17F中所描繪,成對緩衝部的第一緩衝部1136係鄰近夾具1150而定義在夾具1150之與用來固定彈簧機構1151之一側相反的一側上。成對緩衝部的第二緩衝部1136係設置於接收墊1152的另一側。
彈簧機構1151的一端係固定於夾具1150,且另一端係固定於接收墊1152,並且該彈簧機構1151係設計成當門體1123閉合時,提供足夠的彈簧負載力至接收墊1152。在一些實施例中,彈簧機構1151係設計成使得提供彈簧負載力的彈簧元件緊鄰於固持組件1135之每一接收墊1152的後方,使得當環件傳送盒1000的門體1123閉合、且彈簧機構1151啟動時,來自已啟動彈簧機構1151的力直接地施加於接收墊1152,導致接收墊1152被向內推進。因此,這將接收於接收墊1152上的易損零件208推向第二對支撐柱的第三及第四支撐柱1129c、1129d。分別鄰近第三及第四支撐柱1129c、1129d的第一及第二硬停止柱1128a、1128b提供徑向限制,以將易損零件208固持定位於支撐伸出部1130、1130b的選擇者上。在一些實施例中,彈簧機構1151的彈簧元件係由鋼材料製成。
當自動機器將易損零件208定位於環件傳送盒1000中時,易損零件208可能未正確定位。例如,易損零件208可能未完全退回定位成使得支撐伸出部1130可完全地支撐該易損零件208。為防止當從叢集工具組件移走環件傳送盒1000時易損零件208從其位置移動或滑出,使用固持組件1135的諸多部件來將易損零件208推向第三、第四支撐柱1129c、1129d及第一及第二硬停止柱1128a、1128b,使得易損零件208被固持定位於支撐伸出部1130上。設置於支撐柱 1129a~1129d上的支撐伸出部1130提供底部限制,以將易損零件固持定位。
接收墊1152係設計成定義用以接收及對準易損零件208、並將易損零件208固持定位的凹穴。在一些實施例中,接收墊係塑形為倒「C」型。接收墊1152的設計確保將易損零件固持定位、且不從凹穴中滑出。進一步講,接收墊1152中的凹穴係定尺寸成提供足夠的空隙,以考量當易損零件208藉由自動機器被移入環件傳送盒1000時的彎曲及其他潛在公差。進一步講,凹穴的寬度及高度係足夠的,以考量易損零件208的厚度及高度。進一步講,接收墊1152中之凹穴的尺寸、支撐伸出部1130、1130b、及支撐墊1131的深度係設計成考量具有不同底部表面輪廓的易損零件208。在一些實施例中,接收墊1152係由碳填充PEEK材料製成。在一些實施例中,當門體1123閉合、且易損零件208被推定位時,在緩衝部1136及易損零件208之間設置足夠的空隙。
圖18描繪當已接收易損零件208時,環件傳送盒1000內側的俯視圖。該俯視圖顯示易損零件被接收於從第一及第二對支撐柱(亦即,1129a~1129d)向外延伸的支撐伸出部1130上。第一及第二硬停止柱1128a、1128b提供用於易損零件208的徑向限制、同時確保易損零件208係適當定位。在一些實施例中,第一及第二硬停止柱1128a、1128b係分別地相對於第三及第四支撐柱 1129c、1129d而定向,使得第一及第二硬停止柱1128a、1128b的邊緣1128e相對於基部板1134的外周面向內。在其他實施例中,第一及第二硬停止柱1128a、1128b係分別地相對於第三及第四支撐柱 1129c、1129d而定向,使得第一及第二硬停止柱1128a、1128b的表面相對於基部板1134的外周面向內。面向內之硬停止柱1128a、1128b的表面可為邊緣表面、平坦側表面、或圓柱狀表面。沿著門體1123之長度方向設置之固持組件1135的彈簧機構1151提供足夠的徑向力,以導致環接收墊1152將易損零件208推向第一及第二硬停止柱1128a、1128b,且成對緩衝部1136確保彈簧機構1151不會施以太多的力於易損零件208,以免使易損零件208扭曲或使易損零件208從其在支撐伸出部1130、1130b上的位置中移出。
在一實施例中,間隔控制可用於環件傳送盒1000的門體1123,以在門體1123接合(即,閉合)或分開(即,打開)時調整門體邊框1120及殼結構1127之開口之間的間隔。在一實施例中,當門體接合時,門體邊框1120距殼結構1127的間隔可藉由調整銷的位置而控制,該銷係設置於門體邊框1120之每一角落的脊柱中。
易損零件係利用環件傳送盒1000內自動機器之末端作用器機構、或叢集工具組件中自動機器之末端作用器機構而移動於環件傳送盒1000及叢集工具組件內之製程模組之間。在一些實施例中,一般用來輸送晶圓往返晶圓載具115之自動機器的末端作用器機構可能不足夠大而無法考量通常大於晶圓的易損零件。為考量易損零件,末端作用器機構可使用載體板1104來輸送易損零件往返環件傳送盒1000。載體板1104可被儲存於環件傳送盒1000的底部、環件傳送盒1000的頂部、或該環件傳送盒1000之底部及頂部之間的任何位置。進一步講,單一載體板1104或複數載體板1104可用以輸送易損零件。例如,專用載體板1104可用以分開地輸送新易損零件及舊易損零件。環載體係被接收於定義在環件傳送盒1000中的載體支撐機構上。
圖19A描繪本發明之一實施例中,定義在環件傳送盒1000內、用以接收載體板1104的例示性載體支撐機構。在該實施例中,環件傳送盒1000容納用以輸送新及舊易損零件兩者的單一載體板1104。載體支撐機構包含複數載體支撐件,該複數載體支撐件係例如分佈於在基部板1134上所定義的平面上。每一載體支撐件包含提供支撐且使載體板1104與基部板1134分離的基部支撐結構1137、以及設置於該基部支撐結構1137頂部上的頂冠1138。在一些實施例中,頂冠1138可為墊圈單元。基部支撐結構1137及頂冠1138係設計成當載體板1104被接受於環件傳送盒1000內時,提供載體板1104的支撐。進一步講,頂冠1138的設計防止載體板1104從其在基部支撐結構上的位置中移出。
載體支撐件根據載體板1104的形狀定位於一平面中。例如,當載體板1104在形狀上為三角形時,載體支撐件係沿著載體板1104的三角形輪廓適當定位。在一些實施例中,載體支撐件係由碳填充PEEK材料製成。在其他實施例中,載體支撐件可由選擇用以防止腐蝕及其他磨損、同時提供載體板1104之支撐的其他材料製成。或者,載體支撐件可由金屬製成,並且塗佈有例如環氧樹脂、鐵氟龍等的耐腐蝕材料。
圖19B描繪定義於環件傳送盒1000內之載體支撐機構的替代範例,其中可接收複數載體板1104。在該實施例中,環件傳送盒1000包含定義於基部板1134及頂部板1132之間、且定向成實質上平行於基部板1134的分隔板1202。在圖19B所描繪的實施例中,複數載體支撐件係設置於基部板1134及分隔板1202兩者上,以接收載體板1104。分隔板1202可定義成分隔環件傳送盒1000中的清潔易損零件部1206(或者,新易損零件部1206) (參考圖11之描述)與髒污易損零件部1208(參考圖11之描述)。清潔易損零件部1206包含用以接收清潔或新易損零件208的專用槽孔,且髒污易損零件部1208包含用以接收髒污或受損或舊易損零件208的專用槽孔。在一些實施例中,除了在基部板1134及分隔板1202處設置載體支撐件外,還可在頂部板1132的下側表面上設置額外的載體支撐件。額外的載體支撐件在結構上可類似於參考圖19A及19B所描述之載體支撐結構,或者可具有不同結構。在額外之載體支撐件係設置於頂部板1132之下側表面上的一些實施例中,載體板1104可藉由頂部板1132的載體支撐件加以接收及支撐。
在一些實施例中,定義於基部板1134及/或分隔板1202上之載體支撐件的高度將決定用以接收易損零件208之支撐伸出部1130可從何者高度開始沿著支撐柱1129a~1129d的長度方向加以定義,從而當易損零件被接受於環件傳送盒1000內時,避免載體支撐件的任何干涉。例如,支撐伸出部1130可從大於在基部板1134上所定義之載體支撐件之高度的高度開始而定義於支撐柱1129上,使得當易損零件被接受於底部伸出部支撐件時,載體支撐件不會干涉。類似地,在頂部板1132之底部表面上所定義之載體支撐件的高度將決定有待於沿著支撐柱 1129a~1129d長度方向而定義之支撐伸出部1130向上所抵達的高度。
圖20描繪本發明一實施例中,用以儲存及輸送易損零件之環件傳送盒1000的基部板1134的底部表面視圖。基部板1134的底部表面包含諸多介接部,該等介接部係用於手動裝載、或與自動化物料搬運系統(AMHS)、懸吊式輸送(OHT) 系統等標準件相容,或者用於環件傳送盒1000在裝載埠模組1155中之裝載埠上的自動對準。設置於基部板1134之底部表面的介接部類似於在晶圓載具115(例如,前開式晶圓傳送盒(FOUP))上所找到的介接部。舉例而言,成對傳送帶軌道1133可與環件傳送盒1000的把手1122一起用來將環件傳送盒1000手動裝載至例如人工導引車(PGV)的物料搬運車。類似地,例如設置於殼結構1127之頂部表面上之用於OHT搬運的凸緣1121、設置於基部板1134之底部表面上的穿梭夾持特徵部(shuttle clamp feature)1142 、以及設置於運動槽1124上的載體感測墊1143可在環件傳送盒1000的自動搬運期間藉由AMHS、OHT等一起加以使用。一或更多載體感測墊1143亦可在環件傳送盒1000的自動搬運期間藉由AMHS、OHT等而加以使用。
運動槽1124可為用來將環件傳送盒1000與對應運動銷對準之對準機構的一部分,該對應運動銷係定義在裝載埠模組1155的裝載埠上。運動槽1124亦可用以提供接地的耗散路徑,以保持低靜電放電位準。排氣組件1126係用以排空環件傳送盒1000內側。一或更多的資訊墊1140 可用以追蹤各種狀況,包含環件傳送盒1000與定義在更換站中之裝載埠的對準程度。一或更多的鎖定方式(lockout option)1141係設置用於在操作期間封鎖或解鎖環件傳送盒1000的一或更多介接元件。設置於環件傳送盒1000之底部的介接部亦為標準介接部,其與定義成用以搬運FOUP的物料搬運標準件一致、但延伸於環件傳送盒1000。
環件傳送盒1000的諸多元件可由金屬製成。例如,支撐伸出部1130、支撐柱 1129(即,1129a、1129b)、基部板1134等可由例如鑄造鋁的金屬製成。為確保易損零件不受損,定義從支撐墊1131、通過支撐伸出部1130之金屬部分、至支撐柱1129a~1129d之對應一者、向下至基部板1134、至定義於基部板1134之底側上的運動槽1124、至裝載埠上之運動銷的耗散路徑。裝載埠上的運動銷係接地的,使得接觸易損零件的表面亦接地。
一般來講,支撐柱1129、基部板1134、支撐伸出部1130等全部係由鋁、或其他輕量金屬/材料製成,以限制環件傳送盒1000的重量。環件傳送盒1000之設計中之諸多元件所使用的材料受牽制於由OHT、AMHS等之標準件組所定義的重量限制。因此,環件傳送盒1000的一些元件可由鑄造鋁製成,其他元件可利用例如環氧樹脂、鐵氟龍等的耐腐蝕材料加以塗佈,一些其他元件可由塑膠塑形或製成。
環件傳送盒1000可用以儲存及輸送不同類型的易損零件。為判定環件傳送盒1000的確切內容物,環件傳送盒1000可包含用以判定其中所包含之內容的識別部。在一些實施例中,專用識別站可用以掃描識別部,以識別環件傳送盒1000的內容物。識別站可包含例如具有感測器的自動機器,以掃描環件傳送盒識別部,並且將其與內容物相關聯。
圖21A及21B提供本發明一些實施例中之環件傳送盒1000之背側的視圖,在該環件傳送盒1000之背側上可設置環件傳送盒識別部,以識別環件傳送盒1000的內容物。識別部的背側位置僅作為範例提供,且其他側邊或位置亦可用以定位環件傳送盒識別部。在一些實施例中,如圖21A中所描繪,環件傳送盒識別部可為條碼的形式,其係呈現於條碼安裝板1144上。在替代實施例中,如圖21B中所描繪,環件傳送盒識別部可為射頻識別部(RFID,radio frequency identifier)標籤的形式,其係設置於RFID標籤板1145上。在其他實施例中,環件傳送盒識別部可為序列號的形式,其係刻畫於在環件傳送盒1000之背側或任何其他側上所設置的環件傳送盒識別部板上。例如識別站的主機可將環件傳送盒1000內的內容物與環件傳送盒識別部相關聯,且可管理環件傳送盒1000的存貨及儲存於環件傳送盒1000內的易損零件。例如,當環件傳送盒耦接於裝載埠模組1155時,裝載埠上的感測器可用以閱讀傳送盒識別部,並且識別儲存於環件傳送盒1000內之易損零件的類型。為判定儲存於環件傳送盒1000中之易損零件的類型及數目,環件傳送盒1000的內容物必須加以掃描,且由掃描所得之資訊係藉由主機加以維護及管理。例如,掃描係在環件傳送盒1000的門體1123打開時進行。
在一些實施例中,當環件傳送盒1000的門體1123打開時,具有末端作用器、配備有對準器及感測器(例如,照相機)的自動機器可用以上下掃描環件傳送盒1000,並且將在環件傳送盒1000之諸多支撐伸出部1130中所接受之易損零件的位置加以繪圖。在一些實施例中,該繪圖資訊可由工具軟體加以使用,以追蹤不同環件傳送盒1000中的諸多易損零件。在一些實施例中,該繪圖資訊亦可利用環件傳送盒識別部而儲存於資料庫中,並且在使用環件傳送盒1000的任何時候加以更新,使得工具軟體可保持對諸多環件傳送盒1000中易損零件之存貨的追蹤。已更新的繪圖資訊係由主機用來與環件傳送盒1000內的內容物相關聯。當裝載埠上的感測器掃描環件傳送盒1000的識別部時,環件傳送盒1000的識別部係用以獲取已繪成圖的資訊,該資訊標識該環件傳送盒1000中所包含之易損零件的類型及數目。
諸多實施例描述用以提供新易損零件208至製程腔室112以更換受損或舊易損零件之環件傳送盒1000的結構。環件傳送盒1000係耦接於裝載埠模組1155的裝載埠,該裝載埠模組1155係繼而直接耦接於製程模組(112~120的任一者)、或真空轉移模組(VTM)104、或大氣轉移模組(ATM)102。易損零件係使用環件傳送盒1000內的自動機器、或使用VTM 104及/或ATM 102中的自動機器而移至製程模組。
本文中所述的諸多實施例容許以快速且有效率的方式更換易損零件,而不必將叢集工具組件開放於大氣條件。因此,大幅降低了更換易損零件的時間、以及易損零件更換期間污染腔室的任何風險,從而容許叢集工具組件更快上線。進一步講,大幅降低了對製程模組、易損零件、及製程模組中的其他硬體元件不慎造成損傷的風險。
已就說明及描述之目的提供實施例的前述內容。其並非意圖為詳盡無遺,或意圖限制本發明。特定實施例的個別元件或特徵一般來講不受限於該特定實施例,而是在可應用的情形中可相互交換並可用於選擇實施例中,即使未特別顯示或描述該選擇實施例亦然。上述內容亦可以許多方式變化。如此之變化不應被認為背離本發明,且所有如此之修改係意圖包含於本發明的範疇內。
儘管已就明確理解之目的相當詳細地描述前述實施例,但顯然將可在隨附申請專利範圍之範疇內實施某些改變及修改。因此,本實施例應考量為說明性而非限制性,且實施例不受限於本文中所給定的細節,而可在該等實施例之範疇及申請專利範圍之等效物的範圍內加以修改。
70‧‧‧末端作用器機構
100‧‧‧叢集工具組件
101a‧‧‧第一側
102‧‧‧ATM
103‧‧‧自動機器
104‧‧‧VTM
105‧‧‧自動機器
108‧‧‧更換站
110‧‧‧負載鎖腔室
110a‧‧‧側壁
112‧‧‧製程模組
113‧‧‧緩衝站
114‧‧‧製程模組
115‧‧‧晶圓載具
116‧‧‧製程模組
118‧‧‧製程模組
120‧‧‧製程模組
150‧‧‧半導體晶圓
202‧‧‧升降銷
204‧‧‧致動器
206‧‧‧致動器驅動機
207‧‧‧隔室
208‧‧‧易損零件
210‧‧‧真空空間
213‧‧‧末端作用器
214‧‧‧交換處理器
215‧‧‧自動機器
216‧‧‧第一隔離閥
216'‧‧‧第二隔離閥
217‧‧‧門體
218‧‧‧上電極
219‧‧‧門體
220‧‧‧控制器
221‧‧‧升降機構
223‧‧‧真空狀態控制部
224‧‧‧零件緩衝部
225‧‧‧轉移邏輯
227‧‧‧門體
229‧‧‧門體
230‧‧‧下電極
231‧‧‧真空控制模組
232‧‧‧蓋環
233‧‧‧泵浦
234‧‧‧隔離環
235‧‧‧自動機器
236‧‧‧底部邊緣環
237‧‧‧門體
238‧‧‧套環
239‧‧‧門體
240‧‧‧基部環
602‧‧‧閥
604‧‧‧過濾器加熱器
606‧‧‧泵浦
608‧‧‧裝置
610‧‧‧壓力計
612‧‧‧流量計
614‧‧‧溫度感測器
616‧‧‧感測器
618‧‧‧顯示器
620‧‧‧使用者輸入裝置
700‧‧‧末端作用器機構
701‧‧‧腕板
702‧‧‧腕板
703‧‧‧安裝臂組
704‧‧‧安裝臂組
704a‧‧‧頂板
704b‧‧‧底板
705‧‧‧伸出部組件
705a‧‧‧近端
705b‧‧‧遠端
706‧‧‧伸出部
706‧‧‧伸出部
706a‧‧‧頂端
707‧‧‧伸出部
707a‧‧‧尖端
708‧‧‧接觸墊
708a‧‧‧易損接觸墊
708b‧‧‧易損接觸墊
708c‧‧‧基板接觸墊
708d‧‧‧基板接觸墊
709‧‧‧接觸結構
710‧‧‧伸出部組件
710a‧‧‧近端
710b‧‧‧遠端
721a‧‧‧載體接觸墊
721b‧‧‧載體接觸點
723‧‧‧叉部
901‧‧‧支撐機構
902‧‧‧伸出部組件
902a‧‧‧支撐伸出部
902b‧‧‧支撐伸出部
903‧‧‧伸出部組件
903a‧‧‧頂部支撐伸出部
903b‧‧‧底部支撐伸出部
904‧‧‧基板接觸墊
905‧‧‧間隔塊
905'‧‧‧間隔塊
905''‧‧‧間隔塊
906‧‧‧易損接觸墊
908‧‧‧凹部
911‧‧‧部分
912‧‧‧接觸墊
913a‧‧‧晶圓接收平面
913b‧‧‧環接收平面
1000‧‧‧傳送盒
1104‧‧‧載體板
1104a‧‧‧頂點
1104b‧‧‧頂點
1104c‧‧‧頂點
1104m‧‧‧中心
1120‧‧‧門體邊框
1121‧‧‧凸緣
1122‧‧‧把手
1122a‧‧‧連接部
1123‧‧‧門體
1124‧‧‧運動槽
1125‧‧‧窗部
1126‧‧‧排氣組件
1127‧‧‧殼結構
1128‧‧‧硬停止柱
1128a‧‧‧硬停止柱
1128b‧‧‧硬停止柱
1128e‧‧‧邊緣
1129‧‧‧支撑柱
1129a‧‧‧支撑柱
1129b‧‧‧支撑柱
1129c‧‧‧支撑柱
1129d‧‧‧支撑柱
1130‧‧‧支撐伸出部
1130b‧‧‧支撐伸出部
1131‧‧‧支撐墊
1132‧‧‧頂部板
1133‧‧‧傳送帶軌道
1134‧‧‧基部板
1135‧‧‧固持組件
1136‧‧‧緩衝部
1137‧‧‧基部支撐結構
1138‧‧‧頂冠
1140‧‧‧資訊墊
1141‧‧‧鎖定方式
1142‧‧‧穿梭夾持特徵部
1143‧‧‧載體感測墊
1144‧‧‧條碼安裝板
1145‧‧‧標籤板
1150‧‧‧夾具
1151‧‧‧彈簧機構
1152‧‧‧接收墊
1155‧‧‧裝載埠模組
1202‧‧‧分隔板
1206‧‧‧易損零件部
1208‧‧‧易損零件部
1301‧‧‧環轉移平面
1304‧‧‧獨佔空間
1306‧‧‧內轉角半徑
1308‧‧‧腔室介接銷
A-1‧‧‧部位
h1‧‧‧高度
h2‧‧‧高度
L1-a‧‧‧長度
L1-b‧‧‧長度
L2-a‧‧‧長度
L2-b‧‧‧長度
L3-a‧‧‧長度
L3-b‧‧‧長度
本發明藉由參考以下描述內容、結合隨附圖式可得以最佳理解。
圖1描繪本發明一實施例中叢集工具組件的簡化方塊圖,其包含安裝於處理半導體晶圓所使用之製程模組的更換站。
图2描繪本發明一替代實施例中叢集工具組件的簡化方塊圖,其包含安裝於叢集工具之真空轉移模組的更換站。
圖3描繪本發明一替代實施例中叢集工具組件的簡化方塊圖,其包含安裝於叢集工具之大氣轉移模組的更換站。
圖3A描繪本發明一實施例中叢集工具組件的簡化方塊圖,其包含在叢集工具內用以移動易損零件、具有末端作用器的自動機器。
圖4描繪本發明一實施例中叢集工具組件之製程模組之一部分的簡化方塊圖,其包含用以提供對易損零件之存取的例示性升降機構。
圖5A描繪本發明一實施例中製程模組的簡化方塊圖,其具有針對移動易損零件而安裝的更換站,其中升降機構係處於非接合模式。
圖5B描繪本發明一實施例中安裝有更換站之製程模組的簡化方塊圖,其中升降機構係處於接合模式。
圖5C描繪本發明一實施例中製程模組的簡化方塊圖,其具有安裝於真空轉移模組的更換站、以及用以更換製程模組內之易損零件之製程模組中的升降機構。
圖6A描繪本發明一些實施例中用於ATM內、配置成接收基板的末端作用器機構,且圖6B、6B-1、及6C描繪設置於大氣轉移模組及/或真空轉移模組中之末端作用器機構的俯視圖及側視圖。
圖7A-7D根據本發明一些實施例描繪利用末端作用器機構所接收之易損零件的變化的底部表面輪廓。
圖7E根據本發明一些實施例描繪VTM之自動機器上的例示性末端作用器機構。
圖7F-1、7F-2根據本發明一些實施例描繪用於VTM及/或ATM之自動機器上之末端作用器機構中、用以支撐具有不同底部輪廓之易損零件的替代接觸墊概念。
圖8A~8B根據本發明一些實施例描繪用以傳送基板及易損零件進入負載鎖腔室的例示性末端作用器機構。
圖9A~9B根據本發明一些實施例描繪末端作用器機構的例示性側面圖及俯視圖,該末端作用器機構具有用以傳送易損零件至負載鎖腔室的環載體。
圖10A~10D根據本發明一些實施例描繪用於負載鎖腔室內、配置成接收易損零件的例示性伸出部組件。
圖10E~10F根據本發明一些實施例描繪既有空氣鎖腔室(airlock chamber)及經重新設計空氣鎖腔室的視圖,後者包含接收易損零件的伸出部組件。
圖11根據本發明一實施例描繪用以儲存易損零件及環載體的例示性更換站。
圖12描繪本發明一實施例中製程模組內一槽孔的示意圖,易損零件或晶圓係透過該槽孔移動進出製程模組。
圖13根據一實施例描繪用以控制叢集工具之諸多實施態樣的控制模組(亦即,控制器)。
圖14根據一實施例描繪具有傳送盒之裝載埠模組的視圖,該傳送盒係用以轉移被接收於裝載埠的易損零件
圖15A及15B根據本發明之不同實施例描繪例示性更換站的不同視圖。
圖16根據本發明之一實施例描繪用以儲存易損零件及(複數)環載體之例示性更換站的不同部件的視圖。
圖17A描繪本發明一實施例中例示性更換站之支撐柱及硬停止柱的視圖。圖17B描繪在本發明一些實施例中,圖17A中所描繪之支撐柱之一部分的放大圖,其標識支撐伸出部的不同部件。
圖17C描繪本發明一替代實施例中設置於更換站中之支撐柱的替代設計。圖17D描繪在本發明一實施例中,圖17C中所描繪之支撐柱之一部分的放大圖。
圖17E、17F、及17G描繪本發明一些實施例中設置於更換站之門體上之固持組件的細節圖。
圖17H、17I、及17J提供本發明一些實施例中支撐伸出部的不同橫剖面視圖,該支撐伸出部具有支撐墊定義於其上。
圖18描繪本發明一些實施例中用以接收易損零件之更換站的俯視圖。
圖19A及19B根據本發明一些實施例描繪用以在更換站內接收及儲存環載體之例示性載體支撐件的細節。
圖20根據本發明一實施例描繪更換站的仰視圖,其標識用以與裝載埠模組之裝載埠介接的諸多部件。
圖21A及21B根據本發明一些實施例描繪更換站的後視圖,其標識用以設置更換站識別部的安裝板。
208‧‧‧易損零件
1000‧‧‧傳送盒
1104‧‧‧載體板
1120‧‧‧門體邊框
1121‧‧‧凸緣
1122‧‧‧把手
1125‧‧‧窗部
1127‧‧‧殼結構
1128‧‧‧硬停止柱
1129‧‧‧支撐柱
1130‧‧‧支撐伸出部
1132‧‧‧頂部板
1134‧‧‧基部板

Claims (29)

  1. 一種傳送盒,其係用以更換製程模組的易損零件,該傳送盒包含: 一基部板,其具有藉由一前側、一背側、及延伸於該前側及該背側之間的兩側邊而定義的一外周; 一頂部板,其係定向成在垂直方向上與該基部板相向,該頂部板係實質上平行於該基部板; 第一對支撐柱,該第一對支撐柱的每一者係沿著該兩側邊的每一者而定向、且係接近該基部板之該前側而設置,以及該第一對支撐柱的每一者延伸於該頂部板及該基部板之間,該第一對支撐柱的每一者包含沿著該第一對支撐柱之分別的支撐柱縱向分佈、且相對於該外周面向內的複數支撐伸出部; 第二對支撐柱,該第二對支撐柱的每一者係沿著該兩側邊的每一者而定向、且係接近該基部板之該背側而設置,以及該第二對支撐柱的每一者延伸於該頂部板及該基部板之間,該第二對支撐柱的每一者包含沿著該第二對支撐柱之分別的支撐柱縱向分佈、且相對於該外周面向內的複數支撐伸出部; 第一硬停止柱,其係接近該第二對支撐柱的一第一者而設置; 第二硬停止柱,其係接近該第二對支撐柱的一第二者而設置,其中該第一、及第二硬停止柱的每一者延伸該第二對支撐柱的長度,使得該第一、及第二硬停止柱的一邊緣表面相對於該外周面向內; 一殼結構,該傳送盒的該殼結構係配置成附接於該基部板、並且容納該第一對支撐柱、該第二對支撐柱、該頂部板、及該第一、及第二硬停止柱,該殼結構具有沿著該基部板之該前側而設置的一前開口;以及 一門體,其係配置成與該殼結構的該前開口、及該基部板的該前側相匹配,該門體係配置具有設置於該門體一內側表面上、且延伸於該基部板及該頂部板之間的一固持組件,使得當易損零件被設置於該傳送盒中時,該易損零件係配置成安放於該第一、及第二對支撐柱的支撐伸出部上,以及當該門體閉合時,該固持組件使該易損零件抵向該第一、及第二硬停止柱而固定。
  2. 如申請專利範圍第1項之傳送盒,其中該複數支撐伸出部的每一者包含定義於一頂部表面上的一支撐墊,當一易損零件被接收於該複數支撐伸出部之分別一者上時,該支撐墊提供用於該易損零件的一不同接觸表面。
  3. 如申請專利範圍第2項之傳送盒,其中該複數支撐伸出部的每一者包含定義於該頂部表面上的一凹槽,該支撐墊係形成於該凹槽中、並且延伸於該頂部表面之上。
  4. 如申請專利範圍第2項之傳送盒,其中該支撐墊係由碳填充聚醚醚酮(PEEK)材料製成,且其中該複數支撐伸出部係由鋁製成。
  5. 如申請專利範圍第1項之傳送盒,其中該複數支撐伸出部係由碳填充聚醚醚酮(PEEK)材料製成。
  6. 如申請專利範圍第1項之傳送盒,其中該第一、及第二硬停止柱係由碳填充聚醚醚酮(PEEK)材料製成,且其中該第一對、及第二對支撐柱係由鋁製成。
  7. 如申請專利範圍第1項之傳送盒,其中該頂部板係固定於該殼結構之一頂部表面的一下側,該頂部板提供該第一對、及第二對支撐柱的支撐。
  8. 如申請專利範圍第1項之傳送盒,其中該固持組件包含一彈簧機構,當該門體閉合時,啟動該彈簧機構,以固定該易損零件。
  9. 如申請專利範圍第1項之傳送盒,其中該固持組件包含複數伸出部,其中該固持組件中該複數伸出部的每一者係配置成當易損零件被設置於該傳送盒中時,提供該易損零件的支撐,該複數伸出部係由碳填充聚醚醚酮材料製成。
  10. 如申請專利範圍第1項之傳送盒,更包含沿著該傳送盒之一背側的一部分的長度而定義的一窗部,該窗部供以查看該傳送盒的內側。
  11. 如申請專利範圍第1項之傳送盒,更包含一對把手,其中該對把手的每一把手係定義於該傳送盒的一側邊上、且係透過該殼結構附接於設置在相同側邊上之該第一對支撐柱的一支撐柱及該第二對支撐柱的一支撐柱。
  12. 如申請專利範圍第1項之傳送盒,更包含複數載體支撐件,該複數載體支撐件係分佈於在該傳送盒內所定義的一接收平面上,該複數載體支撐件的每一者包含一基部支撐結構、及設置於該基部支撐結構上方的一頂冠,當一環載體被接收於該傳送盒中時,該基部支撐結構、該頂冠、及該門體上的該固持組件提供用以安放該環載體的支撐表面。
  13. 如申請專利範圍第12項之傳送盒,其中該複數載體支撐件的該接收平面係定義在該基部板上。
  14. 如申請專利範圍第12項之傳送盒,其中該複數載體支撐件的該接收平面係定義在一分隔板上,該分隔板係設置於該頂部板及該基部板之間、該分隔板定義用以分開儲存舊易損零件及新易損零件的複數不同區域。
  15. 如申請專利範圍第12項之傳送盒,其中該複數載體支撐件的該接收平面係定義在該傳送盒中該頂部板的一下側表面上。
  16. 如申請專利範圍第1項之傳送盒,其中以下者的部分係由鋁製成:該第一對支撐柱、第二對支撐柱、基部板、及頂部板,且其中被曝露於該傳送盒內之大氣環境的以下者的部分係利用一耐腐蝕塗層加以處理:該第一對支撐柱、該第二對支撐柱、該基部板、及該頂部板。
  17. 如申請專利範圍第1項之傳送盒,其中該傳送盒係一前開式環件傳送盒,即環件傳送盒,且該易損零件係待用於該製程模組中的邊緣環。
  18. 一種傳送盒,其係用以固持待供應的易損零件或待從製程模組取回的易損零件,該製程模組係配置成處理半導體基板,且半導體基板的處理導致該易損零件的磨損,該傳送盒包含: 一基部板,其具有一前側、一背側、一第一側邊、及一第二側邊,該第一、及第二側邊係定向成彼此相向; 一第一支撐柱,其係接近該前側而設置、且係設置於該第一側邊上; 一第二支撐柱,其係接近該前側而設置、且係設置於該第二側邊上; 一第三支撐柱,其係接近該背側而設置、且係設置於該第一側邊上; 一第四支撐柱,其係接近該背側而設置、且係設置於該第二側邊上; 一頂部板,其係設置於該基部板之上、且連接於該第一、第二、第三、及第四支撐柱的每一者,且該第一、第二、第三、及第四支撐柱的每一者包含分佈於該基部板及該頂部板之間、且定向成朝向該傳送盒之一內部區域的複數支撐伸出部; 平行於該第三支撐柱而設置的一第一硬停止柱及平行於該第四支撐柱而設置的一第二硬停止柱,使得該第一、及第二硬停止柱係定向成朝向該傳送盒的該內部區域、且延伸於該基部板及該頂部板之間; 一殼結構,其係配置成容納該第一、第二、第三、及第四支撐柱、該頂部板、及該第一、及第二硬停止柱,且該殼結構係配置成連接於該基部板,該殼結構具有接近該基部板之該前側而設置的一前開口;以及 一門體,其係用以與該殼結構的該前開口相匹配,該門體具有一固持組件,當易損零件被設置於該複數支撐伸出部的選擇者上方時,該固持組件用以固定該傳送盒中的該易損零件,該固持組件係設置於該門體的一內側表面上、且延伸於該基部板及該頂部板之間。
  19. 如申請專利範圍第18項之傳送盒,其中該第一硬停止柱係與該第三支撐柱隔開,且該第二硬停止柱係與該第四支撐柱隔開。
  20. 如申請專利範圍第18項之傳送盒,其中該第一硬停止柱係附接於該第三支撐柱,且該第二硬停止柱係附接於該第四支撐柱。
  21. 如申請專利範圍第18項之傳送盒,其中該第一、第二、第三、及第四支撐柱之每一者中的該複數支撐伸出部的每一者包含定義於一頂部表面上的一凹槽,一支撐墊係形成於該頂部表面上,使得該支撐墊填充該凹槽、且延伸於該頂部表面之上,以形成當易損零件被設置於該傳送盒中時,用以接收該易損零件的一不同支撐表面。
  22. 如申請專利範圍第18項之傳送盒,其中該第一、第二、第三、及第四支撐柱之每一者中的該複數支撐伸出部的每一者包含設置於一頂部表面上的一支撐墊,當易損零件被設置於該複數支撐伸出部的選擇者上方時,該支撐墊提供用於該傳送盒中之該易損零件的一不同支撐表面。
  23. 如申請專利範圍第18項之傳送盒,更包含沿著該傳送盒之一背側之一部分的長度而定義的一窗部,該窗部供以查看該傳送盒的內側。
  24. 如申請專利範圍第18項之傳送盒,更包含設置於該傳送盒之一第一側邊上、且透過該殼結構附接於該第一及第三支撐柱的一第一把手、以及設置於該傳送盒之一第二側邊上、且透過該殼結構附接於該第二支撐柱及該第四支撐柱的一第二把手。
  25. 如申請專利範圍第18項之傳送盒,更包含複數載體支撐件,該複數載體支撐件係分佈於在該傳送盒內所定義的一接收平面上,該複數載體支撐件的每一者包含一基部支撐結構、及設置於該基部支撐結構上方的一頂冠,當一環載體被接收於該傳送盒中時,該基部支撐結構、該頂冠、及該門體上的該固持組件提供用以安放該環載體的支撐表面。
  26. 如申請專利範圍第25項之傳送盒,其中該複數載體支撐件的該接收平面係定義在該基部板上。
  27. 如申請專利範圍第25項之傳送盒,其中該複數載體支撐件的該接收平面係定義在一分隔板上,該分隔板係設置於該頂部板及該基部板之間、該分隔板定義用以分開儲存舊易損零件及新易損零件的複數不同區域。
  28. 如申請專利範圍第18項之傳送盒,其中該固持組件包含縱向分佈、且定向成朝向該傳送盒之該內部區域的複數伸出部,當易損零件被設置於該傳送盒中時,該複數伸出部提供該易損零件的支撐。
  29. 如申請專利範圍第18項之傳送盒,其中該頂部板係附接於該殼結構之一頂部表面的下側。
TW105133988A 2015-10-22 2016-10-21 前開式環件傳送盒 TWI698381B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US14/920,090 2015-10-22
US15/048,960 US10062599B2 (en) 2015-10-22 2016-02-19 Automated replacement of consumable parts using interfacing chambers
US15/048,960 2016-02-19
US15/138,097 US9881820B2 (en) 2015-10-22 2016-04-25 Front opening ring pod
US15/138,097 2016-04-25

Publications (2)

Publication Number Publication Date
TW201726509A true TW201726509A (zh) 2017-08-01
TWI698381B TWI698381B (zh) 2020-07-11

Family

ID=58558903

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109119410A TWI739470B (zh) 2015-10-22 2016-10-21 前開式環件傳送盒
TW105133988A TWI698381B (zh) 2015-10-22 2016-10-21 前開式環件傳送盒

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109119410A TWI739470B (zh) 2015-10-22 2016-10-21 前開式環件傳送盒

Country Status (5)

Country Link
US (4) US9881820B2 (zh)
JP (2) JP6912179B2 (zh)
KR (2) KR102571229B1 (zh)
CN (2) CN111489984B (zh)
TW (2) TWI739470B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI675429B (zh) * 2018-09-14 2019-10-21 樂華科技股份有限公司 晶圓載具輸送裝置
US11735448B2 (en) 2019-03-07 2023-08-22 Tokyo Electron Limited Container, container partition plate, substrate processing system, and substrate transfer method
TWI816745B (zh) * 2018-02-06 2023-10-01 美商應用材料股份有限公司 橋接前開式晶圓傳送盒(foup)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570331B2 (en) * 2014-07-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer cassette with electrostatic carrier charging scheme
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
WO2016060712A1 (en) 2014-10-17 2016-04-21 Applied Materials, Inc. Cmp pad construction with composite material properties using additive manufacturing processes
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
TWI579215B (zh) * 2016-10-07 2017-04-21 家登精密工業股份有限公司 垂直固定機構傳送盒及使用其之傳送方法
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7165510B2 (ja) * 2018-05-25 2022-11-04 株式会社ディスコ 搬送用治具及び交換方法
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US10978326B2 (en) 2018-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Co, , Ltd. Semiconductor wafer storage device
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
JP7126466B2 (ja) 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7003905B2 (ja) * 2018-12-27 2022-01-21 株式会社Sumco 気相成長装置
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP2020126949A (ja) * 2019-02-06 2020-08-20 東京エレクトロン株式会社 輸送器具及び治具
KR20200102612A (ko) * 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
DE102019125819A1 (de) * 2019-04-17 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterverarbeitungsvorrichtung und verfahren unter einsatz einer elektrostatischen entladungs-(esd)- verhinderungsschicht
US10950485B2 (en) * 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102673033B1 (ko) 2019-05-14 2024-06-07 매슨 테크놀로지 인크 포커스 링 조정 조립체를 갖는 플라즈마 처리 장치
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
WO2020247146A1 (en) * 2019-06-06 2020-12-10 Lam Research Corporation Automated transfer of edge ring requiring rotational alignment
TWI771695B (zh) * 2019-06-10 2022-07-21 大陸商中微半導體設備(上海)股份有限公司 托爪、氣鎖室及電漿處理裝置主機平臺
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR102090278B1 (ko) * 2019-06-27 2020-03-17 에이피티씨 주식회사 반도체용 부품의 교환을 위한 부품 교환 장치 및 이에 의한 부품의 교환 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11756816B2 (en) * 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7372825B2 (ja) * 2019-11-28 2023-11-01 株式会社ディスコ 運搬システム、及び消耗品ボックス
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US12073224B2 (en) 2020-01-06 2024-08-27 Lam Research Corporation Autoconfiguration of hardware components of various modules of a substrate processing tool
US20230047039A1 (en) * 2020-01-23 2023-02-16 Lam Research Corporation Edge ring transfer with automated rotational pre-alignment
JP7378318B2 (ja) * 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7471106B2 (ja) 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
US12027397B2 (en) 2020-03-23 2024-07-02 Applied Materials, Inc Enclosure system shelf including alignment features
US20210335651A1 (en) * 2020-04-23 2021-10-28 Adaptive Plasma Technology Corp. Apparatus for exchanging an article of a semi-conductor process and a method for exchanging the article using the same
WO2021220752A1 (ja) * 2020-05-01 2021-11-04 東京エレクトロン株式会社 加工装置、及び加工装置の加工具の取付け方法
JP7419154B2 (ja) * 2020-05-01 2024-01-22 東京エレクトロン株式会社 部品交換システムおよび部品交換装置
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
TW202232624A (zh) * 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
TW202218027A (zh) * 2020-10-27 2022-05-01 瑞士商伊斯美加半導體控股公司 處理晶圓之總成及方法
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP7525394B2 (ja) 2020-12-28 2024-07-30 東京エレクトロン株式会社 搬送装置
KR102642683B1 (ko) * 2021-02-09 2024-03-04 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
JP2022131159A (ja) * 2021-02-26 2022-09-07 東京エレクトロン株式会社 基板収容装置および処理システム
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220347831A1 (en) * 2021-04-30 2022-11-03 Divergent Technologies, Inc. Mobile parts table
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220156138A (ko) * 2021-05-17 2022-11-25 세메스 주식회사 링 캐리어 및 기판 처리 시스템
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901207B2 (en) * 2021-06-18 2024-02-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor wafer processing system and method
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102614918B1 (ko) * 2021-06-28 2023-12-20 세메스 주식회사 반송 어셈블리 및 이를 가지는 기판 처리 장치
KR102491002B1 (ko) * 2021-06-28 2023-01-27 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11817724B2 (en) 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN114823441B (zh) * 2022-06-28 2022-09-02 深圳市星国华先进装备科技有限公司 一种针测机传输机构晶圆防滑出保护装置
CN115188698B (zh) * 2022-09-06 2022-12-09 上海果纳半导体技术有限公司武汉分公司 晶圆缓存机构及晶圆传输装置
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4930634A (en) * 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6776289B1 (en) * 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
NL1010321C2 (nl) * 1997-10-20 1999-09-08 Fluoroware Inc Wafeldrager.
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6267245B1 (en) * 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
KR20000030951A (ko) * 1998-10-20 2000-06-05 윤종용 반도체 제조 장치
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
US7121414B2 (en) * 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
JP2004288727A (ja) 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
EP1644959B1 (de) 2003-07-11 2013-09-11 Tec-Sem AG Vorrichtung zum lagern und/oder transportieren von plattenförmigen substraten in der fertigung von elektronischen bauteilen
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2009543374A (ja) * 2006-07-07 2009-12-03 インテグリス・インコーポレーテッド ウエハカセット
JP5543336B2 (ja) 2007-05-18 2014-07-09 ブルックス オートメーション インコーポレイテッド 高速スワップロボット付コンパクト基板搬送システム
JP4857239B2 (ja) * 2007-10-25 2012-01-18 株式会社トプコン ウェハ保持装置
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
WO2009089552A2 (en) * 2008-01-13 2009-07-16 Entegris, Inc. Methods and apparatuses for large diameter wafer handling
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
JP4921429B2 (ja) * 2008-07-03 2012-04-25 信越ポリマー株式会社 基板収納容器
US8652260B2 (en) 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US20100099342A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Pad conditioner auto disk change
JP2010153585A (ja) 2008-12-25 2010-07-08 Ebara Corp 基板保持具および基板保持方法
TWI346638B (en) * 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP5363277B2 (ja) * 2009-11-11 2013-12-11 信越ポリマー株式会社 基板収納容器、及び支持部材
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20170236737A1 (en) * 2010-10-20 2017-08-17 Entegris, Inc. Wafer container with door guide and seal
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
CN106941087B (zh) * 2011-08-12 2020-03-10 恩特格里斯公司 晶片载具
TWI494174B (zh) 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
JP6041699B2 (ja) * 2013-02-20 2016-12-14 信越ポリマー株式会社 基板収納容器
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9698035B2 (en) * 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
TWM539571U (zh) * 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI816745B (zh) * 2018-02-06 2023-10-01 美商應用材料股份有限公司 橋接前開式晶圓傳送盒(foup)
TWI675429B (zh) * 2018-09-14 2019-10-21 樂華科技股份有限公司 晶圓載具輸送裝置
US11735448B2 (en) 2019-03-07 2023-08-22 Tokyo Electron Limited Container, container partition plate, substrate processing system, and substrate transfer method

Also Published As

Publication number Publication date
US20180068879A1 (en) 2018-03-08
JP7383665B2 (ja) 2023-11-20
JP2017098540A (ja) 2017-06-01
US10062590B2 (en) 2018-08-28
US9881820B2 (en) 2018-01-30
CN111489984A (zh) 2020-08-04
CN107039308B (zh) 2020-01-03
JP6912179B2 (ja) 2021-08-04
US10062589B2 (en) 2018-08-28
TWI698381B (zh) 2020-07-11
KR20170054253A (ko) 2017-05-17
CN111489984B (zh) 2024-04-26
US20180040492A1 (en) 2018-02-08
TWI739470B (zh) 2021-09-11
US20180019142A1 (en) 2018-01-18
JP2021168409A (ja) 2021-10-21
CN107039308A (zh) 2017-08-11
KR102571229B1 (ko) 2023-08-24
KR20230128248A (ko) 2023-09-04
TW202035244A (zh) 2020-10-01
US20170117170A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
TWI698381B (zh) 前開式環件傳送盒
TWI745308B (zh) 末端作用器機構及大氣轉移模組
TWI734705B (zh) 藉由介接腔室進行之易損零件的自動更換