JP2017098540A - 正面開口式リングポッド - Google Patents

正面開口式リングポッド Download PDF

Info

Publication number
JP2017098540A
JP2017098540A JP2016206850A JP2016206850A JP2017098540A JP 2017098540 A JP2017098540 A JP 2017098540A JP 2016206850 A JP2016206850 A JP 2016206850A JP 2016206850 A JP2016206850 A JP 2016206850A JP 2017098540 A JP2017098540 A JP 2017098540A
Authority
JP
Japan
Prior art keywords
pod
support
consumable
column
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016206850A
Other languages
English (en)
Other versions
JP6912179B2 (ja
Inventor
スコット・ウォン
Wong Scott
デーモン・ティロン・ジェネッティ
Tyrone Genetti Damon
デレク・ジョン・ウィトコウィッキ
John Witkowicki Derek
アレックス・パターソン
Paterson Alex
リチャード・エイチ.・グールド
h gould Richard
オースティン・ンゴ
Ngo Austin
マーク・エストーケ
Estoque Marc
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/920,090 external-priority patent/US20170115657A1/en
Priority claimed from US15/048,960 external-priority patent/US10062599B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017098540A publication Critical patent/JP2017098540A/ja
Priority to JP2021113257A priority Critical patent/JP7383665B2/ja
Application granted granted Critical
Publication of JP6912179B2 publication Critical patent/JP6912179B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Packaging Frangible Articles (AREA)

Abstract

【課題】半導体ウエハ製造に使われるプロセスモジュール内の消耗部品を交換するためのポッドを提供する。【解決手段】プロセスモジュール内の消耗部品208を交換するためのポッド1000は、正面側と、背面側と、第1及び第2の側面側とを有するベース板1134を含む。第1、第2、第3、第4の支柱1129は、縦方向に配されて内側に向けられたフィンガ1130を含む。第1の緊急停止柱1128が、第3の支柱に平行に配置され、第2の緊急停止柱1128が、第4の支柱に平行に配置される。ベース板に接続されたシェル構造が、第1、第2、第3及び第4の支柱、トップ板1132、第1及び第2の緊急停止柱を取り囲むように構成され、ベース板の正面側に配置された正面開口を有する。ドアが、正面開口と嵌め合わされ、ポッド内に収容されたときの消耗部品208をポッド内で固定するための保持アセンブリを含む。【選択図】図16

Description

本実施形態は、半導体ウエハの製造に使用されるクラスタツールアセンブリに関し、より具体的には、クラスタツールアセンブリからの消耗部品の運搬および取り出しを可能にする交換ステーションに関する。
半導体ウエハを作成するための製造プロセスで使用される代表的なクラスタツールアセンブリは、洗浄動作、蒸着、エッチング動作、すすぎ動作、乾燥動作などの特定の製造動作を実施するためにそれぞれ使用される1つ以上のプロセスモジュールを含む。これらの動作を実施するために使用される化学剤および/または処理条件は、プロセスモジュール内の過酷な条件に常に曝されるプロセスモジュールのハードウェアコンポーネントを幾つか損傷させる。損傷されたまたは摩耗したこれらのハードウェアコンポーネントは、プロセスモジュール内のその他のハードウェアコンポーネントをこれらの過酷な条件に曝さないことを保証するために、および半導体ウエハの品質を保証するために、定期的にかつ迅速に交換される必要がある。例えば、プロセスモジュール内で半導体ウエハに隣接して配置されるエッジリングは、その場所と、プロセスモジュール内で生成されてエッチング動作に使用されるプラズマからのイオン衝撃に継続的に曝されることとが原因で、損傷を受ける恐れがある。損傷されたエッジリングは、その下にあるチャックなどのハードウェアコンポーネントを過酷なプロセス条件に曝さないことを保証するために、迅速に交換される必要がある。交換が可能なハードウェアコンポーネントは、本明細書では消耗部品と呼ばれる。
損傷された消耗部品を交換する現行のプロセスは、一連の手順を実施するために熟練の保守技術員を必要とする。技術員は、クラスタツールアセンブリをオフラインにし、毒性残留物への暴露を回避するためにクラスタツールアセンブリをポンプで排気し/パージし、クラスタツールを開き、損傷された消耗部品を取り出して、新しい消耗部品と交換する必要がある。損傷された部品が交換されたら、技術員は、クラスタツールを洗浄し、クラスタツールアセンブリをポンプで真空に排気し、クラスタツールアセンブリをウエハ処理に備えて調節しなければならない。場合によっては、この調節は、プロセス動作の質を保証するために、半導体ウエハに対してテストプロセスを実行し、半導体ウエハの断面を捉えて解析することによってクラスタツールアセンブリを適格化することを伴うだろう。損傷された消耗部品の交換は、クラスタツールアセンブリをかなり長い時間にわたってオフラインにすることを要する非常に複雑でなおかつ時間のかかかるプロセスであり、これは、半導体メーカの利ざやに影響を及ぼす恐れがある。
本発明の実施形態が生じるのは、このような状況においてである。
本発明の実施形態は、クラスタツールアセンブリに結合することができる交換ステーションであって、クラスタツールアセンブリ内に配置されたプロセスモジュールのエッジリングなどの損傷されたハードウェアコンポーネントを、真空を破る(即ち、クラスタツールアセンブリを大気条件に曝す)必要なく取り出して交換するように設計された交換ステーションを定めている。交換が可能な損傷されたハードウェアコンポーネントは、本明細書では消耗部品とも呼ばれる。クラスタツールアセンブリは、1つ以上のプロセスモジュールを含み、各プロセスモジュールは、半導体ウエハ処理動作を実施するように構成される。プロセスモジュール内の消耗部品は、内部の化学物質およびプロセス条件に曝されるにつれて損傷され、したがって、適時に交換される必要がある。損傷された消耗部品は、クラスタツールアセンブリに交換ステーションを取り付けることによって、クラスタツールアセンブリを開くことなく交換されてよい。交換ステーションは、新しい消耗部品および使用済み消耗部品を収納するために使用される複数の区画を伴う部品バッファを含む。(1つまたは複数の)プロセスモジュールが真空状態に維持されている間にコントローラが交換ステーションと(1つまたは複数の)プロセスモジュールとの間におけるアクセスを統合調整することを可能にし、そうして消耗部品の交換を可能にするために、交換ステーションおよび(1つまたは複数の)プロセスモジュールは、コントローラに結合される。
損傷された消耗部品への容易なアクセスを可能にするために、プロセスモジュールは、リフト機構を含むように設計されてよい。リフト機構は、係合時に消耗部品が上昇位置に移動されることを可能にするように構成され、持ち上げられた消耗部品にアクセスしてそれをプロセスモジュールから取り出すためには、クラスタツールアセンブリ内で使用可能なロボットが使用されてよい。交換用の消耗部品がプロセスモジュールに提供され、リフト機構は、その消耗部品を受け取ってそれをプロセスモジュール内の定位置に下降させるために使用される。
消耗部品にアクセスするための交換ステーションを提供することによって、損傷された消耗部品にアクセスするためにクラスタツールアセンブリを開いて大気条件に曝す必要がなくなる。交換ステーションは、一部の実装形態では真空に維持され、そうして消耗部品の交換時における汚染のリスクを排除している。その結果、損傷された消耗部品の交換後にプロセスモジュールを再調節してアクティブ動作状態にするために必要とされる時間が、大幅に短縮される。さらに、ロボットおよびリフト機構は、消耗部品の取り出しおよび交換の際にプロセスモジュールのハードウェアコンポーネントが不注意で損傷されるリスクを伴うことなく消耗部品が交換されることを可能にする。
本開示の実施形態は、クラスタツールアセンブリを開いて大気条件に曝す必要なくプロセスモジュールから消耗部品を取り出して交換するために使用することができるクラスタツールアセンブリを提供する。クラスタツールアセンブリは、開かれないので、パージされるまたはポンプで排気される必要がない。その結果、クラスタツールアセンブリを調節するおよび適格化するために必要とされる時間が、大幅に短縮される。
交換ステーションは、3つの異なる位置に配置されてよい。1つ目の位置では、クラスタツールアセンブリ内のプロセスモジュールをポンプで真空に排気してプロセスモジュールから消耗部品を直接撤退させる機能を伴うロールアップ(巻き上げ)型交換ステーションが、そのプロセスモジュールに直接的に、一時的に取り付けられる。新しい消耗部品が、交換ステーションから取り出され、プロセスモジュールに直接入れられる。この位置では、交換ステーションは、使用済みの消耗部品および新しい消耗部品を保持するためのロボットと部品バッファとを含むだろう。プロセスモジュール内では、遮断弁が維持されるだろう。この構成が望ましいのは、このメインテナンス活動のために、クラスタツールアセンブリ全体ではなくプロセスモジュールのみがオフラインにされればよいだろうゆえである。
2つ目の位置では、交換ステーションは、取り外し不可の形で真空移送モジュール(VTM)に取り付けられ、VTM内のロボットが、プロセスモジュールから消耗部品を取り出して交換するために用いられる。この位置では、交換ステーションは、専用ロボットを必要とせず、VTMロボットのエンドエフェクタが、半導体ウエハおよび消耗部品の両方の移動を取り扱うだろう。
3つ目の位置では、交換ステーションは、一時的にまたは取り外し不可の形で大気圧移送モジュール(ATM)に取り付けられ、該ATMのロボットおよび真空移送モジュール(VTM)のロボットが、プロセスモジュールから消耗部品を取り出して交換するために使用される。この位置では、交換ステーションは、専用ロボットを必要とせず、VTMロボットおよびATMロボットのエンドエフェクタ、ならびにATMとVTMとの間に配置されたロードロックチャンバが、半導体ウエハおよび消耗部品の両方の移動を取り扱うだろう。
プロセスモジュールは、消耗部品リフト機構を含む。消耗部品は、通常は、エッジリングなどのリングである。消耗部品は、ロボットがそれに容易にアクセスして抜き出すことができるように、持ち上げられる必要があるだろう。一実施形態では、リフト機構は、リフトピンを装着された真空密閉アクチュエータを含む。別の一実施形態では、アクチュエータは、真空に維持される。通常動作下では、リフトは、撤退された状態にあり、消耗部品に接触していない。消耗部品が交換される必要があるときに、アクチュエータは、リフトピンを伸長させて、消耗部品を上昇させる。ロボットは、エンドエフェクタ(例えば、ロボットに取り付けられたヘラ状のまたは指状の部品)を、消耗部品の下側へスライドするようにプロセスモジュール内へ伸長させる。アクチュエータは、次いで、リフトピンを撤退させて、消耗部品をエンドエフェクタ上に載せる。消耗部品は、交換ステーション内へ引き戻される。新しい消耗部品をプロセスモジュールに入れるためには、逆の順序が起きる。
一実施形態では、プロセスモジュールの消耗部品を交換するためのポッドが開示される。ポッドは、ベース板と、トップ板と、第1の対の支柱と、第2の対の支柱と、第1の緊急停止柱と、第2の緊急停止柱と、シェル構造と、ドアとを含む。ベース板は、正面側と、背面側と、正面側と背面側との間に伸びる2枚の側面側とで画定される外周を有する。第1の対の支柱の各支柱は、2枚の側面側のそれぞれに沿うように方向付けられて、ベース板の正面側に近接して配置される。第1の対の支柱の各支柱は、トップ板とベース板との間に伸びており、第1の対の支柱のそれぞれの支柱に沿って縦方向に配されて外周に対して内側を向く複数の支持フィンガを含む。第2の対の支柱の各支柱は、2枚の側面側のそれぞれに沿うように方向付けられて、背面側板に近接して配置される。第2の対の支柱の各支柱は、トップ板とベース板との間に伸びており、第2の対の支柱のそれぞれの支柱に沿って縦方向に配されて外周に対して内側を向く複数の支持フィンガを含む。第1の緊急停止柱は、第2の対の支柱の第1の支柱に近接して配置される。第2の緊急停止柱は、第2の対の支柱の第2の支柱に近接して配置される。第1の緊急停止柱および第2の緊急停止柱は、それぞれ、第1のおよび第2の緊急停止柱の端面が外周に対して内側を向く形で第2の対の支柱の長さにわたって伸びている。ポッドのシェル構造は、ベース板に装着されて第1の対の支柱、第2の対の支柱、トップ板、第1の緊急停止柱、および第2の緊急停止柱を取り囲むように構成される。シェル構造は、ベース板の正面側に沿って配置された正面開口を有する。ドアは、シェル構造の正面開口およびベース板の正面側と嵌り合うように構成される。ドアは、ポッド内に配置されたときの消耗部品が第1の対のおよび第2の対の支柱の支持フィンガ上に載るように、ドアの内表面に配置されてベース板とトップ板との間に伸びるように構成された保持アセンブリを伴って構成される。保持アセンブリは、ドアが閉じられたときに消耗部品を第1のおよび第2の緊急停止柱に対して固定する。
別の一実施形態では、プロセスモジュールに供給されるまたはプロセスモジュールから戻される消耗部品を保持するためのポッドが開示される。ポッドは、ベース板と、第1の支柱と、第2の支柱と、第3の支柱と、第4の支柱と、トップ板と、第1の緊急停止柱と、第2の緊急停止柱と、シェル構造と、ドアとを含む。ベース板は、正面側と、背面側と、第1の側面側と、第2の側面側とによって画定される。第1のおよび第2の側面側は、互いに相対するように方向付けられる。第1の支柱は、正面側に近接して第1の側面側上に配置される。第2の支柱は、正面側に近接して第2の側面側上に配置される。第3の支柱は、背面側に近接して第1の側面側上に配置される。第4の支柱は、背面側に近接して第2の側面側上に配置される。トップ板は、ベース板の上方に配置され、第1の、第2の、第3の、および第4の支柱の各支柱に接続される。第1の、第2の、第3の、および第4の支柱の各支柱は、ベース板とトップ板との間に配されてポッドの内側領域の方を向くように方向付けられた支持フィンガを含む。第1の緊急停止柱および第2の緊急停止柱が、ポッドの内側領域の方を向くように方向付けられてベース板とトップ板との間に伸びるように、第1の緊急停止柱は、第3の支柱に平行に配置され、第2の緊急停止柱は、第4の支柱に平行に配置される。シェル構造は、ベース板に接続されて第1の、第2の、第3の、および第4の支柱、トップ板、第1の緊急停止柱、および第2の緊急停止柱を取り囲むように構成される。シェル構造は、ベース板に近接して配置された正面開口を有する。ドアは、シェル構造の正面開口と嵌り合い、支持フィンガのうちの選択された支持フィンガの上に配置されたときの消耗部品をポッド内で固定するための保持アセンブリを有する。保持アセンブリは、ドアの内表面に配置され、ベース板とトップ板との間に伸びる。
本発明の原理を例として示した添付の図面と関連させた以下の詳細な説明から、本発明のその他の態様が明らかになる。
本発明は、添付の図面と関連させた以下の説明を参照することによって、最もよく理解されるだろう。
本発明の一実施形態における、半導体ウエハの処理に使用されるプロセスモジュールに交換ステーションが取り付けられたクラスタツールアセンブリを示した簡易ブロック図である。
本発明の代替の一実施形態における、クラスタツールの真空移送モジュールに交換ステーションが取り付けられたクラスタツールアセンブリを示した簡易ブロック図である。
本発明の代替の一実施形態における、クラスタツールの大気圧移送モジュールに交換ステーションが取り付けられたクラスタツールアセンブリを示した簡易ブロック図である。
本発明の一実施形態における、クラスタツールアセンブリ内で消耗部品を移動させるために使用されるエンドエフェクタを伴うロボットを含むクラスタツールアセンブリの簡易ブロック図である。
本発明の一実施形態における、消耗部品へのアクセスを提供するために使用される代表的なリフト機構を含むクラスタツールアセンブリのプロセスモジュールの一部分を示した簡易ブロック図である。
本発明の一実施形態における、消耗部品を移動させるための交換ステーションが取り付けられたプロセスモジュールをリフト機構が非係合モードにある状態で示した簡易ブロック図である。
本発明の一実施形態における、交換ステーションが取り付けられたプロセスモジュールをリフト機構が係合モードにある状態で示した簡易ブロック図である。
本発明の一実施形態における、交換モジュールが真空移送モジュールに取り付けられプロセスモジュール内のリフト機構がプロセスモジュール内の消耗部品を交換するために使用されるプロセスモジュールを示した簡易ブロック図である。
本発明の一部の実施形態における、基板を受けるように構成された、ATM内で使用されるエンドエフェクタ機構を示した図である。 本発明の一部の実施形態における、大気圧移送モジュール内および/または真空移送モジュール内に配置されたエンドエフェクタ機構を示した俯瞰図である。 本発明の一部の実施形態における、大気圧移送モジュール内および/または真空移送モジュール内に配置されたエンドエフェクタ機構を示した側面図である。 本発明の一部の実施形態における、大気圧移送モジュール内および/または真空移送モジュール内に配置されたエンドエフェクタ機構を示した俯瞰図である。
本発明の一部の実施形態にしたがった、エンドエフェクタ機構を使用して受けられる消耗部品の様々な底面プロフィールを示した図である。 本発明の一部の実施形態にしたがった、エンドエフェクタ機構を使用して受けられる消耗部品の様々な底面プロフィールを示した図である。 本発明の一部の実施形態にしたがった、エンドエフェクタ機構を使用して受けられる消耗部品の様々な底面プロフィールを示した図である。 本発明の一部の実施形態にしたがった、エンドエフェクタ機構を使用して受けられる消耗部品の様々な底面プロフィールを示した図である。 本発明の一部の実施形態にしたがった、エンドエフェクタ機構を使用して受けられる消耗部品の様々な底面プロフィールを示した図である。
本発明の一部の実施形態にしたがった、VTMのロボット上の代表的なエンドエフェクタ機構を示した図である。
本発明の一部の実施形態にしたがった、様々な底面プロフィールを伴う消耗部品を支持するためにVTMおよび/またはATMのロボット上のエンドエフェクタ機構に使用される代替の接触パッド概念を示した図である。 本発明の一部の実施形態にしたがった、様々な底面プロフィールを伴う消耗部品を支持するためにVTMおよび/またはATMのロボット上のエンドエフェクタ機構に使用される代替の接触パッド概念を示した図である。
本発明の一部の実施形態にしたがった、基板および消耗部品をロードロックチャンバ内へ運搬するために使用される代表的なエンドエフェクタ機構を示した図である。 本発明の一部の実施形態にしたがった、基板および消耗部品をロードロックチャンバ内へ運搬するために使用される代表的なエンドエフェクタ機構を示した図である。
本発明の一部の実施形態にしたがった、消耗部品をロードロックチャンバへ運搬するために使用される、リングキャリアを伴うエンドエフェクタ機構を示した代表的な側面図である。 本発明の一部の実施形態にしたがった、消耗部品をロードロックチャンバへ運搬するために使用される、リングキャリアを伴うエンドエフェクタ機構を示した代表的な上面図である。
本発明の一部の実施形態にしたがった、消耗部品を受けるように構成された、ロードロックチャンバ内で使用される代表的なフィンガアセンブリを示した図である。 本発明の一部の実施形態にしたがった、消耗部品を受けるように構成された、ロードロックチャンバ内で使用される代表的なフィンガアセンブリを示した図である。 本発明の一部の実施形態にしたがった、消耗部品を受けるように構成された、ロードロックチャンバ内で使用される代表的なフィンガアセンブリを示した図である。 本発明の一部の実施形態にしたがった、消耗部品を受けるように構成された、ロードロックチャンバ内で使用される代表的なフィンガアセンブリを示した図である。
本発明の一部の実施形態にしたがった、消耗部品を受けるためのフィンガアセンブリを含む既存のエアロックチャンバを示した図である。 本発明の一部の実施形態にしたがった、消耗部品を受けるためのフィンガアセンブリを含む再設計されたエアロックチャンバを示した図である。
本発明の一実施形態にしたがった、消耗部品およびリングキャリアを収納するために使用される代表的な交換ステーションを示した図である。
本発明の一実施形態にしたがった、消耗部品またはウエハを通らせてプロセスモジュールに対して出入りさせるための、プロセスモジュール内のスロットを示した代表的な図である。
一実施形態にしたがった、クラスタツールの様々な態様を制御するための制御モジュール(即ち、コントローラ)を示した図である。
一実施形態にしたがった、ロードポートで受けられた消耗部品を移送するためのポッドを伴うロードポートモジュールを示した図である。
本発明の様々な実施形態にしたがった、代表的な交換ステーションを異なる視点から示した図である。 本発明の様々な実施形態にしたがった、代表的な交換ステーションを異なる視点から示した図である。
本発明の一実施形態にしたがった、消耗部品および(1つまたは複数の)リングキャリアを収納するために使用される代表的な交換ステーションの様々なパーツを示した図である。
本発明の一実施形態にしたがった、代表的な交換ステーションの支柱および緊急停止柱を示した図である。 本発明の一部の実施形態における、図17Aに示された支柱の一部分を拡大して示した図であり、支持フィンガの様々なパーツを明確にしている。
本発明の代替の一実施形態における、交換ステーション内に提供された支柱の一代替設計を示した図である。 本発明の一実施形態における、図17Cに示された支柱の一部分を拡大して示した図である。
本発明の一部の実施形態における、交換ステーションのドアに提供された保持アセンブリを示した詳細な図である。 本発明の一部の実施形態における、交換ステーションのドアに提供された保持アセンブリを示した詳細な図である。 本発明の一部の実施形態における、交換ステーションのドアに提供された保持アセンブリを示した詳細な図である。
本発明の一部の実施形態における、支持パッドを上に画定されて伴う様々な支持フィンガを示した断面図である。 本発明の一部の実施形態における、支持パッドを上に画定されて伴う様々な支持フィンガを示した断面図である。 本発明の一部の実施形態における、支持パッドを上に画定されて伴う様々な支持フィンガを示した断面図である。
本発明の一部の実施形態における、消耗部品を収容するために使用される交換ステーションを示した俯瞰図である。
本発明の一部の実施形態にしたがった、交換ステーション内でリングキャリアを受けるおよび収納するための代表的なキャリア支持部の詳細を示した図である。 本発明の一部の実施形態にしたがった、交換ステーション内でリングキャリアを受けるおよび収納するための代表的なキャリア支持部の詳細を示した図である。
本発明の一実施形態にしたがった、交換ステーションの底面図であり、ロードポートモジュールのロードポートに連接するために使用される様々なパーツを明確にしている。
本発明の一部の実施形態にしたがった、交換ステーションの背面図であり、交換ステーション識別子を提供するための取り付け板を明確にしている。 本発明の一部の実施形態にしたがった、交換ステーションの背面図であり、交換ステーション識別子を提供するための取り付け板を明確にしている。
本開示の実施形態は、半導体ウエハを処理するために使用されるクラスタツールアセンブリを定めている。クラスタツールアセンブリは、半導体ウエハを処理するために使用されるプロセスモジュールを含む。クラスタツールアセンブリには、交換ステーションが取り付けられる。交換ステーションは、消耗部品の交換のために必要とされるプロセス条件を、プロセスモジュールまたはクラスタツールアセンブリを汚染するリスクを伴うことなく提供するために、真空に維持される。プロセスモジュールから使用済みの消耗部品を取り出して新しい消耗部に交換するために、交換ステーション内の専用ロボット、またはクラスタツールアセンブリ内で使用可能なロボットが使用される。
一部の実施形態では、交換ステーションは、消耗部品の交換が必要とされるクラスタツールアセンブリのプロセスモジュールに、直接取り付けられてよい。このような実施形態では、交換ステーションは、プロセスモジュールに直接結合される。交換ステーション内に画定されたロボットが、消耗部品を取り出して交換するために使用される。
その他の幾つかの実施形態では、交換ステーションは、クラスタツールアセンブリ内の真空移送モジュール(VTM)に直接取り付けられてよい。交換ステーションは、クラスタツールアセンブリの均一性および対称性を維持できるように取り付けられる。半導体ウエハをプロセスモジュールに対して出し入れするために使用されるVTMのロボットが、プロセスモジュール内に配置された消耗部品を取り出して交換するためにも使用される。
その他の幾つかの実施形態では、交換ステーションは、クラスタツールアセンブリの大気圧移送モジュールに直接取り付けられてよい。このような実施形態では、プロセスモジュール内の消耗部品にアクセスしてその部品を交換するために、大気圧移送モジュールのロボットが、クラスタツールアセンブリの真空移送モジュールのロボットと連携する。交換ステーションは、クラスタツールアセンブリの様々なモジュールに取り付けられるように、および消耗部品へのアクセスのためにクラスタツールアセンブリが開かれて大気条件に曝される必要なく消耗部品の交換を可能にするように設計される。
従来の設計のクラスタツールアセンブリでは、プロセスモジュール内の消耗部品にアクセスして交換するために、クラスタツールアセンブリが開かれる必要があった。クラスタツールアセンブリを開くためには、クラスタツールアセンブリをオフラインにし、大気条件になるまでパージして、プロセスモジュールへのアクセスを可能にする必要があった。クラスタツールアセンブリが開かれたら、熟練の技術員が、手動でプロセスモジュールから消耗部品を取り出して交換することになる。消耗部品の交換に際し、クラスタツールアセンブリは、半導体ウエハが処理可能であるように調節される必要があった。半導体ウエハは、貴重な製品であるので、クラスタツールアセンブリを調節する際は、細心の注意を払わなければならない。調節は、クラスタツールアセンブリを洗浄することと、クラスタツールアセンブリをポンプで真空に排気することと、クラスタツールアセンブリを調節することと、テスト運転を使用してクラスタツールアセンブリを適格化することとを必要とするだろう。これらの各手順は、かなりの時間と努力とを必要とする。クラスタツールアセンブリを調節する際の1つ以上の手順において問題に遭遇したときは、クラスタツールアセンブリを調節するための各手順で必要とされる時間に加えてさらなる遅延に見舞われるだろう。クラスタツールアセンブリの調節の際によく遭遇する幾つかの問題として、交換時に消耗部品の位置がズレること、損傷されたまたは使用済みの消耗部品に置き換えられる際に新しい消耗部品が損傷されること、消耗部品の取り出しまたは交換の際にプロセスモジュール内のその他のハードウェアコンポーネントが損傷されること、ポンプによる排気後にクラスタツールアセンブリが真空を実現できないこと、クラスタツールアセンブリがプロセス性能を達成できないことなどが挙げられる。各問題の深刻度に基づいて、さらなる時間と努力とが費やされる恐れがあり、クラスタツールアセンブリをオンラインに持ってくるときの遅延のさらなる要因になり、これは、メーカの利ざやに直接的に影響を及ぼす恐れがある。
クラスタツールアセンブリに交換ステーションを取り付けて、交換ステーションを通して消耗部品にアクセスすることによって、クラスタツールアセンブリを維持するために必要とされる時間と努力とが大幅に削減される。消耗部品を交換するために、クラスタツールアセンブリ内で使用可能なロボットを使用することによって、消耗部品、プロセスモジュール、および/またはクラスタツールアセンブリが損傷されるリスクが最小限に抑えられ、交換ステーションを真空に維持しそうしてクラスタツールアセンブリの内部が外気に曝される事態を回避することによって、汚染のリスクが最小限に抑えられる。ロボットを使用することによって、プロセスモジュール内の消耗部品を、プロセスモジュールのその他のハードウェアコンポーネントの損傷を最小限に抑えつつ、さらに正確に位置合わせすることができる。その結果、クラスタツールアセンブリを調節するために必要とされる時間が、大幅に短縮される。消耗部品の適時な交換は、半導体ウエハ内に画定される半導体コンポーネントの品質および歩留まりを向上させる。
図1は、一実施形態における、半導体ウエハの処理に使用されるクラスタツールアセンブリ100の簡略図を示している。クラスタツールアセンブリ100は、半導体ウエハが環境への露出を最小限に抑えられた状態で制御環境内で処理されることを可能にするための複数のモジュールを含む。クラスタツールアセンブリ100は、一実施形態では、大気圧移送モジュール(ATM)102と、共用の真空移送モジュール(VTM)104と、1つ以上のプロセスモジュール112〜120とを含む。ATM102は、周囲(即ち、大気)条件下で運転され、半導体ウエハをその処理のために統合型クラスタツールアセンブリ100内に持ってくるためのおよび半導体ウエハをその処理後に戻すためのウエハローダ(不図示)に連接している。ATM102は、半導体ウエハをウエハローダからVTM104に移動させるためのロボットを含んでいてよい。ATM102が大気条件下にあるゆえに、ロボットは、ドライロボットの一部であってよい。
VTM104は、半導体ウエハが1つのプロセスモジュールから別のプロセスモジュールに移動されるのにともなって半導体ウエハ表面が大気に曝されるのを最小限に抑えられるように、真空下で運転される。VTM104が真空下で運転され、ATM102が大気条件で運転されるので、ATM102とVTM104との間には、ロードロックチャンバ110が配置される。ロードロックチャンバ110は、ATM102からVTM104内への半導体ウエハの移送を可能にするための制御されたインターフェースを提供する。この実施形態では、半導体ウエハをロードロックチャンバ110に入れるために、ATM102内のロボットが使用されてよい。VTM104内には、半導体ウエハをロードロックチャンバ110から取り出してプロセスモジュール(112〜120)に対して出し入れするための別のロボットが提供されてよい。ロードロックチャンバは、その場所ゆえに、一部の実施形態では、「連接チャンバ」とも呼ばれる。
VTM104には、VTM104によって維持されている制御環境内で1つのプロセスモジュールから別のプロセスモジュールに半導体ウエハが移動することを可能にするために、1つ以上のプロセスモジュール112〜120が一体化されている。一部の実施形態では、プロセスモジュール112〜120は、VTM104の周囲に等距離間隔で配されてよく、別個のプロセス動作を実施するために使用される。プロセスモジュール112〜120を使用して行うことができるプロセス動作の幾つかとして、エッチング動作、すすぎ、洗浄、乾燥動作、プラズマ動作、蒸着動作、めっき動作などが挙げられる。例えば、プロセスモジュール112は、蒸着動作を実施するために使用されてよく、プロセスモジュール114は、洗浄動作を実施するために使用されてよく、プロセスモジュール116は、第2の蒸着動作を実施するために使用されてよく、プロセスモジュール118は、エッチングまたは除去動作を実施するために使用されてよく、以下同様である。制御環境を伴うVTM104は、汚染のリスクを伴うことなく半導体ウエハがプロセスモジュール112〜120に対して出し入れされることを可能にし、VTM104内のロボットは、VTM104に一体化された様々なプロセスモジュール112〜120に対する半導体ウエハの出し入れを助ける。
一実施形態では、クラスタツールアセンブリ100内のプロセスモジュール(例えば、プロセスモジュール112〜120のうちの任意の1つ)に、交換ステーションが取り付けられる。図1に示された実施形態の例では、交換ステーション108は、プロセスモジュール118に取り付けられる。交換ステーションは、その他のプロセスモジュール112〜120のうちのいずれかで(1つまたは複数の)消耗部品の交換が必要とされる場合に、その対応するプロセスモジュール112〜120に取り付けられるように構成されてよい。例えば、プロセスモジュール118は、エッチング動作を実施するために使用されてよい。交換モジュール108は、プロセスモジュール118内で使用される消耗部品を取り出して交換するために使用される。交換ステーション108は、プロセスモジュールに取り付けられたときに交換ステーション108をポンプで排気して真空に維持するためのポンプ機構(不図示)などの機構を含む。
一実施形態では、交換ステーション108は、プロセスモジュール(即ち、プロセスモジュール112〜120のうちの任意の1つ)に、そのプロセスモジュール(112〜120)において消耗部品の交換が必要とされるときは遮断弁を通じて結合されてよく、消耗部品が成功裏に交換されたときはそのプロセスモジュール(112〜120)から切り離されてよい。この実施形態では、交換ステーション108は、所要の動作(例えば、消耗部品の交換)を完了させるためにプロセスモジュールに一時的に取り付けられように、およびそのプロセスモジュールにおける所要の動作が完了したら取り外されて撤退されるまたは消耗部品を交換する所要の動作が実施される別のプロセスモジュールに移動されるように設計された、可動のモジュール式ユニットである。例えば、遮断弁は、交換ステーション108が真空に維持されることを可能にする。
交換ステーション108は、消耗部品を収容および保持するための部品バッファを含む。一部の実施形態では、部品バッファは、プロセスモジュールから取り出された使用済みの消耗部品およびプロセスモジュールへ運搬される予定の新しい消耗部品を収容するための複数の区画を含んでいてよい。一実施形態では、交換ステーションが遮断弁に取り付けられる場所である交換ステーションの開口部が、交換ステーション108に対して出入りする消耗部品の移動を可能にするようにサイズ決定される。
消耗部品は、プロセスモジュール内でプロセス条件に継続的に曝されるゆえに交換される必要があるプロセスモジュール内のハードウェア部品である。半導体ウエハの処理時に使用される過酷なプロセス条件に継続的に曝されるゆえに、消耗部品は、それが迅速に交換可能であるために厳密に監視されて、損傷がいつ許容レベルを超えるかを決定される必要がある。例えば、エッチングプロセスモジュールでは、半導体ウエハのプロセス領域を広げるために、チャックアセンブリに載せられた半導体ウエハに隣接してエッジリングが配置される。エッチング動作中、エッジリングは、半導体ウエハの表面上に特徴を形成するために使用されるプラズマからのイオン衝撃に曝される。時間の経過とともに継続的に曝された結果、エッジリングは損傷されるだろう。許容レベルを超えて損傷されたときは、エッジリングは、その損傷がその下の他のコンポーネントを露出させないように、またはそれ以外の形で半導体ウエハ処理に悪影響を及ぼさないように、交換される必要がある。
代表的なエッチング動作では、プラズマからのイオンが、プロセスモジュールに入れられたときの半導体ウエハの上方に画定されるプロセス領域内に形成されるプラズマシースに直交する角度で半導体ウエハ表面にぶつかる。イオン衝撃ゆえにエッジリングの層が摩耗されると、半導体ウエハの縁が露出され、プラズマシースを半導体ウエハの縁の輪郭に沿って巻き上がらせる。その結果、半導体ウエハ表面にぶつかるイオンは、プラズマシースの輪郭をたどり、これは、半導体ウエハ表面の縁に向かって傾斜した特徴を形成させる。これらの傾斜特徴は、半導体ウエハ上に形成される半導体コンポーネントの全体の歩留まりに影響を及ぼすだろう。さらに、エッジリングの層が摩耗されるにつれて、その下の例えばチャックなどのコンポーネントがイオンに曝されて、チャック表面を損傷させる恐れがある。歩留まりを向上させるためおよび下にあるコンポーネントの損傷を回避するためには、エッジリング(即ち、消耗部品)が定期的に交換される必要がある。
プロセスモジュール118に取り付けられた交換ステーション108は、プロセスモジュール内の真空を破ることなく消耗部品(即ち、エッジリング)が容易に交換されることを可能にするだろう。一実施形態では、交換ステーション108は、交換を必要とする消耗部品を取り出すためにおよび新しい消耗部品を運搬するためにプロセスモジュール(例えば、プロセスモジュール118)内へエンドエフェクタを伸長させるように構成された専用ロボットを含む。プロセスモジュール内のリフト機構が、消耗部品へのアクセスを提供する。交換ステーション108のロボットは、リフト機構のリフトピン上に新しい消耗部品を置く働きをしてよく、リフト機構は、新しい消耗部品をプロセスモジュール内のその定位置に装着するだろう。
一実施形態では、消耗部品の交換を助けるために、交換ステーションは、第1の遮断弁を通じてプロセスモジュール(例えば、プロセスモジュール112〜120のうちの任意の1つ)に取り付けられる。消耗部品にアクセスしてプロセスモジュールから取り出して、交換ステーション内に画定された部品バッファ内へ移動させるために、および交換用の消耗部品を部品バッファから提供するために、交換ステーションからのロボットが使用される。一実施形態では、第1の遮断弁は、プロセスモジュール内の消耗部品の取り出しおよび交換を統合調整するために、動作可能な方式でコントローラに接続されてよい。
第1の遮断弁を使用して交換ステーションをプロセスモジュールに取り付けることに加えて、第2の遮断弁を使用してクラスタツールアセンブリ100の真空移送モジュール(VTM)にプロセスモジュールが結合されてよい。第2の遮断弁は、係合されたときに、プロセスモジュール内の消耗部品の交換がクラスタツールアセンブリ100のその他のプロセスモジュールの動作に影響を及ぼすことなく実施可能であるように、プロセスモジュール(112〜120)をクラスタツールアセンブリ100の残りの部分から遮断するように構成される。第2の遮断弁の提供は、クラスタツールアセンブリ100全体ではなく特定のプロセスモジュール(112〜120のうちの任意の1つ)が、クラスタツールアセンブリ100内の残りのプロセスモジュール(112〜120)が半導体ウエハの処理を続けることを許されている間にオフラインにされることを可能にする。さらに、(1つまたは複数の)消耗部品を交換するために、特定のプロセスモジュール(例えば、112〜120のうちの任意の1つ)のみがオフラインにされるゆえに、プロセスモジュール(112〜120)およびクラスタツールアセンブリ100を完全稼働状態に回復させるためにかかる時間が、大幅に短縮されるだろう。その結果、クラスタツールアセンブリ100の動作を調節および適格化するためにかかる時間も、大幅に短縮される。半導体ウエハ処理時に半導体ウエハをプロセスモジュール(112〜120)に対して出し入れするために、VTM104のロボットが使用されてよい。
交換ステーション108のロボットがプロセスモジュール(112〜120)から消耗部品を取り出すことを可能にするためには、消耗部品が容易にアクセス可能である必要がある。プロセスモジュール(112〜120)は、一実施形態では、交換を必要とする消耗部品へのアクセスを提供するリフト機構を含む。リフト機構は、一部の実施形態では、消耗部品を上昇位置に移動させるために伸長可能であるリフトピンを含んでいてよい。交換ステーション108内のロボットのエンドエフェクタが、プロセスモジュール(112〜120)内へ伸長されて、消耗部品の下へスライドされる。リフト機構は、次いで、リフトピンを撤退させ、消耗部品をロボットのエンドエフェクタ上に載った状態で残らせる。消耗部品を伴うエンドエフェクタは、次いで、プロセスモジュール(112〜120)から交換ステーション108内へ撤退される。ロボットのエンドエフェクタを使用して、新しい消耗部品がプロセスモジュール(112〜120)に移動され、リフト機構のリフトピンは、その新しい消耗部品を受け取るために伸長される。リフト機構のリフトピンは、新しい消耗部品をプロセスモジュール(112〜120)内の定位置に位置合わせするために連携し合う。リフト機構を使用して消耗部品を取り出すおよび交換するプロセスは、図4を参照にして後程さらに詳しく論じられる。
一部の実施形態では、消耗部品を交換するために、クラスタツールアセンブリ100全体をオフラインにする必要があるかもしれない。これは、例えば、2つ以上のプロセスモジュール(112〜120)内の2つ以上の消耗部品が交換される必要があるときに生じるだろう。たとえこのような実施形態でも、交換ステーションおよび(1つまたは複数の)プロセスモジュールが真空に維持されるゆえに、クラスタツールアセンブリ100をオフラインにし、交換ステーションを(1つまたは複数の)プロセスモジュール(112〜120)に取り付け、消耗部品を取り出して交換し、クラスタツールアセンブリ100を調節および適格化する時間は、大幅に短縮されるだろう。その結果、クラスタツールアセンブリ100のプロセス条件(即ち、真空)が、消耗部品の交換中に悪影響を及ぼされることはない。さらに、ロボットを使用して交換がなされるゆえに、より正確な消耗部品の取り出しおよび交換が設計され、それによって、消耗部品および/またはプロセスモジュール(112〜120)の損傷のリスクが回避されるだろう。
一部の実装形態では、交換ステーションが取り付けられるプロセスモジュールの側面に設けられた開口が、その開口を消耗部品が容易に通り抜け可能であるように、サイズ決定されてよい。さらに、プロセスモジュール(112〜120)内の開口は、プロセスモジュール(112〜120)内およびクラスタツールアセンブリ100内で生じえるあらゆる非対称性の問題を全体として最小限に抑えられるように設計されてよい。
図1を参照にして論じられた様々な実施形態および実装形態は、交換ステーション108が、プロセスモジュール(112〜120)内の消耗部品が交換を必要とするときにプロセスモジュール(112〜120)に一時的に取り付けられることおよび消耗部品の交換が完了したときに撤退されることを可能にする。交換ステーション108は、使用済みの消耗部品および新しい消耗部品を収容および保持するための2つの別個の保持領域を伴う1つの部品バッファを含んでいてよい、または使用済みの消耗部品および新しい消耗部品を別々に保持するための複数の部品バッファを有していてよい。交換ステーション108内に提供されたロボット、および(1つまたは複数の)部品バッファは、消耗部品をプロセスモジュール(112〜120)へ直接運搬することおよびプロセスモジュール(112〜120)から直接取り出すことを可能にする。プロセスモジュール(112〜120)内の遮断弁は、クラスタツールアセンブリ100全体の代わりにプロセスモジュール(112〜120)のみをオフラインにすることを可能にする。
図2は、代替の一実施形態のクラスタツールアセンブリ100を示しており、該実施形態では、交換ステーション108は、プロセスモジュール(112〜118)ではなく、クラスタツールアセンブリ100内に配置された真空移送モジュール(VTM)104に取り付けられるように構成される。VTM104は、半導体ウエハの処理時に半導体ウエハをロードロックチャンバ110からプロセスモジュール112〜118に移動させるためにおよびVTM104に一体化された1つ以上のプロセスモジュール112〜118に対して出し入れするために使用されるロボットを含む。ロボットは、異なるプロセスモジュール間で半導体ウエハの受け取り、保持、および移動を行うために使用されるエンドエフェクタを含む。VTM104には、別の開口が、VTM104内に画定されたその開口に位置を合わせて交換ステーション108が取り付けられることを可能にするために画定される。対称性が重要である場合は、VTM104内の開口は、VTM104の、およびクラスタツールアセンブリ100の、均一性および対称性を保つように画定される。例えば、VTM104における均一性および対称性を維持するために、VTM104には、ダミーの扉を伴うダミーの開口が、上記開口に相対するように画定されてよい。或いは、もし、VTM104に、ダミーの開口が既に存在しており、その開口が、消耗部品を移動させるのに十分な大きさであるならば、交換ステーション108は、クラスタツールアセンブリ100における均一性および対称性を引き続き維持するために、そのダミーの開口に取り付けられてよい。
通常、VTM104の開口は、半導体ウエハを、および半導体ウエハをVTM104に対して出し入れするために使用されるキャリア/ロボットを、通り抜けさせるようにサイズ決定される。しかしながら、半導体ウエハよりも大きい消耗部品は、通り抜けできない恐れがある。例えば、プロセスモジュール(112〜118)に入れられたときの半導体ウエハを取り巻くように配置されるエッジリングは、半導体ウエハよりも幅が広い。このような場合は、半導体ウエハの移動用に設計された開口を再設計しないと、エッジリング全体が通り抜けることはできないだろう。場合によっては、VTM104の開口の再設計は、クラスタツールアセンブリの対称性に影響するだろうゆえに、実現可能な選択肢ではないだろう。したがって、VTM104の開口を再設計してクラスタツールアセンブリ100内に非対称性をもたらす代わりに、区分化された消耗部品を使用して各区分が開口を通り抜けできるようにしてよい。例えば、プロセスモジュール内で半導体ウエハを取り囲むために使用されるエッジリングは、VTM104の開口および交換ステーション108を通り抜け可能であるようにそれぞれ設計された2つ以上の区分からなる区分化されたエッジリングとして設計されてよい。この例では、区分化されたエッジリングは、個別に抜き出されて交換されてよい。
特に、複数の部分からなる区分化された消耗部品などの消耗部品を交換するときは、消耗部品の各区分は、区分どうしの間に隙間が形成されることがないように、プロセスモジュール内で適切に位置合わせされてセットされる必要がある。留意すべきは、高アスペクト比エッチング動作などのプロセス動作では、エッチングプロセスモジュールのコンポーネント間に存在する隙間をイオンが通り抜けて、その下のコンポーネントを損傷させるだろうことである。例えば、高アスペクトエッチャモジュールにおけるエッジリングの隙間は、高エネルギイオンを通り抜けさせ、エッジリングが上に載せられているだろうその下のチャックに到達させて、チャックの表面を損傷させるだろう。隙間の形成を防ぐためには、区分化された消耗部品は、プロセスモジュール内に装着されたときに各区分がその他の区分と確実にしっかり嵌り合うように、設計されてよい。したがって、一部の実装形態では、区分化された、即ち複数の部分からなる消耗部品は、噛み合い区分を有するように設計されてよい。或いは、消耗部品は、その下のコンポーネントに至る直接的流路がイオンまたは処理ガス/化学剤に見つからないように、重なり合う区分を有するように設計されてよい。例えば、一部の実装形態では、消耗部品は、VTM104と交換ステーションとの間に画定された開口をそのままの状態でまたは部分ごとに分けられた状態のいずれかで通り抜けることができる内側部分と外側部分とで作成されて、一方の部分がもう一方の部分に重なり合う状態でプロセスモジュール内に装着されてよく、そうして隙間の形成を防ぐことができる。クラスタツールアセンブリ内における対称性を維持するように設計された開口を再設計する必要なく消耗部品がVTM104に対して出し入れされることを可能にするように、その他の設計ヴァリエーションで消耗部品が実現されてもよい。
一実施形態では、交換ステーション108内で専用ロボットを使用する代わりに、半導体ウエハをプロセスモジュールに対して出し入れするために使用されるVTM104内のロボットが、消耗部品の取り出しおよび交換にも使用されてよい。一部の実装形態では、プロセスモジュール間で半導体ウエハを移動させるために使用されるロボットのエンドエフェクタが、プロセスモジュール112〜118および交換ステーション108の間で消耗部品の受け取り、保持、および移動を行うためにも使用される。その他の実装形態では、VTM104のロボットは、消耗部品の移動用および半導体ウエハの移動用に異なるエンドエフェクタを有するように設計される。エンドエフェクタは、半導体ウエハまたは消耗部品などの可動部品を取り出す、支持する、保持する、拾い上げる、持ち上げる、移動させる、または回転させるために通常はロボット内に画定される部品である。可動部品は、任意の向きの面内に保持されてよい。半導体ウエハの汚染を防ぐために、消耗部品と半導体ウエハとを別々に移動させるための別々のエンドエフェクタが提供されてよい。
代替の一実施形態では、プロセスモジュール内の消耗部品を抜き出して交換するために、交換ステーション108内の専用ロボットが、VTM104のロボットと連携してよい。例えば、VTM104のロボットは、プロセスモジュールから使用済みの消耗部品を抜き出して、それをVTM104と交換ステーション108との間に画定された一時保管領域に移動させるために使用されてよい。交換ステーション108の専用ロボットは、使用済みの消耗部品を一時保管領域から部品バッファに移動させるために使用されてよい。同様に、交換ステーション108の専用ロボットは、交換ステーション108の部品バッファから一時保管領域に新しい消耗部品を移動させるために使用されてよく、VTM104のロボットは、一時保管領域からプロセスモジュールに新しい消耗部品を移動させるために使用されてよい。一実施形態では、一時保管領域は、使用済みの消耗部品を収容するための第1の領域と、新しい消耗部品を収容するための第2の領域とを有していてよい。プロセスモジュール(112〜118)内のリフト機構は、新しい消耗部品をプロセスモジュール(112〜118)内に装着するために使用される。
図2に示された実施形態における交換ステーション108の設計は、図1を参照にして論じられた交換ステーション108の設計と同様である。例えば、図2の交換ステーション108は、交換ステーション108がVTM104に取り付けられるときに交換ステーション108を真空に維持するためのポンプなどの機構を含む。交換ステーション108のプロセス条件をVTM104と同様に(即ち、真空に)維持することによって、消耗部品の交換中にVTM104内のプロセス条件が悪影響を受けないことが保証されるだろう。交換ステーション108内には、使用済みの消耗部品および新しい消耗部品を収容および保持するための1つ以上の部品バッファが画定される。
図2に示された設計のプロセスモジュール(118)は、第2の開口を含まないという点で、図1で定められたプロセスモジュール(118)とは僅かに異なる。例えば、交換ステーション108は、VTM104に直接取り付けられ、交換ステーション108からプロセスモジュール(118)へのアクセスは、VTM104を通じて提供されるので、プロセスモジュール(118)は、交換ステーション108を取り付けるための第2の開口を必要としない。また、消耗部品の交換時にVTM104を通じたプロセスモジュール(118)へのアクセスを可能にするために、および半導体ウエハの処理時にプロセスモジュールを遮断するために、1つの遮断弁が使用される。留意すべきは、クラスタツールアセンブリ100内のプロセス条件に悪影響を及ぼすことなく消耗部品が容易に交換可能であるために、交換ステーション108が真空に維持されることである。その結果、パージ/ポンプ排気プロセスが不要になり、その他の適格化の手順がより短時間で実施されるので、半導体ウエハを処理するためのクラスタツールアセンブリ100の調節および適格化がより短時間で実現されるだろう。交換ステーション108は、一部の実装形態では、取り外し不可の形でVTM104に取り付けられてよい。
図3は、大気圧移送モジュール(ATM)102に交換ステーション108が取り付けられた別の一実施形態のクラスタツールアセンブリ100を示している。例えばローダからロードロックチャンバ110に半導体ウエハを移動させるために使用される、クラスタツールアセンブリ100のATM102内のロボットが、消耗部品を交換ステーション108へおよび交換ステーション108から移動させるためにも使用される。この実施形態では、ATM102に取り付けられた交換ステーション108は、ATM102と同じ大気条件に維持される。その結果、交換ステーション108は、交換ステーション108を真空に維持するためのポンプまたは同様な機構を必要としない。一部の実装形態では、交換ステーション108は、取り外し不可の形でATM102に取り付けられてよい。
ATM102に加えて、図3に示されたクラスタツールアセンブリ100は、真空移送モジュール(VTM)104と、該VTM104に一体化された複数のプロセスモジュール112〜120とを含む。ATM102とVTM104との間には、ロードロックチャンバ110が画定され、ATM102内およびVTM104内のプロセス条件を保ちつつATM102からVTM104に半導体ウエハを移動させるためのインターフェースとして機能する。
クラスタツールアセンブリ100のロードロックチャンバ110は、半導体ウエハおよび消耗部品の両方を取り扱うように設計される。半導体ウエハの汚染を回避するために、ロードロックチャンバ110内には、区画などの、半導体ウエハおよび消耗部品を収容するための別々の一時保管領域が提供されてよい。消耗部品を収容するように設計された、ロードロックチャンバ110内の一時保管領域は、さらに、使用済みの消耗部品および新しい消耗部品を収容するための別々の一時保管領域を提供するように構成されてよい。ロードロックチャンバ110に画定された開口は、消耗部品および半導体ウエハを通り抜けさせるように設計される。或いは、消耗部品を通り抜けさせるように開口が設計されていないときは、ロードロックチャンバ110に画定された開口を消耗部品の各区分が通り抜け可能であるように、区分化された消耗部品が使用されてよい。
図3に示された実施形態では、ロードロックチャンバ110からVTM104に組み込まれたプロセスモジュール(112〜120)にまたは1つのプロセスモジュール(112〜120)から別のプロセスモジュールに半導体ウエハを移動させるために使用されるVTM104内のロボットが、ロードロックチャンバ110とプロセスモジュール(112〜120)との間で消耗部品を移動させるためにも使用される。
一部の実装形態では、ATM102のロボットおよびVTM104のロボットに加えて、交換ステーション108が、ATM102と交換ステーションの部品バッファとの間で消耗部品を移動させるように構成された専用ロボットを含んでいてよい。このような実施形態では、ATM102のロボットは、ATM102とロードロックチャンバ110との間で消耗部品および半導体ウエハを移動させるために使用されてよく、VTM104のロボットは、ロードロックチャンバ110とプロセスモジュール(112〜120)との間で消耗部品および半導体ウエハを移動させるために使用されてよい。一実装形態では、ATM102のロボットおよびVTM104のロボットに、半導体ウエハおよび消耗部品の両方を移動させる用に異なる時点で係合されえる1本のエンドエフェクタが提供されてよい。別の実施形態では、ATM102のロボットおよびVTM104のロボットに、1本は半導体ウエハを移動させるための、そしてもう1本は消耗部品を移動させるための、別々のエンドエフェクタが提供されてよい。リフト機構が、プロセスモジュール(112〜120)内の適切な場所に新しい消耗部品を位置合わせして装着するために使用される。
図3Aは、一実施形態における、図3に示したものよりもさらに追加のモジュールを含む、より詳細ヴァージョンのクラスタツールアセンブリ100を示している。図3と同様に、図3Aに示した実施形態は、ATM102の第1の側101aに結合されて消耗部品の交換のためにATM102のロボットおよびVTM104のロボットを使用する交換ステーション108を示している。この実施形態では、交換ステーション108が、ATM102の第1の側101aに取り付けられているだけでなく、1つまたは複数のウエハローダ115も、大気圧移送モジュール102の第1の側101aに取り付けられている。ウエハローダ115は、正面開口式一体型ポッド(FOUP)などの標準的なウエハローダまたはATM102に結合されるように設計されたカスタマイズされたウエハローダであってよい。交換ステーション108および(1つまたは複数の)ウエハローダ115は、ATM102の第1の側101aに画定された特定のロードポート(不図示)を介して一時的にまたは取り外し不可の形でATM102に結合されるように構成される。交換ステーション108および(1つまたは複数の)ウエハローダ115(標準的なウエハローダおよびカスタマイズされたウエハローダの両方)に通じるドアは、ATM102の第1の側101aに画定された標準的なロードポートの開口に適合するように設計される。ウエハローダ115は、処理済みのウエハまたは未処理のウエハを収容および保持するための複数の区画を含むウエハバッファを含む。留意すべきは、本出願では、ウエハという用語と基板という用語とが、区別なく使用され、集積回路などの電子デバイスの製作に使用される薄い半導体材料片を言うことである。一部の実施形態では、交換ステーション108は、その構造および設計がウエハローダ115(例えば、FOUP)と同様であり、新しいおよび/または使用済みの消耗部品208を収容および保持するように構成された複数の区画207を伴う部品バッファ224を含む。
交換ステーション108およびウエハローダ115に加えて、1つ以上のバッファステーション113が、ATM102に結合されてよい。バッファステーション113は、通信可能方式でATM102に接続されたコンピュータへのアライメント入力を提供するために一般的に使用されるダイナミックアライナを含んでいてよい。アライメント入力は、ロードロックチャンバ110へ運搬されているときのウエハを位置合わせするために使用される。例えば、ウエハローダ115の1つから、バッファステーション113内のダイナミックアライナの内部に配置されたチャック上にウエハを移動させるために、ATM102内のロボット103が使用されてよい。チャックは、ウエハをスピンさせるように構成される。ウエハの縁に沿って提供された1つまたは複数の切り欠きおよび該切り欠きの中心からのズレを検出し、このデータをアライメント入力としてコンピュータに提供するために、ダイナミックアライナ内のセンサが使用される。コンピュータは、このアライメント入力をロボットに提供してよく、したがって、ロボットは、運搬されるときのウエハが適切に位置合わせされるように、ウエハをダイナミックアライナからロードロックチャンバ110へ運搬するためにこのアライメント入力を使用することができる。一部の実装形態では、消耗部品のためのアライメント入力をコンピュータに提供し、ロードロックチャンバ110へ運搬されるときの消耗部品が適切に位置合わせされることを保証するために、ダイナミックアライナのうちの1つまたは複数が使用されてよい。なお、留意すべきは、ウエハを位置合わせするためのアライメント入力が、消耗部品を位置合わせするためのアライメント入力とは異なるかもしれないことである。その他の実装形態では、消耗部品の位置合わせは、交換ステーション内でなされてよい。このような実装形態では、消耗部品をロードロックチャンバ110へ運搬するときにさらなる位置合わせを実施する必要がない。
一部の実施形態では、ウエハを移動させるように設計されたATM102内のロボット103は、交換ステーション108からロードロックチャンバ110に消耗部品208を移動させるようにも設計される。既存の設計のロボット103は、ウエハを支持するために使用される「フィンガ」を伴うエンドエフェクタ機構を含む。しかしながら、エンドエフェクタ機構上のフィンガは、ウエハを支持するように設計されており、したがって、長さが短く、消耗部品のための接触支持を提供するようには設計されていない。なぜならば、消耗部品は、ウエハよりも直径が大きいのが通常だからである。この相違を調整するために、一実施形態では、ATM102内のロボット103のエンドエフェクタ機構のフィンガは、消耗部品208のための接触支持を提供することを可能にするのに十分な長さまで伸長される。伸長したフィンガを伴うエンドエフェクタ機構は、ATM102のロボット103に実装されるものとして説明されているが、本明細書で説明されるエンドエフェクタ機構は、VTM104のロボット105に実装されてもよいことが留意されるべきである。
代替の一実施形態では、ATM102内のロボットのエンドエフェクタ機構の、短いフィンガは、リングキャリアを支持するために使用される。リングキャリアの表面は、消耗部品を支持するために使用される。リングキャリアは、交換ステーション108内のケースに収納されてロードロックチャンバ110への消耗部品の移送が必要なときに取り出されるキャリア板の形態をとってよい。この実施形態では、エンドエフェクタの既存のフィンガが、キャリア板を支持するために使用できるゆえに、エンドエフェクタのフィンガを再設計する必要がない。ATM102内および/またはVTM104内で使用されるエンドエフェクタ機構の詳細は、図6A〜6Cを参照にして詳しく説明される。消耗部品の支持および搬送に使用されるキャリア板は、図8A〜8Bおよび図9A〜9Bを参照にして説明される。
クラスタツールアセンブリに組み込まれたプロセスモジュール112内の消耗部品を交換するためには、プロセスモジュール112へのおよびプロセスモジュール112内の消耗部品208へのアクセスが必要である。プロセスモジュール112へのアクセスは、図1〜3を参照にして論じられており、ここでは、交換ステーション108が、プロセスモジュール(112〜120)に直接取り付けられる、または真空移送モジュール104もしくは大気圧移送モジュール102に取り付けられて該モジュールを通じてプロセスモジュール(112〜118、120)へのアクセスが提供される。プロセスモジュール(112〜120)がアクセスされたら、消耗部品、またはプロセスモジュール(112〜120)のその他のハードウェアコンポーネントを損傷させることなく消耗部品が安全に取り出されて交換可能であるように、消耗部品へのアクセスが提供される必要がある。
図4は、交換を必要とする消耗部品208へのアクセスを提供するためにクラスタツールアセンブリ100のプロセスモジュール(112〜120)内で使用されてよいリフト機構の代表的な一実施形態を示している。一部の実装形態では、消耗部品208は、ボトムエッジリング236の上に、カバーリング232に隣接して配置される。ボトムエッジリング236は、ベースリング240の上に、一部の実施形態ではスリーブリング238の隣りに配置される。リフト機構と、チャンバの側壁との間には、絶縁体リング234が提供されてよい。リフト機構は、消耗部品208を、それがアクセスされることが可能であるように上昇位置に移動させるように構成される。一部の実装形態では、消耗部品208は、処理時にプロセスモジュールに入れられた半導体ウエハ150に隣接して配置されるエッジリングである。リフト機構は、複数のアクチュエータ204に接続される複数のリフトピン202を含む。例えば、リフトピンは、リフトピンが消耗部品の様々な地点に接触して消耗部品を移動させることを可能にするために、面内に配されてよい。一部の実装形態では、面内に配されたリフトピンは、別個の組に分けられてよく、各組のリフトピンは、それぞれ異なる消耗部品にアクセスしてその部品を持ち上げるように独立に動作される。一部の実装形態では、アクチュエータ204は、複数のリフトピン202を装備された真空密閉アクチュエータである。
アクチュエータ204は、アクチュエータドライブ206によって駆動される。非係合モードでは、リフトピン202は、リフト機構内に画定されたケースの内側へ撤退された状態にとどまり、消耗部品208には接触していない。消耗部品208が交換される必要があるときは、アクチュエータ204は、アクチュエータドライブ206によって通電される。通電されたアクチュエータ204は、リフトピン202を消耗部品208に接触させて消耗部品208を上昇位置に移動させるために、リフトピン202をケース外へ伸長させる。プロセスモジュール(例えば、118)は、真空状態に維持されているので、消耗部品は、上昇されるときに、真空空間210内へ上昇される。VTM104のまたは交換ステーション108のいずれかのロボットが、エンドエフェクタをプロセスモジュール118内へ伸長させ、上昇した消耗部品208の下側にエンドエフェクタがスライドすることを可能にする。一部の実施形態では、ロボットに取り付けられたエンドエフェクタは、ヘラ状に形成され、これは、上昇した消耗部品をエンドエフェクタが支持することを可能にしている。エンドエフェクタが定位置にスライドしたら、アクチュエータ204は、リフトピン202をケース内へ撤退させ、消耗部品208をエンドエフェクタ上に載らせる。ロボットは、次いで、消耗部品を取り出すためにどのロボットが使用されるかに応じて、VTM104または交換ステーション108のいずれかへエンドエフェクタを引き戻してそれとともに消耗部品208も持ってくるように操作される。新しい消耗部品208をプロセスモジュール(例えば、118)に入れる必要があるときは、逆の順序が起きる。プロセスモジュール(例えば、118)のリフト機構は、プロセスモジュール(118)およびクラスタツールアセンブリ100が動作可能であるように、消耗部品をプロセスモジュール(118)内の適切な場所に正しく装着するために使用される。
リフトピンを動作させて消耗部品208を上昇させるためにアクチュエータに電力を供給することに加えて、リフト機構のアクチュエータドライブ206に接続された電源は、一部の実装形態では、リフトピンを通じて消耗部品に電力を供給してよい。アクチュエータ204およびリフトピン202は、一部の実装形態では、消耗部品208に電力を供給するために、導電性材料で作成されてよい。一部の実装形態では、消耗部品に接触することになるリフトピンの表面領域が、電気接点として機能して、電源から消耗部品に電力を供給するために使用されてよい。一部の実装形態では、電源は、リフトピン202がRF電力を消耗部品208に供給することを可能にするための高周波(RF)電源である。RF電源を使用して消耗部品を通電することに関するさらなる詳細は、2015年7月13日に出願され名称を「Extreme Edge Sheath and Wafer Profile Tuning Through Edge−Localized Ion Trajectory Control and Plasma Operation(縁に限局されたイオン軌道制御およびプラズマ動作を通じた、極縁におけるシースおよびウエハのプロフィール調整)」とする共同所有の同時係属米国仮特許出願第62/191,817号で説明されている。該出願は、参照によってその全体を本明細書に組み込まれる。一部の実装形態では、リフトピンは、切り替えられてよい。切り替えは、消耗部品208に供給される電力の量を制御するために使用されてよい。一部の実装形態では、切り替えは、消耗部品208に異なる電力を供給するために使用されてよい。一部の実装形態では、消耗部品208に供給される電力は、消耗部品208を加熱するために使用されてよい。例えば、消耗部品208がエッジリングであるときは、電源によって供給される電力は、温度制御されたエッジリングを提供するために使用されてよい。一部の実装形態では、電力が、容量結合などのその他の手段を通じて消耗部品208に供給されてよい。なお、容量結合などの代替の手段を使用してエッジリングなどの消耗部品208に電力を供給することに関するさらなる詳細は、2015年8月18日に出願され名称を「Edge Ring Assembly for Improving Feature Profile Tilting at Extreme Edge of Wafer(ウエハの極縁における特徴プロフィールの傾斜を改善するためのエッジリングアセンブリ)」とする共同所有の同時係属米国仮特許出願第62/206,753号で説明されている。該出願は、参照によって本明細書に組み込まれる。なお、留意すべきは、本明細書で論じられる、消耗部品208に電力を供給するための様々な手段が単なる例に過ぎず、エッジリングに電力を供給するその他の形態が用いられてもよいことである。一部の実装形態では、消耗部品208(複数の部分からなる消耗部品の1つの部分または複数の部分)は、1つ以上の磁石を使用して、プロセスモジュール(例えば、118)内で位置合わせされて定位置に装着されてよい。例えば、プロセスモジュール(例えば、118)内に提供されるリフト機構は、消耗部品208を支持する表面を含んでいてよい。リフト機構の、消耗部品208を支持する表面の下側に、1つ以上の磁石が配置されてよい。リフト機構内に配置された磁石は、消耗部品をプロセスモジュール(例えば、118)内で定位置に位置合わせするために使用されてよい。
一部の実装形態では、リフト機構は、リフト機構が空気圧で動作されることを可能にするために、空気圧縮器またはその他の圧縮圧力源に接続されてよい。一部の実装形態では、リフト機構は、消耗部品208をプロセスモジュール(例えば、118)内で定位置に把持するための静電把持力を提供するために使用されてよい。これらの実装形態では、リフト機構は、リフトピン202が消耗部品208をプロセスモジュール(例えば、118)内で定位置に把持するための直流(DC)電力を供給することを可能にするために、DC電源に接続されてよい。
図5Aは、一実施形態における、プロセスモジュール118内の消耗部品を交換するために使用される様々なコンポーネントを定めた代表的なクラスタツールアセンブリを示している。プロセスモジュール118は、半導体ウエハに対して、導電性エッチングを実施するためのトランス結合プラズマ(TCP)もしくは誘導体エッチングを行うための容量結合プラズマ(CCP)を生成するために使用することができる、またはプラズマ支援化学気相成長(PECVD)もしくは原子層堆積(ALD)もしくはその他の任意のタイプのエッチングを実施するために使用できる、エッチャモジュールであってよい。或いは、プロセスモジュール118は、半導体ウエハ上に様々な特徴を画定するためのその他の任意のプロセス動作(例えば、蒸着やめっきなど)を実施するために使用されてよい。
交換ステーション108は、部品バッファ224を含んでいてよい。部品バッファ224は、一実施形態では、プロセスモジュールから取り出された使用済みの消耗部品208およびプロセスモジュールへ運搬される必要がある新しい消耗部品208を入れるように構成された複数の区画207を含む。或いは、使用済みの消耗部品208と新しい消耗部品208とを区別して収納するために、別々の部品バッファ224が使用されてよい。部品バッファ224の区画207からプロセスモジュール118内へ新しい消耗部品208を移動させるためにおよびプロセスモジュール118から使用済みの消耗部品208を取り出して部品バッファ224の区画207内に収納するために、交換ステーション108内の交換ハンドラ214が使用されてよい。交換ハンドラ214は、そのエンドエフェクタ213が部品バッファ224内のおよびプロセスモジュール118内の消耗部品208にアクセスすることを可能にするために、横方向に、垂直方向に、および/または半径方向に動くように構成された、ロボット215を含む。エンドエフェクタは、消耗部品208にアクセスするように、および消耗部品208を取り出すように、および部品バッファ224またはプロセスモジュールへ消耗部品208を運搬するように構成されてよい。一部の実装形態では、エンドエフェクタは、任意の面内で消耗部品を取り出す、拾い上げる、持ち上げる、支持する、保持する、移動させる、または回転させるように設計された特殊なエンドエフェクタであってよい。交換ハンドラ214のエンドエフェクタは、消耗部品がプロセスモジュールから取り出されて部品バッファ224に収納されえるように、動作時に伸長および収縮するように操作されてよい。一部の実装形態では、エンドエフェクタは、取り出し動作時における柔軟性を大きくするために、半径方向に、横方向に、および/または垂直方向に動くように構成されてよい。交換ハンドラ214は、交換ハンドラ214のロボット215およびエンドエフェクタ213の動きを制御するために、コントローラ220に接続される。
交換ステーション108は、交換ステーション108内のプロセス条件を操作するためにポンプ233に接続された真空制御モジュール231も含んでいてよい。一部の実装形態では、交換ステーション108は、消耗部品の交換時に真空制御モジュール231を通じてポンプ233の動作または動きを統合調整することを可能にするために、コントローラ220に接続される。
交換ステーション108がプロセスモジュール118に取り付けられることを可能にするために、交換ステーション108とプロセスモジュール118との間に第1の遮断弁216が提供される。一部の実装形態では、第1の遮断弁216は、仕切り弁であってよい。プロセスモジュール118は、第1の側と第2の側とを含み、プロセスモジュール118の第1の側は、真空移送モジュール(VTM)104に結合され、プロセスモジュール118の第2の側は、第1の遮断弁216の第1の側に結合される。第1の遮断弁216の第2の側は、交換ステーション108に結合される。この結合は、交換ステーション108内のロボット215がプロセスモジュール118にアクセスすることを可能にするために、例えば交換ステーション108内およびプロセスモジュール118内に画定されたドア217およびドア219をそれぞれ操作する。第2の遮断弁216’の第1の側は、VTM104に結合され、第2の遮断弁216’の第2の側は、プロセスモジュール118の第1の側に結合される。この結合は、VTM104内のロボットが処理時にプロセスモジュール118にアクセスして半導体ウエハをプロセスモジュール118に対して出し入れすることを可能にするために、プロセスモジュール118内およびVTM104内にそれぞれ画定された対応する開口を覆うドア227およびドア229を操作することを可能にする。第1の遮断弁216および第2の遮断弁216’は、VTM104へのおよび交換ステーション108へのプロセスモジュール118の結合を統合調整するために、コントローラ220に接続される。
プロセスモジュール118は、プロセスモジュール118内に画定されたプロセス領域にプロセス化学剤を供給するために使用されてよい上方電極218を含む。上方電極218は、例えばプラズマを発生させるために、プロセス領域内のプロセス化学剤に電力を供給するための電源(不図示)に接続されてよい。一部の実施形態では、電源は、整合回路網(不図示)を通じて上方電極218に接続されたRF電源であってよい。或いは、上方電極は、電気的に接地されてよい。
プロセスモジュール118は、下方電極230も含む。下方電極230は、一部の実装形態では、処理のために半導体ウエハ150を受けるように構成される。一部の実装形態では、下方電極230は、静電チャックである。下方電極230は、処理時に下方電極230に電力を供給するための電源(不図示)に接続されてよい。或いは、下方電極230は、電気的に接地されてよい。
プロセスモジュール118は、消耗部品208が上昇位置に移動されることを可能にするためのリフト機構221を含む。リフト機構221は、図4を参照にして論じられたリフト機構と同様であり、消耗部品を上昇位置に持ち上げるための複数のリフトピン202およびアクチュエータ204と、アクチュエータ204を駆動するための電力を提供するためにアクチュエータ204に接続されたアクチュエータドライブ206とを含む。アクチュエータドライブ206は、消耗部品の交換時にリフト機構221の動作を制御するために、コントローラ220に結合されてよい。
コントローラ220は、コントローラ220に接続された様々なコンポーネントの動作の統合調整を促すために、真空状態制御部223と移送ロジック225とを含む。一実装形態では、プロセスモジュール118内の消耗部品が交換されるときに、交換ステーション108は、第1の遮断弁216に接触される。第1の遮断弁216において交換ステーション108が検出されると、それに応えて、第1の遮断弁216からコントローラ220に信号が送信される。コントローラ220は、すると、プロセスモジュール118への交換ステーション108の結合および交換ステーション108における真空の維持の統合調整を行う。例えば、第1の遮断弁216から受信された検出信号に応えて、コントローラ220の真空状態制御部223は、プロセスモジュール118に交換ステーション108を結合するプロセスを開始させるための信号を真空制御モジュール231に送信してよい。真空状態制御部223から受信された信号に応えて、真空制御モジュール231は、ポンプ233が交換ステーションを真空状態にすることを可能にするために、ポンプ233を作動させてよい。交換ステーション108が真空状態に達したら、真空制御モジュール231から真空状態制御部223に信号が送信される。真空状態制御部223は、すると、交換ステーションをプロセスモジュール118に結合するための信号を第1の遮断弁216に送信する。第1の遮断弁216は、これに応えて、交換ステーション108とプロセスモジュール118との間における第1の遮断弁216のあらゆる中間領域を真空状態に確保する。この確保の際に、第1の遮断弁216は、第1の遮断弁216の第1の側へのプロセスモジュール118の結合および第1の遮断弁216の第2の側への交換ステーション108の結合を実施する。プロセスモジュール118へのアクセスを提供するためにドア217およびドア219を操作する前に、交換ステーション108、および第1の遮断弁216の中間領域が真空であることを保証するためのさらなるテストがなされてよい。
結合動作の一部として、真空状態制御部223は、プロセスモジュール118内に画定されたおよび該プロセスモジュール118が一体化されるVTM104内に画定された対応する開口を覆うドア227およびドア229が閉じられて密閉されたままであるように、第2の遮断弁216’の動作を統合調整してよい。結合の際に、プロセスモジュール118内のリフト機構221は、リフトピン202がリフト機構221のケース内に撤退されて、消耗部品208がその装着位置に載っている、非係合状態に維持される。例えば、消耗部品208は、エッジリングである。その装着位置にあるときに、エッジリングは、プロセスモジュール118内に半導体ウエハ150が存在するときに、該半導体ウエハ150に隣接して位置決めされて、該半導体ウエハ150を実質的に取り囲んでいる。
結合のプロセスが完了したら、第1の遮断弁216からおよび一部の実施形態では第2の遮断弁216’から、コントローラ220に信号が送信される。これに応えて、コントローラ220は、移送ロジック225を作動させる。移送ロジック225は、エンドエフェクタ213がプロセスモジュール118から消耗部品を取り出して、交換ステーション108内に画定された部品バッファ224内の区画207へ移動させること、および上記消耗部品のための交換部品を装着のために部品バッファ224の区画207からプロセスモジュール118に移動させることを可能にするために、交換ステーション108内の交換ハンドラ214のロボット215およびエンドエフェクタ213の動きと、プロセスモジュール118内のリフト機構221のアクチュエータドライブ206の動きとを統合調整するように構成される。リフト機構221は、交換用の消耗部品をプロセスモジュール118内の適切な場所に装着するように操作される。
図5Bは、一実施形態における、プロセスモジュール118から消耗部品208を取り出すために従われるプロセスを示している。消耗部品208は、通常は、半導体ウエハが処理のためにプロセスモジュール118に入れられる前に交換される。この実施形態にしたがうと、交換ステーション108が第1の遮断弁216を通じてプロセスモジュール118に結合され、第2の遮断弁216’がVTM104に通じるドア227、229を封じると、コントローラ220の移送ロジック225が、プロセスモジュールから消耗部品を取り出して新しい消耗部品に交換するための信号を交換ハンドラ214におよびアクチュエータドライブ206に送信するために使用される。移送ロジック225は、エンドエフェクタ213がプロセスモジュール118内へ伸長して消耗部品を取り出すことを可能にするようにロボット215およびエンドエフェクタ213を操作するための信号を送信する。それと同時に、移送ロジック225は、アクチュエータ204がリフトピン202をリフト機構221内に画定されたケースから出るように移動させそれによって消耗部品208を装着位置から図5Bに示されるような上昇位置に移動させるように、アクチュエータドライブ206を操作する。エンドエフェクタ213は、上昇された消耗部品208の下にスライドして該消耗部品208を実質的に支持する。アクチュエータドライブ206は、次いで、アクチュエータ204がリフトピン202をリフト機構221内のケース内へ撤退させて、上昇された消耗部品208が交換ハンドラ214のエンドエフェクタ213上に載ることを可能にするように操作される。交換ハンドラ214のエンドエフェクタ213は、次いで、交換ステーション108内へ撤退されてそれとともに消耗部品208を持ってくるように操作される。エンドエフェクタ213は、次いで、取り出された消耗部品208を部品バッファ224の区画207に移動させるように操作される。
同様なやり方で、新しい消耗部品208が、部品バッファ224の別の区画207からプロセスモジュール118に移動される。新しい消耗部品208がプロセスモジュール118内へ移動されるときに、アクチュエータドライブ206は、新しい消耗部品208を受け取らせるためにアクチュエータ204がリフトピン202をケース外へ伸長させるように操作される。アクチュエータ204は、消耗部品208がプロセスモジュール118内の装着位置にセットされるようにリフトピン202が下降されることを可能にする。消耗部品の交換時に、真空状態制御部223は、ポンプ233が交換ステーションを真空状態に維持し続けて、プロセスモジュール118内に維持されている真空状態に一致させていることを保証するために、真空制御モジュール231との相互作用を続ける。
消耗部品208が交換されたら、コントローラ220は、プロセスモジュール118からの交換ステーション108の撤退を統合調整するために使用される。これにしたがって、コントローラ220は、プロセスモジュール118と交換ステーション108との間のドア217、219を閉じるための信号を第1の遮断弁216に送信し、ドア227、229のロックを解除してVTM104がプロセスモジュール118にアクセスすることを可能にするための信号を第2の遮断弁216’に送信する。
一部の実装形態では、プロセスモジュール118は、プロセスモジュールをアクティブ動作に戻す前に調節されてよい。調節動作は、消耗部品の交換が真空内で行われ、プロセスモジュール118のみが調節されればよいゆえに、短時間ですむだろう。次いで、ポンプ233が交換ステーション108をパージすることを可能にするための信号が、真空状態制御部223から真空制御モジュール231に送信されてよい。交換ステーション108は、すると、プロセスモジュール118から取り外されてよい。
図5Cは、図2に示された一実施形態のクラスタツールアセンブリ内で消耗部品を交換するために従われるプロセスを示しており、ここでは、交換ステーション108がプロセスモジュール118ではなくVTM104に取り付けられている。この実施形態では、第1の遮断弁216の第1の側が、真空移送モジュール(VTM)104の第1の側に結合されるように、交換ステーション108は、第1の遮断弁216を通じてVTM104に取り付けられる。交換ステーション108は、第1の遮断弁216の第2の側に結合される。第2の遮断弁216’は、第2の遮断弁216’の第1の側がプロセスモジュール118に結合され第2の遮断弁216’の第2の側がVTM104の第2の側に結合されるように配置される。VTM104内のロボットが、プロセスモジュール118と、交換ステーション108内の部品バッファ224内の区画207との間における、消耗部品へのアクセス、消耗部品の取り出し、および消耗部品の移動を可能にするために、第1の遮断弁216は、交換ステーション108内およびVTM104内に画定された対応する開口を覆うドア237、239をそれぞれ操作するように構成され、第2の遮断弁216’は、VTM104内およびプロセスモジュール118内に画定された対応する開口を覆うドア227、229をそれぞれ操作するように構成される。図5Cに示された交換ステーション108は、ロボット215およびエンドエフェクタ213を伴う専用の交換ハンドラ214を含んでいない。ロボット235は、コントローラによるロボット235の動作の統合調整を可能にするために、動作可能な方式でコントローラ220に結合される。さらに、交換ステーション、第1の遮断弁216、VTM104、第2の遮断弁216’、およびプロセスモジュール118は、プロセスモジュール118、VTM104、および交換ステーション108が真空状態に維持されている状態で、消耗部品の交換時における交換ステーションとプロセスモジュールとの間のアクセスを同期化させるために、コントローラ220に接続される。
交換ステーション108をVTM104に取り付けるプロセスは、交換ステーション108がプロセスモジュール118ではなくVTM104に取り付けられるという点を除き、図5Aを参照にして論じられた実施形態と同様である。消耗部品208を交換するプロセスは、コントローラ220が、図5Aで論じられた交換ステーション108のロボット215およびエンドエフェクタ213と連携し合う代わりにVTM104のロボット235と連携し合うという点を除き、図5Aを参照にして論じられた実施形態と同様である。
代替の一実施形態では、交換ステーション108は、ロボット215およびエンドエフェクタ213を伴う交換ハンドラ214(不図示)を含んでいてよく、この場合、交換ハンドラ214は、動作可能な方式でコントローラ220に接続される。コントローラ220は、消耗部品の交換時におけるロボット215と、エンドエフェクタ213と、ロボット235との連携を制御するために使用される。この実施形態では、ロボット215およびエンドエフェクタ213は、部品バッファ224とVTM104との間で消耗部品の取り出しおよび移動を行うために使用されてよく、VTM104のロボット235は、VTM104とプロセスモジュール118との間で消耗部品を移動させるために使用されてよい。
留意すべきは、図5Cに示された実施形態では、第2の遮断弁216’が、消耗部品の交換時にプロセスモジュール118をクラスタツールアセンブリ100内の残りの部分から隔離するためには使用されないことである。これは、この実施形態では、プロセスモジュールへのアクセスがVTM104を通じて提供されるという事実に起因する。したがって、第2の遮断弁216’は、半導体ウエハの処理時におけるプロセスモジュール118の選択的隔離を可能にしつつ、消耗部品の交換が必要なときのアクセスを提供するように構成される。この実施形態における、消耗部品の交換後におけるクラスタツールアセンブリ100の調節は、消耗部品の交換時に交換ステーション、VTM104、およびプロセスモジュール118が全て真空状態に維持されるゆえに、かかる時間が短くてすむ。
図6Aは、ウエハローダ115とロードロックチャンバ110との間でウエハを移送するためにATM102のロボット103に使用されるエンドエフェクタ機構70を示している。図6Aに示すように、エンドエフェクタ機構70は、一方の側がATM102のロボット103などのロボットに接続可能であるとともにもう一方の側が取り付けアームセットに接続可能である手首板701を含む。一部の実施形態では、取り付けアームセットは、ステンレス鋼材料の単板で作成される。取り付けアームセットの第2の側には、フィンガアセンブリ705が取り付けられる。一部の実施形態では、フィンガアセンブリ705は、フィンガアセンブリ705を高さ調整する手段を提供するために、バネ荷重取り付けを使用して取り付けアームセットに取り付けられる。フィンガアセンブリ705は、図6Aに示すように、ウエハを支持するには十分であるが消耗部品208を支持するには十分ではない長さにわたって伸びている1対のフィンガ707を含む。一部の実施形態では、エンドエフェクタ機構70の手首板701およびフィンガアセンブリ705は、アルミニウム材料で作成される。フィンガアセンブリ705の長さL1−aは、一部の実施形態では、約280mmであり、フィンガ707の長さL1−bは、約103mmである。
フィンガアセンブリ705のフィンガ707は、消耗部品208に適応するように伸長されてよい。しかしながら、もし、フィンガアセンブリ705のフィンガ707が伸長されたとすると、図7Aのエンドエフェクタ機構70に使用されているステンレス鋼材料は、追加の材料に起因する積載重量の増加ゆえに、ウエハ移送面からのフィンガの撓みを引き起こすだろう。この撓みは、このエンドエフェクタ機構70を、移送面の精密さが求められるクラスタツールアセンブリ内の空間などの狭い空間での使用に望ましくないものにするだろう。したがって、フィンガ707を伸長させるようにフィンガアセンブリ705を再設計する代わりに、フィンガアセンブリ705の当初の設計が維持される。フィンガアセンブリ705の当初の設計は、フィンガアセンブリ705によるリングキャリアの支持を可能にすることによって、消耗部品の搬送に使用される。そして、リングキャリアは、消耗部品208を支持するために使用される。リングキャリアの詳細は、図8A〜8Bを参照にして説明される。
図6B、図6B−1、および図6Cは、一部の実施形態における、クラスタツールアセンブリ100内のロボットに使用される代表的なエンドエフェクタ機構700を示している。消耗部品を受けて搬送するために使用される代表的なエンドエフェクタ機構700の、図6Bは上面図を、図6B−1は側面図を、図6Cは斜視図である。図6B、図6B−1、および図6Cに示されたエンドエフェクタ機構700は、ウエハを搬送することに加えて消耗部品を搬送することもできるように再設計されている。なお、図6B、図6B−1、および図6Cに示されたエンドエフェクタ機構700は、VTM104内のロボット105におよび/またはATM102内のロボット103に組み入れられてよいことに留意せよ。再設計されたエンドエフェクタ機構700は、一方の側がロボットに、もう一方の側が取り付けアームセット704に取り付け可能である手首板702を含む。取り付けアームセット704は、図6B−1に示すように、トップ板704aとボトム板704bとによって画定される。取り付けアームセット704のトップ板704aは、一部の実施形態では、ステンレス鋼材料で作成され、取り付けアームセット704のボトム板704bは、アルミニウムで作成される。トップ板およびボトム板に使用される材料は、取り付けアームセットの機能性が維持される限り、様々なヴァリエーションで実現されてよい。一部の実施形態では、トップ板704aと手首板702との間に、バネ荷重取り付けが実現されてよい。
取り付けアームセット704のトップ板704aとボトム板704bとの間には、1対のフィンガ706を伴うフィンガアセンブリ710が、取り付けアームセット704から外向きに伸びるように把持される。エンドエフェクタ機構700のフィンガアセンブリ710は、一部の実施形態では、セラミック材料で作成される。セラミック材料は、フィンガ706およびフィンガアセンブリ710に剛性を与え、そうして特にウエハおよび/または消耗部品を支持しているときなどのリング移送面からの撓みを低減する。さらに、セラミックは、軽量であるので、フィンガアセンブリ710にかかる積載重量が軽減される。把持は、セラミックフィンガアセンブリ710にいかなる応力亀裂も発生させないように保証しつつ、フィンガアセンブリ710の安定した取り付けを提供する。さらに、軽量のセラミック材料は、消耗部品がロードロックチャンバ110に対して滑らかに出し入れされるように、フィンガアセンブリ710がリング移送面に実質的に平行に位置合わせされることを可能にする。フィンガアセンブリ710の基端には、第1の対の消耗接触パッド708aが画定され、フィンガアセンブリ710のフィンガ706の先端に近接するフィンガアセンブリ710の遠端には、第2の対の消耗接触パッド708bが画定される。消耗接触パッド708aと708bとの間の長さL3−aは、約301mmであるように定められる。同様に、第1の対の消耗接触パッド708aに近接して第3の対の基板接触パッド708cが配置され、第2の対の消耗接触パッド708bに近接して第4の対の基板接触パッド708dが配置される。第3の対のおよび第4の対の基板接触パッドは、第1の対のおよび第2の対の消耗接触パッドの内側にくるように配置される。基板接触パッド708cと708dとの間の長さL3−bは、約133mmであるように定められる。
次に、図6Bを参照すると、フィンガアセンブリ710は、取り付けアームセット704に隣接して画定された基端710aと、フィンガ706対の先端に確定された遠端とを有する。一部の実施形態では、長さL2−aは、消耗部品が支持されることを可能にするために、受けられたときの消耗部品208の少なくとも直径に及ぶように定められる。例えば、フィンガアセンブリ710の長さL2−aは、少なくとも約360mmであるように定められ、フィンガ706対の長さLb−2は、少なくとも182mmであるように定められる。フィンガアセンブリ710は、消耗部品208およびウエハの両方を受けて搬送するために使用される。例えば、フィンガアセンブリ710は、300mmウエハはもちろん、該300mmウエハを取り囲むエッジリングなどの消耗部品も搬送するように構成される。その他の実施形態では、フィンガアセンブリ710は、200mmウエハ、または450mmウエハ、またはその他の任意の寸法のウエハ、およびそれぞれのサイズのウエハを取り囲むエッジリングなどの消耗部品を搬送するように構成される。これらの実施形態では、フィンガアセンブリ710は、適切なサイズにされたウエハ、および消耗部品を支持するようにサイズ決定される。
消耗部品208およびウエハの搬送を助けるために、フィンガアセンブリ710の上面上の様々な距離に、受けられたときの消耗部品およびウエハを支持するための複数の接触パッドが提供される。一部の実施形態では、消耗部品とウエハとがフィンガアセンブリ710上で別個の接触表面を有することを可能にするために、別々の組の接触パッドが提供される。この構成は、同じフィンガアセンブリ710を使用して消耗部品を移送するときに、ウエハの汚染を低減するのに望ましいだろう。第1の対の消耗接触パッド708aが、フィンガアセンブリ710の上面上に配置されて、取り付けアームセット704に近い近端710aにまたはその近くに位置付けられる。第2の対の消耗接触パッド708bが、フィンガアセンブリ710の上面上に配置されて、フィンガ706対の先端706aに近い遠端710bにまたはその近くに位置付けられる。第1の対の消耗接触パッド708aおよび第2の対の消耗接触パッド708bは、消耗部品を移送するために使用される。同様に、第3の対の基板接触パッド708cが、フィンガアセンブリ710の上面上で、第1の対の消耗接触パッドに隣接してなおかつ第1の対の消耗接触パッド708aと第2の対の消耗接触パッド708bとの間に配置される。第4の対の基板接触パッド708dが、フィンガアセンブリ710の上面上で、第2の対の消耗接触パッドに隣接してなおかつ第1の対の消耗接触パッド708aと第2の対の消耗接触パッド708bとの間に配置される。第3の対の基板接触パット708cおよび第4の対の基板接触パッド708dは、ウエハを搬送するために使用される。消耗接触パッド708a、708bは、ウエハの直径よりも外側に配置され、基板接触パッド708c、708dは、ウエハの直径よりも内側に配置される。この設計は、ウエハの汚染を最小限に抑えられるように、フィンガアセンブリ710上におけるウエハの受け表面と消耗部品208の受け表面とを隔離することを可能にする。
接触パッドは、一部の実施形態では、エラストマ材料などの滑らない材料で作成される。フィンガ706の上面上における消耗接触パッド708a、708bの場所は、ロボットのエンドエフェクタ機構700が消耗部品208を持ち上げるときに接触パッドが消耗部品208の底面に対して確実な接触支持を提供するような場所である。一部の実施形態では、確実な接触支持を提供できるように、2組以上の消耗接触パッド708a、708bが、フィンガアセンブリ710の近端710aおよび遠端710bに提供されてよい。一部の実施形態では、2つ以上の接触点を必要とするかもしれない別個の底面プロフィールを伴う消耗部品208の底面のための接触支持を提供するために、さらなる消耗接触パッド708が配置されてよい。
図7A〜7Dは、様々な底面プロフィールを有する消耗部品208を支持するためのエンドエフェクタ機構700に使用されるフィンガアセンブリ710の特定の実施形態を示している。消耗部品208は、一部の実施形態では、例えばプロセスモジュール112に入れられたときのウエハに隣接して配置されるエッジリングであってよい。エッジリングは、その底面における断面プロフィールが様々であってよく、消耗接触パッド708は、このような消耗部品208の様々な表面プロフィールに適応するために、フィンガアセンブリ710の上面上に配置される。
図7Aは、消耗部品208の底面の端の断面が実質的に矩形である一実施形態を示している。この実施形態では、消耗部品208が、エンドエフェクタ機構700のフィンガアセンブリ710上で適切に位置合わせされて受けられたときに、フィンガアセンブリ710の上面に配置された消耗接触パッド708が、十分な接触表面を提供する。一部の実装形態では、消耗接触パッド708a、708bは、消耗部品の少なくとも直径に等しい距離だけ相隔てられる。図7Aに示された実施形態では、消耗接触パッド708は、消耗部品208の底面にその径間距離の中ほどで接触するように配置される。
図7Bは、一実施形態における、消耗部品208の底面の代替の断面プロフィールを示している。消耗部品208は、消耗部品208の底面の外径に切り込みを含む。フィンガアセンブリ710の上面上に配置された消耗接触パッド708の場所は、フィンガ706上における消耗部品208の位置合わせが既定の位置誤差限界以内である限りは、消耗接触パッドが引き続き消耗部品208の接触支持を提供することおよび消耗部品208の底面を逃さないことを保証する。
図7C−1は、一実施形態における、消耗部品208の底面の第2の代替の断面プロフィールを示している。消耗部品208は、底面の中ほどに切り抜きを含む。もし、図7Aおよび図7Bに示された距離に配置された消耗接触パッド708が使用されたとすると、消耗接触パッド708は、図7C−1に示されるように、消耗部品208の底面との間に十分な、即ち確実な接触を提供しない。これは、消耗部品を、消耗接触パッド708の表面ではなくフィンガ706の上面で受けさせるだろう。フィンガ706対は、精密仕上げされたセラミック材料で作成されるので、フィンガ706対上で受けられた消耗部品208は、その搬送時に位置ズレを起こす可能性が高く、これは、極めて望ましくない結末である。このような位置ズレの発生を回避するためには、切り抜きを伴う消耗部品208の底面がフィンガアセンブリ710上で受けられたときに、フィンガ706対上に配置された1つ以上の消耗接触パッド708との間に十分な接触を確実になすように、フィンガアセンブリ710上の様々な距離に、さらなる消耗接触パッドが提供されてよい。消耗接触パッドは、そこで受けられたときのウエハが消耗接触パッドに絶対に触れないように、予期されるウエハオフセット範囲外にとどまりつつも、予期される断面の消耗部品208を、予期される位置誤差範囲全域にわたって支持するために、フィンガアセンブリ710上に画定される。図7C−2は、このような例の1つを示しており、ここでは、消耗部品208の底面で確実な接触支持を提供するために、フィンガアセンブリ710上の異なる距離に、2組の消耗接触パッドが提供される。2つの消耗接触パッド708間の距離は、切り抜きの幅にしたがって設定されてよい。
図7Dは、一実施形態における、消耗部品208の底面の第3の代替の断面プロフィールを示している。この実施形態では、消耗部品208は、プロセスモジュールに入れられたときのウエハが消耗部品のヘリの上で受けられることを可能にするために、より小さい内径のヘリを含む。消耗部品208の底面は、この実施形態では、図7A〜7Cに示されたものよりも広い表面積を含む。その結果、フィンガアセンブリ710上に配置された消耗接触パッド708は、搬送時に消耗部品208のための十分な接触支持を提供するだろう。一部の実施形態では、図7A〜7Dに示されたものとは異なるタイプの接触パッド概念が使用されてよい。
図7Eは、VTM104内のロボット105内にあるものとして描かれたエンドエフェクタ機構700を示しており、図7F−1および図7F−2は、様々な底面プロフィールの消耗部品を受けることを可能にするためにエンドエフェクタ機構700に使用される代替の接触パッド概念の詳細を示している。図7Eに示されたエンドエフェクタ機構700の様々なコンポーネントは、図6Aに示されたエンドエフェクタ機構700を参照にして論じられたものと同様である。
図7F−1および図7F−2は、一部の実施形態における、消耗部品を受けるためのエンドエフェクタ機構700に使用される代替の接触パッド概念を示している。図7F−2は、フィンガアセンブリ710内のフィンガ706の、代替の接触パッド概念が画定されるところである部分A−1の拡大図を示している。このような実施形態では、消耗接触パッド708の代わりにリング状の接触構造709が使用されてよい。一部の実施形態では、リング状接触構造709は、弾性材料で作成されたOリングの形態をとってよい。Oリングまたはリング状接触構造709の使用は、より広範囲の消耗部品半径にわたるリング状の線接触を可能にする。これは、図7A〜7Dを参照にして説明された消耗部品底面プロフィールのヴァリエーションの問題にも対処し、エンドエフェクタ機構700上における位置誤差に対する耐性を強くする。一部の実施形態では、消耗接触パッドまたはリング状接触構造、およびウエハ接触パッドは、エンドエフェクタ機構700の永久パーツであるように画定される。このような実施形態では、接触パッド(消耗/ウエハ接触パッド)、および/または接触構造は、セラミック材料、またはATM102内もしくはVTM104内に形成された環境に適したその他の任意の金属で作成されてよい。その他の実施形態では、消耗/ウエハ接触パッドまたは接触構造は、エラストマまたはその他の類似のタイプの材料などの、交換可能な消耗材料で作成されてよい。
図6B〜6Cに戻り、図6B〜6Cに示されたエンドエフェクタ機構700は、真空移送モジュール104内のロボット105によって用いられてよく、一部の実施形態では、ATM102内のロボット103にも用いられてよい。代替の実施形態では、図6B〜6Cのエンドエフェクタ機構700が、VTM104のロボット105によって用いられてよい一方で、図6Aに示されたエンドエフェクタ機構70または再設計されたエンドエフェクタ機構70もしくは700が、ATM102のロボット103に実装されてよい。
図8A〜8Bは、本発明の一部の実施形態における、ATM102内のロボットおよびVTM104内のロボットに使用される異なるエンドエフェクタ機構の上面図を示している。前述のように、ATM102内のロボット103は、ウエハはもちろん消耗部品も移動させるために、短いフィンガとキャリア板とを伴う既存のエンドエフェクタ機構70、またはウエハはもちろん消耗部品も移動させるためにフィンガが伸長された変更されたエンドエフェクタ機構700の、いずれかを使用してよい。図8Aは、ウエハをロードロックチャンバ110へ運搬するために一般的に使用される、ATM102のロボット103に組み込まれた既存のエンドエフェクタ機構70の上面図を示している。既存のエンドエフェクタ機構70は、消耗部品をロードロックチャンバ110へ運搬するために使用されてもよい。図8Aからわかるように、エンドエフェクタ機構70内に定められたフィンガ707対は、ウエハ(不図示)のための接触支持を提供するように設計されており、ウエハよりも半径が大きい消耗部品208のための接触支持を提供するようには設計されていない。エンドエフェクタ機構70が消耗部品を支持するおよび移送するために使用されることを可能にするために、リングキャリア固定具が使用される。
図8Bは、交換ステーション108とロードロックチャンバ110との間で消耗部品208を搬送するために、ATM102のロボット103内のエンドエフェクタ機構70にリングキャリア固定具が使用される代表的な一実施形態を示している。リングキャリア固定具は、キャリア板1104の形態をとってよい。一部の実施形態では、キャリア板1104は、カーボンファイバ材料で作成される。カーボンファイバは、軽量であり、フィンガアセンブリ705にかかる積載重量の増加を最小限に抑えられるゆえに使用に理想的である。カーボンファイバは、撓みを最小限に抑えるのに十分な剛性も提供する。
一部の実施形態では、キャリア板1104は、形状が三角形であるように構成され、キャリア板1104の中心1104mからの頂点(1104a、1104b、1104c)の距離が消耗部品208の半径に少なくとも等しいようにサイズ決定される。キャリア板の三角形状の設計は、多くの利点を有する。例えば、キャリア板の三角形状は、消耗部品208を受けたキャリア板1104が、ロードロックチャンバ110内に画定されたいずれのコンポーネントを妨害することもなくロードロックチャンバ110に対して容易に出し入れされることを可能にする。これが可能であるのは、頂点1104a〜1104cが、ロードロックチャンバ110内に画定された例えばフィンガアセンブリ902の間でキャリア板1104が十分な離間距離を維持しつつ容易に移動することを可能にするからである。さらに、頂点1104a〜1104cは、三角形状のキャリア板1104の中心に定められた重心1104mから等距離に分布されるので、消耗部品208は、いずれの辺にも不要な応力をかけることなくそれらの頂点で適切に支持されることが可能である。
一実施形態では、キャリア板1104は、交換ステーション108に収納され、エンドエフェクタ機構70を使用してATM102のロボット103によって取り出される。一部の実施形態では、キャリア板1104がエンドエフェクタ機構70の上でしっかりと受けられて運ばれるように、ATM102のロボット103内に提供されたエンドエフェクタ機構70は、キャリア板1104を拾ときに十分な吸引力を提供するように構成されてよい。一部の実施形態では、使用済みの消耗部品と新しい消耗部品とを別々に搬送するために、異なるキャリア板1104が使用されてよい。例えば、使用済みの消耗部品を搬送するために第1のキャリア板が使用されてよく、新しい消耗部品を搬送するために第2のキャリア板が使用されてよい。
キャリア板1104の形態をとるリングキャリアを伴うエンドエフェクタ機構70を使用することには利点がある。例えば、既存のエンドエフェクタ機構70が使用されてよく、既存のエンドエフェクタ機構70を再設計する必要がない。リングを支持するようにエンドエフェクタ機構70を再設計することは、形状変更を必要とするかもしれず、このような形状変更は、様々なウエハ引き渡し場所でウエハ支持部またはその他のハードウェアを妨害する恐れがある。このような離間距離の問題は、ATM内のロボットのロボットアーム軌道を変更することによって対処されるだろうが、軌道の変更は、ウエハ移送時間を増加させて、システムスループットを低下させる恐れがある。代わりにリングキャリアを使用することは、ウエハのスループットを変化させることなくウエハ移送のための現軌道を使用することを可能にする。ウエハ移送軌道が変更されないままにしつつも、必要に応じて、リング移送専用の軌道を定めることができる。さらに、既存のファームウェアへの影響が少なくてすむ。一部の実施形態では、(キャリア板1104の形態をとる)リングキャリアは、積載重量を増加させるかもしれず、これは、リング移送面からの撓みに寄与する恐れがある。しかしながら、カーボンファイバなどの適切な軽量材料をリングキャリアのために使用することによって、積載重量の増加およびその結果としての撓みは実質的に最小限に抑えられるだろう。
図9Aおよび図9Bは、一部の実施形態における、消耗部品208をロードロックチャンバ110内へ移送するためのリングキャリア1104と併せて使用される代表的なエンドエフェクタ機構70の側面図および上面図を示している。エンドエフェクタ機構70は、一方の端がロボット103に取り付け可能であるとともにもう一方の端が取り付けアームセット703に取り付け可能である手首板701を含む。フィンガアセンブリ705が、取り付けアームセット703の第2の端に装着される。フィンガアセンブリ705は、取り付けアームセット703から外向きに伸びる1対のフィンガ707を含む。フィンガアセンブリ705は、取り付けアームセット703に近接する近端705aを有する。フィンガアセンブリ705の遠端705bが、フィンガ707対のフィンガ先端707aに画定される。第1のキャリア接触パッド721aが、フィンガアセンブリ705の上面上の、フィンガ707の基部に形成されたフォーク723の中心に近接して配置される。第2の対のキャリア接触パッド721bが、フィンガアセンブリ705の上面上に配置され、フィンガアセンブリ705の遠端(705b)に近接して位置付けられる。第1のキャリア接触パッド721aおよび第2の対のキャリア接触パッド721bを使用して、フィンガアセンブリ705上でキャリア板1104が支持される。フィンガアセンブリ705は、また、基板またはウエハを搬送するようにも構成される。なお、留意すべきは、一部の実施形態では、(キャリア板1104の形態をとる)キャリアリングを伴う既存のエンドエフェクタ機構70が、ATM102のロボットでのみ使用されてよいことである。なぜならば、キャリア板1104を交換ステーション108に収納するおよび交換ステーション108から取り出すことが便利であり、キャリア板1104を収納するためにその他のモジュールを再設計する必要がないからである。キャリア板1104は、キャリア板1104の中心から基板の半径よりも外側の距離にある頂点1104a〜1104cのそれぞれに配置された消耗接触パッド708を含む。一部の実施形態では、キャリア板1104の中心からの消耗接触パッド708の距離は、消耗部品がキャリア板1104の頂点で受けられたときの消耗部品のために滑らない接触表面を提供するために、少なくとも消耗部品208の半径の長さである。図7A〜7Dに示された実施形態を参照にして論じられたように、消耗接触パッド708は、キャリア板1104の頂点から様々な距離に提供されてよい。一部の実施形態では、各頂点は、頂点1104a〜1104cの各頂点から等距離に配置された1対の消耗接触パッド708を含んでいてよい。代替の実施形態では、様々な底面プロフィールを伴う消耗部品208のための確実な接触表面を接触パッドが提供することを可能にするために、複数の消耗接触パッド708が、頂点1104a〜1104cのそれぞれから様々な距離に画定されてよい。
変更されたエンドエフェクタ機構700をATM102および/またはVTM104のロボット内に含めることに加えて、さらなる変更が、交換動作時に消耗部品がロードロックチャンバ110に入れられることを可能にするためにロードロックチャンバ110内に提供されてよい。
図10A〜10Fは、一部の実施形態における、消耗部品を受けるおよび支持するための、ロードロックチャンバ110内に係合された支持機構の、様々な視点からの図および詳細である。図10Aは、一実施形態における、消耗部品208の交換が必要とされるクラスタツールアセンブリ100内でATM102とVTM104との間に連接された簡略化されたロードロックチャンバ110の俯瞰図を示している。ロードロックチャンバ110は、消耗部品208を受けるための支持機構901を含む。支持機構901は、消耗部品を受けるための接触表面を提供する複数のフィンガアセンブリ902を含む。フィンガアセンブリ902は、ロードロックチャンバ110内の決まった場所に配置される。一部の実施形態では、フィンガアセンブリ902は、以下の段落で説明されるように、二次汚染を回避するために、ウエハおよび消耗部品を受けるための相互排他的な接触点を有するように構成される。なお、留意すべきは、これらの接触点は、予期されるウエハまたは消耗部品の位置誤差内で排他的隔離を達成するために、様々な高さまたは半径方向距離にあってよいことである。
図10Bは、ウエハを受けるように設計された、ロードロックチャンバ110内の既存のフィンガアセンブリ903を示している。各フィンガアセンブリ903は、トップ支持フィンガ903aと、ボトム支持フィンガ903bとを含んでいた。図に示されるように、トップ支持フィンガ903aの上面およびボトム支持フィンガ903bの上面は、ともに、下方へ傾斜した部分911を含むように設計された。受けられたときのウエハのための滑らない接触表面を提供するために、近接パッド912が、トップ支持フィンガ903aおよびボトム支持フィンガ903bの先端に近接して画定された。スペーサブロックが、トップ支持フィンガ903aおよびボトム支持フィンガ903bを支持するために画定される。スペーサブロックの厚さは、ウエハを受けるための十分な離間距離を提供するように設計される。しかしながら、図10Bのスペーサブロックによって提供される離間距離は、ウエハよりも半径が大きい消耗部品を受けるには十分ではない。消耗部品に適応するために、ロードロックチャンバ内のフィンガアセンブリは、消耗部品を受けるときのロードロックチャンバのコンポーネントへの妨害を回避できるように再設計される。
図10Cは、本発明の一実施形態における、消耗部品が上で受けられることを可能にするためにロードロックチャンバ110内で使用される再設計された支持機構901の代表的なフィンガアセンブリ902を示している。支持機構901は、複数のフィンガアセンブリ902を含む。図10Aに示された一実施形態では、支持機構は、円の外周に沿って等距離間隔で配された3つのフィンガアセンブリを含む。複数のフィンガアセンブリ902は、それぞれ、トップ支持フィンガ90baと、ボトム支持フィンガ902bとを含む。ボトム支持フィンガ902bの上面は、一実施形態では、ボトム支持フィンガ902bの第2の端に近接して凹み908を含むように設計され、その一方で、第1の端には、トップ支持フィンガ902aとボトム支持フィンガ902bとの間にスペーサブロックが配置される。消耗部品に完全に適応するために、スペーサブロック905は、消耗部品208がフィンガアセンブリ902上で完全に受けられることを可能にするのに十分な離間距離を提供するように再設計される。図10Cに示された実施形態では、スペーサブロック905は、消耗部品の移送経路を妨害しないように、ロードロックチャンバ110の中心からは遠くなおかつロードロックチャンバ110の側壁には近いように移動されて示されている。第1の端の、ボトム支持フィンガ902bの下方には、第2のスペーサブロック905”が配置されてよく、再設計されたスペーサブロック905と比べて同サイズ、厚め、または薄めであってよい。一部の実施形態では、スペーサブロック905を提供する代わりに、フィンガアセンブリは、トップ支持フィンガおよびボトム支持フィンガ902bをそれらの間に隙間を提供しつつ支持するためのフィンガ支持部を含んでいてよい。また、図10Cに示されるように、ロードロックチャンバ110内の各フィンガアセンブリ902のボトム支持フィンガ902bは、その上面上の、少なくとも消耗部品の半径に等しい距離に、凹み908を含むように再設計される。凹み908のサイズは、一実施形態では、少なくとも消耗部品の幅であるように設計される。フィンガアセンブリ902の表面上には、受けられたときのウエハはもちろん消耗部品208のための滑らない接触表面を提供するために、1つ以上の接触パッドが画定される。例えば、トップ支持フィンガ902aおよびボトム支持フィンガ902bの先端に近接したフィンガアセンブリの第2の端には、トップ支持フィンガ902aおよびボトム支持フィンガ902bの上面上に基板接触パッド904が配置される。一部の実施形態では、基板接触パッド904は、受けられたときのウエハのための接触支持表面を提供できるように、支持機構の中心からウエハの半径に等しい距離に画定される。さらに、消耗部品208のための接触支持を提供するために、ボトム支持フィンガ902bの凹み908内に、消耗接触パッド906が画定される。消耗部品の底面プロフィールに基づいて、さらなる消耗接触パッド906が凹み908内に画定されてよい。
一部の実施形態では、トップ支持フィンガ902aにおける基板接触パッド904が、ウエハを受けるために使用されてよい一方で、凹み内の消耗接触パッドは、消耗部品を受けるために使用されてよい。代替の実施形態では、トップ支持フィンガ902aが、消耗部品208を受けるための消耗接触パッド906を伴う凹み908を含んでいてよい一方で、ボトム支持フィンガ902bの表面上の基板接触パッド904は、ウエハを受けるために使用されてよい。代替の一実施形態では、凹み908は、トップ支持フィンガ902aおよびボトム支持フィンガ902bの両方に提供されてよい。この実施形態では、トップ支持フィンガ902aおよびボトム支持フィンガ902bの両方が、消耗部品208およびウエハを受けるように構成される。なお、留意すべきは、消耗部品208とウエハとが、フィンガアセンブリ上で同時には受けられないことである。一部の実装形態では、しかしながら、消耗部品がボトム支持フィンガ902b上で受けられることを可能にする一方でトップ支持フィンガ902aを使用してウエハを受けることによって、またはその逆によって、消耗部品とウエハとを同時に受けることが可能であるかもしれない。このような実施形態では、ウエハ接触パッドの設計位置および消耗接触パッドの設計位置は、二次汚染を回避するために、消耗部品208を受けるための接触表面がウエハを受けるために使用される接触表面から隔離された状態に維持されるような設計位置である。なお、留意すべきは、ウエハ接触パッドの場所が、許容位置誤差の極限で受けられたウエハが消耗接触パッドに接触しないように定められることである。同様に、許容位置誤差の極限で受けられた消耗部品も、ウエハ接触パッドに接触しないものとする。接触受け表面の隔離は、フィンガアセンブリ上で受けられたときのウエハの二次汚染を回避するためである。一部の実装形態では、別個の接触パッドを使用する代わりに、ボトム支持フィンガ902b内におよび/またはトップ支持フィンガ902a内に画定された凹み908内に、リング状の接触構造が配置されてよい。リング状接触構造は、エラストマ材料で作成されてよく、Oリングであってよい。リング状接触構造によって提供される接触構造は、より広範囲の消耗部品半径にわたる。
プロセスモジュール内でウエハの近くに配置される、エッジリングなどの消耗部品は、プロセスモジュール内でウエハが曝される過酷な処理条件に曝される。その結果、処理化学物質の一部が、消耗部品の表面上に汚染物質として積もっている恐れがある。プロセスモジュール内で消耗部品が交換されるときは、汚染物質を伴う使用済みの消耗部品が、プロセスモジュールから取り出されてロードロックチャンバ110に入れられ、新しい消耗部品が、ロードロックチャンバ110からプロセスモジュールへ移送される。ロードロックチャンバ110は、消耗部品およびウエハの両方をプロセスモジュールに対して出し入れするために使用されるので、もし、接触支持表面が、消耗部品およびウエハの両方を受けるために共通であるならば、使用済みの消耗部品からの汚染物質が、ウエハの表面を汚染する可能性が高くなる。このような汚染の発生を防ぐために、1つの解決策は、ウエハと消耗部品とを別々に移動させるために別々のロードロックチャンバ110を使用することである。この配置構成は、実現可能ではあるだろうが、ウエハを移動させるために2つのロードロックチャンバ110のうちの1つのみが利用可能であるゆえに、ウエハスループットに深刻な影響を及ぼすだろう。
ウエハスループットを維持するとともに、可能性があるウエハ汚染を回避するために、代替の解決策は、一実施形態において、クラスタツールアセンブリ100内で利用可能なロードロックチャンバ110が新しい消耗部品および使用済みの消耗部品208はもちろん処理前のおよび処理済みのウエハをも移動させるために使用されることを可能にすることである。例えば、一実施形態では、トップ支持フィンガ902aおよびボトム支持フィンガ902bが、消耗部品のためのおよびウエハのための別々の受け領域を提供してよい。このような実施形態では、フィンガアセンブリに提供された複数の接触パッドが、ウエハの接触表面が消耗部品の接触表面から別の高さに維持されることを可能にする。リングの形態をとる消耗部品(即ち、エッジリング)の場合は、リングの接触表面をウエハ接触表面から異なる高さに維持することによって、ウエハ表面の汚染が回避できるまたは最小限に抑えられる。
図10Dは、一実施形態における、ウエハおよび消耗部品の両方を支持するために使用される、ロードロックチャンバ110内のこのようなフィンガアセンブリ902の1つの拡大図を示している。ボトム支持フィンガ902bの上面上に、凹み908が画定される。1つ以上の消耗接触パッド906が、消耗部品208を受けるために凹み908内に配置され、1つ以上の基板接触パッド904が、ウエハを受けるために支持フィンガ902a、902bの先端の近くに配置される。ボトム支持フィンガ902b上には、一実施形態では、消耗部品を受けるための消耗接触パッド906が、支持機構の中心から少なくとも消耗部品208の半径に等しい距離に配置され、ウエハを受けるための基板接触パッド904が、支持機構の中心から少なくともウエハの半径に等しい距離に配置される。複数のフィンガアセンブリ902の凹み908内に配置された消耗接触パッド906は、消耗部品208を受けるためのリング受け面913bを画定し、フィンガアセンブリ902上に配置された基板接触パッド904は、ウエハを受けるためのウエハ受け面913を画定する。凹み908は、リング受け面913bがウエハ受け面913aとは異なる高さに配置されることを可能にし、ウエハのための接触支持表面を消耗部品のための接触支持表面から隔離している。接触支持表面のこの隔離は、消耗部品を受けるために使用される接触支持表面のいずれの部分にもウエハが接触しないようにすることによって、ウエハの表面を汚染から保護するように設計される。一実施形態では、リング受け面913bは、ウエハ受け面913aの高さ(h2)よりも低い高さ(h1)にある(即ち、高さh1<h2である)。一実施形態では、h1とh2との間の差は、少なくとも消耗部品208の高さよりも大きい。別の一実施形態では、ウエハ受け表面を消耗部品受け表面から隔離しつつ、ウエハが低い方の高さで受けられるとともに消耗部品が高い方の高さで受けられるように、h1>h2である。
もちろん、図10Cおよび図10Dに示されたフィンガアセンブリ設計は、ウエハの接触表面と消耗部品とを隔離された状態に維持する一例である。高さh1>h2であるフィンガアセンブリ設計を含むその他の設計が用いられてもよい。この設計では、支持フィンガ902a、902bの上面上の先端に、切り抜きが画定されてよい。切り抜きによって形成された表面が、ウエハを支持するための基板接触パッド904を受けるために使用されてよく、その一方で、消耗部品は、ボトム支持フィンガ902bの上面における凹み908内に画定された消耗接触パッド906上で受けられてよい。一実施形態では、切り抜きは、支持機構901の中心から少なくともウエハの半径に等しい距離に、ウエハを受けるためのウエハ受け表面を画定し、その上に、ウエハのための確実な接触支持表面を提供するための基板接触パッド904が提供されてよいように、トップ支持フィンガ902a/ボトム支持フィンガ902b上に形成されてよい。同様に、支持フィンガ902a、902bの上面に画定された切り抜き908内に提供された消耗接触パッドは、消耗部品のための接触支持表面を提供する。
図10Eおよび図10Fは、一部の実施形態における、支持機構901の再設計の前および後における代表的なエアロックチャンバを示している。支持機構901は、ウエハはもちろん消耗部品の搬送にも適応するように再設計された複数のフィンガアセンブリを含む。再設計されたフィンガアセンブリは、ロードロックチャンバ110を完全に再設計する必要なく消耗部品208がロードロックチャンバ110に入れられることを可能にするゆえに、単純で、容易で、なおかつ費用効果の高い解決策である。図10Eに示されるように、支持機構901内でフィンガアセンブリのボトム支持フィンガ902b上で消耗部品208を完全に受けるためには、スペーサブロック905’は、消耗部品の移送経路から外れるように再設計される必要がある。図10Eに示された既存の設計は、消耗部品をボトム支持フィンガ902b上で受けるときに妨げになるスペーサブロック905’を示している。図10Fは、再設計されたスペーサブロック905が係合される代表的な一実施形態を示している。再設計されたスペーサブロック905は、エアロックチャンバからは遠ざかり、側壁には近づいた状態で示されている。前述のように、トップ支持フィンガおよびボトム支持フィンガは、再設計されたスペーサブロック905によって、或いは消耗部品がボトム支持フィンガ上で完全に受けられることを可能にするための十分な離間距離を提供するフィンガ支持部(不図示)によって、支持および隔離されてよい。
トップ支持フィンガ902a上で消耗部品208を完全に受けるためには、ロードロックチャンバ110の内縁半径に起因する妨害を回避するために十分な垂直離間距離がなければならず、このような妨害は、消耗部品をロードロックチャンバ110に対して出し入れするときに見舞われるだろう。フィンガアセンブリは、消耗部品の「除外ゾーン」とロードロックチャンバのスロット上方隅およびスロット下方隅との間に少なくとも最小離間距離を提供することによってこの限界を考慮に入れるように設計される。本出願で使用される除外ゾーンは、最大消耗部品設計(例えば、外径および高さ)の断面と、許容誤差の蓄積を表す外周オフセットとを指している。許容誤差の蓄積は、高さ調整、エンドエフェクタ撓み、ロボットアーム軌道、ロボット教示、およびその他の許容誤差要因に起因する位置誤差の影響を含む。スロット上方隅およびスロット下方隅は、ロードロックチャンバ内の支持機構の、消耗部品が受けられるところであるトップ支持フィンガおよびボトム支持フィンガの縁沿いにおける、それぞれの領域として定義される。一部の実施形態では、除外ゾーンとスロット隅との間に定められる最小離間距離は、約0.01インチ(0.0254cm)から約0.03インチ(0.0762cm)の間である。その他の実施形態では、最小離間距離は、約0.025インチ(0.0635cm)である。
フィンガアセンブリ902は、フィンガアセンブリ上で受けられる消耗部品とロードロックチャンバ110の側壁110aとの間に少なくとも最小公称離間距離があるように設計される。一部の実施形態では、最小公称離間距離は、少なくとも約5mmから約6mmの間であるように設計される。その他の実施形態では、最小公称離間距離は、約5.4mmである。一部の実施形態では、ロードロックチャンバ110の側面への最小公称離間距離は、ダイナミックアライメント入力を使用してVTMロボットによって補正可能である消耗部品の置き違え、即ち位置オフセットに対応するために定められる。
さらに、フィンガアセンブリ902内のスペーサブロック905の高さは、ボトム支持フィンガ902b上で受けられた消耗部品がいかなる妨害も受けずにロードロックチャンバ110に対して出し入れされることを可能にするために、トップ支持フィンガ902aとボトム支持フィンガ902bとの間に十分な垂直離間距離を提供するように定められるべきである。一部の実施形態では、フィンガアセンブリ902内のスペーサブロック905は、消耗部品をそれに沿って移動させるためのリング移送面を画定するために、ボトム支持フィンガ902b上で受けられた消耗部品の上面とトップ支持フィンガ902aの底面との間に最小の隙間を提供するように設計される。一部の実施形態では、最小の隙間は、約4mmから約5mmの間である。一部の実施形態では、隙間によって画定される垂直離間距離は、約4.6mmである。一部の実施形態では、トップ支持フィンガとボトム支持フィンガとの間の垂直離間距離は、ロードロックチャンバ110に対して出し入れされているときの消耗部品の上方および下方に、少なくとも約2mmから約3mmの最小離間距離を提供するように設計される。別の一実施形態では、トップ支持フィンガとボトム支持フィンガとの間の垂直離間距離は、移送を可能にするために、消耗部品の上方および下方に少なくとも約2.3mmであるように設計される。一部の実施形態では、垂直離間距離は、移送時にエンドエフェクタ機構に存在する積載重量によるあらゆる撓みを考慮に入れるように画定される。
一実施形態では、クラスタツールアセンブリ100内で消耗部品を交換するためのハイレベル動作について論じられる。図3および図3Aを参照にして説明されたように、クラスタツールアセンブリ100は、ウエハローダ115または交換ステーション108が取り外し不可の形でまたは一時的に取り付けられる1つ以上のロードポートと、消耗部品およびウエハを移動させるための第1のロボットを伴うATMと、ロードロックチャンバと、第2のロボットを伴うVTMと、1つ以上のプロセスモジュールとを含む。消耗部品は、プロセスモジュール内に配置され、ウエハ処理が効率良く達成可能であるためには定期的に交換される必要があるだろう。
一実施形態では、プロセスモジュール内で消耗部品の交換が必要であるときに、VTMに一体化された全てのプロセスモジュールがアイドル状態にされる。これは、閉じられたウエハローダが係合されているところを除き、クラスタツールアセンブリ内のどこにもウエハがないことを意味する。もし、交換ステーションが一時的に取り付けられるものであるならば、ATMにおける少なくとも1つのロードポートが、交換ステーション108を受け付けるために空き状態に維持される。この実施形態では、クラスタツールアセンブリ100は、ウエハの処理および消耗部品の交換を同時に取り扱うようには設定されていない。代替の一実施形態では、クラスタツールアセンブリは、消耗部品の交換およびウエハの処理の両方を同時に取り扱うように構成されてよい。このような実施形態では、各種のモジュールへのアクセスが、消耗部品およびウエハの効率的な移動を可能にするように統合調整される。
一実施形態では、交換用の消耗部品を伴う交換ステーション108が、クラスタツールアセンブリ100内の空き状態のロードポートに取り付けられる。一実施形態では、取り付けは、手動でなされる。代替の一実施形態では、取り付けは、ロボットを使用してなされる。図3Aに示された実施形態では、FOUPタイプの交換ステーションが、自動化マテリアルハンドリングシステム(AMHS)の一部であってよいホイスト式天井走行台車(OHT)または無人搬送車(AGV)を使用して自動方式で搬送されてよい。一実施形態では、AMHSは、ホスト製造施設内に設置される。AMHSは、一部の実施形態では、FOUPタイプ交換ステーションおよび/またはウエハローダが収納される自動ストッカを含んでいてよい。AMHSは、適切な交換ステーションまたはウエハローダがストッカから取り出されてクラスタツールアセンブリ内の空きロードポートに取り付けられるように、適切な交換ステーションまたはウエハローダにOHTまたはAGVを誘導するための、追跡ソフトウェアを含んでいてよい。OHTまたはAGVは、一部の実施形態では、AMHSのストッカ内における交換ステーションまたはウエハローダの場所を突き止めるために、無線自動識別(RFID)タグリーダまたはバーコードリーダを備えていてよい。一部の実施形態では、ストッカは、交換ステーションおよび/またはウエハローダの列を含んでいてよい。このような実施形態では、ソフトウェアは、OHTまたはAGVを適切な列に誘導してよく、バーコードリーダまたはRFIDタグリーダは、その列から取り出す特定の交換ステーションまたはウエハローダを識別するために使用されてよい。一例では、OHTまたはAGVは、そうして交換ステーションを取り出して、それを、クラスタツールアセンブリのTMの第1の側に画定された空きロードポートに自動的に取り付けてよい。
交換ステーション108を取り付けると、通信可能方式でクラスタツールアセンブリに接続されたコンピュータのユーザインターフェース上で移送手順が開始される。移送手順は、交換ステーション108をクラスタツールアセンブリに搭載させる。一部の実施形態では、交換ステーションを搭載する際に、ATMロボット103によって消耗部品マッピングがなされる。マッピングは、消耗部品がロードロックチャンバ内へ移動されるときに位置合わせできるように、ダイナミックアライメント入力を提供するためのものであってよい。その他の実施形態では、マッピングは、各スロットにおける消耗部品の存在を決定するために使用されてよい。
同時にまたは順次に、VTMロボット105は、使用済みの消耗部品208をクラスタツールアセンブリ100内のプロセスモジュール112から取り出してロードロックチャンバ110へ移送する。VTMロボット105は、プロセスモジュール112内でリフトピンの動きを統合調整することによって、平面移送を使用して消耗部品208を移動させる。消耗部品をプロセスモジュールから取り出すための、リフトピン機構に関するさらなる情報に関しては、図4を参照にして論じられている。VTMロボット105は、使用済みの消耗部品208をフィンガアセンブリ902のボトム支持フィンガ902b内に置く。一部の実施形態では、使用済みのまたは新しい消耗部品208を搬送するために、トップ支持フィンガ902aおよびボトム支持フィンガ902bの両方が使用されてよい。一実施形態では、ボトム支持フィンガ902bが、使用済みの消耗部品208を受けるためにのみ使用されて、トップ支持フィンガ902aが、新しい消耗部品208を受けるためにのみ使用されてよく、逆もまた可能である。その他の実施形態では、使用済みの消耗部品および新しい消耗部品を受けることが、いずれか特定の支持フィンガに限定されることはなく、トップ支持フィンガ902aおよびボトム支持フィンガ902bの任意の1つで受けられてよい。消耗部品208の交換時は、ロードロックチャンバ110内でダイナミックアライメントが作動している。
使用済みの消耗部品208がロードロックチャンバ110の支持機構901上に置かれたら、ロードロックチャンバ110を周囲条件に持ってくるために、エアロックが通気される。次いで、ATMロボット103が、消耗部品208をロードロックチャンバ110から取り出して交換ステーション108へ移送するために作動される。一実施形態では、これは、ATMロボット103が交換ステーション108からリングキャリア(即ち、キャリア板)1104を取り出して、それを、ロードロックチャンバ110から使用済みの消耗部品を取り出すために使用することを含んでいてよい。ATMロボット103は、次いで、交換ステーション108から新しい消耗部品208を拾い、それをロードロックチャンバ110へ移送する。新しい消耗部品208を受け取った後、ロードロックチャンバ110は、ポンプによって真空に排気される。ロードロックチャンバ110を真空に排気するために、ロードロックチャンバ110は、一実施形態では、コントローラに連接する真空制御モジュール(不図示)を通じてポンプに結合されてよい。コントローラは、新しい消耗部品208がVTM104を通ってプロセスモジュール112へ移動されるときにロードロックチャンバ110がポンプによって真空に排気されることを可能にできるように、ポンプの動作を統合調整するために使用される。ロードロックチャンバ110が真空状態に設定されたら、VTMロボット105は、ロードロックチャンバ110から消耗部品208を拾ってプロセスモジュール112へ搬送するように作動される。一実施形態では、ロードロックチャンバ110へのアクセスは、VTM104とロードロックチャンバ110との間に連接された仕切り弁を通じて制御される。同様に、VTM104を通したプロセスモジュール112へのアクセスは、図5Cを参照にして論じられたように、仕切り弁などの第2のゲート弁を通じて制御されてよい。第2の仕切り弁は、センサ機構を使用して動作されてよい。消耗部品208の交換時には、ダイナミックアライメントが作動しているので、VTMロボット105は、ロードロックチャンバ110から消耗部品208を拾うときに、ダイナミックアライメント補正を伴って消耗部品208を拾って、それをプロセスモジュール112内に置く。プロセスモジュール112内のリフトピン機構は、消耗部品208を受け取るためにリフトピンを伸長させ、消耗部品208を装着状態に位置決めし、次いで、リフトピンをケース(例えば、リフトピンケース)の内側へ撤退させる。一実施形態では、VTMロボット105は、2つ以上のプロセスモジュール112〜120(或いは、「プロセスチャンバ」と呼ばれる)内における消耗部品208の交換が、このプロセスを使用して一度に1つずつ順次に取り扱われてよい。
図11は、一実施形態における、新しいおよび使用済みの消耗部品208を収納するために使用される代表的な交換ステーション108を示している。一実施形態では、交換ステーション108は、構造的にウエハローダに類似していてよく、消耗部品208を収納するための複数の区画207を伴う部品バッファ224を含んでいてよい。一実施形態では、部品バッファは、新しい消耗部品208を受け入れて収納するための「清浄な」または「新しい」消耗部品セクション1206と、使用済みで摩耗した、恐らくは汚染されている消耗部品208を受け入れて収納するための「汚れた」または「摩耗した」消耗部品セクション1208とを含む。交換ステーション108内には、清浄な消耗部品セクション1206と、汚れた消耗部品セクション1208とを隔離するための仕切り板1202が提供されてよい。一実施形態では、交換ステーション内に提供されたケースに、キャリア板1104の形態をとるリングキャリアが収納されてよい。一実施形態では、キャリア板1104のためのケースは、清浄なまたは新しい消耗部品セクション1206内に提供されてよい。キャリア板1104のためのケースは、清浄なまたは新しい消耗部品セクション1206のボトムに、またはトップに、またはボトムとトップとの間の随所に提供されてよい。代替の一実施形態では、キャリア板1104は、汚れたまたは摩耗した消耗部品セクション1208に収められてよい。キャリア板1104のためのケースは、汚れたまたは摩耗した消耗部品セクション1208のボトムに、またはトップに、またはボトムとトップとの間の随所に提供されてよい。一部の実施形態では、交換ステーション108は、2枚のキャリア板1104を収めてよく、新しい消耗部品と使用済みの消耗部品とが交換ステーションとロードロックチャンバ110との間で別々に移送されえるように、そのうちの1枚は、清浄なまたは新しい消耗部品セクション1206内に、もう1枚は、汚れたまたは摩耗した消耗部品セクション1208内に収められてよい。代替の実施形態では、交換ステーション108の代わりにまたは交換ステーション108に追加して、ATM102内に、使用済みの消耗部品および新しい消耗部品を収納するための1つ以上のセクションが画定されてよい。このような実施形態では、消耗部品は、交換ステーション108と、ATM102のロボットとを使用して、またはその他の運搬および取り出しの手段を使用して、ATM102から運搬されてよいおよび取り出されてよい。
図12は、一実施形態における、消耗部品が入れられているプロセスモジュール内への代表的なスロットの図を示している。消耗部品は、例えば、プロセスモジュール112内で一式のチャンバインターフェースピン1308の上方に位置付けられたリング移送面(RTP)1301に沿って受けられる。一部の実施形態では、RTP1301は、チャンバインターフェースピン(CIP)1308の上方であるように画定される。特定の実施形態では、プロセスモジュール112内に配置された静電チャック(ESC)の上面の上方における消耗部品の高さは、いかなる妨害もなく消耗部品がプロセスモジュール112に対して出入りするための十分な離間距離を可能にするように画定される。このような実施形態では、離間距離は、アームセットを伴うエンドエフェクタ機構に載せられた消耗部品がプロセスモジュール112のスロット開口を通り抜け可能であることを保証する。スロット開口は、ウエハ移送用に設計されているので、消耗部品のサイズは、スロットサイズによる制限を受ける恐れがある。より幅が広い消耗部品が移送されるときは、スロット開口を再設計する代わりに、プロセスモジュール112の既存のスロット開口を通り抜けられるように、区分化された消耗部品が使用されてよい。具体的な例では、ESCの相対的な高さは、消耗部品をスロットの上端を圧迫する。スロット隅の丸みの具体的なサイズゆえに、消耗部品の高さと直径との間には、二律背反の関係があるだろう。周囲全体に十分な離間距離を保証するためには、消耗部品およびロボットの周囲に、移送時における統合体積を表すための許容誤差ゾーンが定められてよい。許容誤差ゾーンは、負荷による撓みの影響、ロボットアーム軌道誤差、高さ調整誤差の影響、およびその他の要因を考慮に入れる必要がある。一部の実施形態では、公称リング移送経路の上方、下方、および両側における離間距離は、数ミリメートルまたはそれ未満の小ささであることができる。
一部の実施形態では、RTPは、ウエハ移送面(WTP)とは異なる。このような実施形態では、WTPは、ウエハがプロセスモジュール112に対して出し入れされているときに、CIP1308およびRTPの上方にあるように画定される。一部の実施形態では、消耗部品208の除外ゾーン1304と、プロセスモジュール112の、ウエハおよび消耗部品が通って搬送される開口の内周隅の半径1306との間に、最小離間距離が画定される。一部の実施形態では、最小離間距離は、寸法が数ミリメートルであってよい。この最小離間距離は、プロセスモジュール112のいかなるパーツも消耗部品の移送を妨害することなく消耗部品がプロセスモジュール112に対して出し入れされることを可能にする。
各種の実施形態は、ATM102のロボット内およびVTM104のロボット内でウエハを搬送するために用いられるエンドエフェクタ機構を、消耗部品を搬送するためにも使用されるように画定するものとして説明されてきた。一部の実施形態では、エンドエフェクタ機構は、消耗部品の支持を可能にするためにフィンガアセンブリがウエハの縁を超えて伸長するように、再設計される。代替の実施形態では、既存のエンドエフェクタ機構が、消耗部品の搬送に使用される。このような実施形態では、既存のエンドエフェクタ機構が、消耗部品を支持するようには設計されていないので、リングキャリアの形態をとる中間固定具が、消耗部品の移送時に消耗部品を支持するために、一時的に使用される。ロードロックチャンバ内のフィンガアセンブリは、消耗部品を支持するように再設計される。再設計されたエンドエフェクタ機構およびフィンガアセンブリは、ウエハおよび消耗部品のための相互排他的な接触点を定めて二次汚染を回避するために、複数の接触パッドを有する。接触パッド(消耗接触パッドおよびウエハ接触パッド)は、予期されるウエハまたは消耗部品の位置誤差内で排他的隔離を実現するために、異なる高さまたは半径方向距離に接触点を提供するように、設計されてよい。
消耗部品は、多くの場合、ウエハの直径、厚さ、および重さを超えているだろう。したがって、消耗部品の移送用に初めから設計されてはいないツールへの、消耗部品の移送の追加は、既存のハードウェア内での離間距離による制限を受ける。積載重量の増加によって誘発される撓みが、離間距離をさらに短くする。したがって、積載重量の増加に適応するためおよび撓みを軽減するために、エンドエフェクタ機構は、再設計されたエンドエフェクタ機構を使用して、ロボットにかかる総積載重量を減らしつつ、撓みまたは厚さの増加を制限するために、セラミックなどの、より剛性の高い材料で作成されてよい。エンドエフェクタ機構内のフィンガアセンブリの表面上には、予期される位置誤差内でなおかつ恐らくは変化した底面プロフィールを伴う消耗部品を支持するために、様々な接触パッド(ウエハ接触パッドおよび消耗接触パッド)が画定される。ウエハの中心合わせに使用されるのと同じアライメント入力が、消耗部品の位置決めおよび中心合わせにも使用されてよい。消耗部品は、ATM内に画定された標準的なウエハFOUPロードポートを介してクラスタツールアセンブリへ運搬されてよいおよびクラスタツールアセンブリから取り出されてよい。消耗部品の運搬および取り出しに使用されるポッドは、ウエハの運搬に使用されるFOUP設計と同様であるように設計される。ポッドは、手動によってまたは天井走行FOUP運搬システムなどの自動化システムによってATMのロードポートに一時的に取り付け可能である交換ステーションの一部であることができる。この一時的な取り付けは、ATM上のその他のウエハ収納またはウエハ処理のためのハードウェア(即ち、ウエハバッファ、冷却ステーション、統合計測システム等)をずらすまたは消耗させることなく消耗部品を交換することを可能にする。代替の実施形態では、消耗部品は、交換ステーションを通じてATMに入れられて、ロードポートとは別にATM内に一時的に収納されて、消耗部品の交換が必要になったときに取り出されてよい。本明細書で説明される各種の実施形態は、真空密閉を破ることなくクラスタツールアセンブリ内で消耗部品を交換する効率的でなおかつ費用効果の高いやり方を提供し、それによってクラスタツールアセンブリの稼働停止時間が短縮されることを可能にしている。クラスタツールアセンブリの稼働停止時間の短縮は、結果として、ウエハスループットを向上させるだろう。
図13は、上述されたクラスタツールアセンブリを制御するための制御モジュール(「コントローラ」とも呼ばれる)220を示している。一実施形態では、コントローラ220は、プロセッサ、メモリ、および1つ以上のインターフェースなどの、幾つかの代表的なコンポーネントを含んでいてよい。コントローラ220は、一部には検知値に基づいてクラスタツールアセンブリ100内のデバイスを制御するために用いられてよい。ほんの例を挙げると、コントローラ220は、検知値およびその他の制御パラメータに基づいて、弁602(図5A、図5B、図5Cの遮断弁216、216’を含む)、フィルタヒータ604、ポンプ606(ポンプ233を含む)、およびその他のデバイス608の1つ以上を制御してよい。コントローラ220は、ほんの例として圧力計610、流量計612、温度センサ614、および/またはその他のセンサ616が挙げられるところからの検知値を受信する。コントローラ220は、前駆体の供給時および膜の蒸着時におけるプロセス条件を制御するために用いられてもよい。コントローラ220は、通常は、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含む。
コントローラ220は、前駆体供給システムおよび蒸着装置の活動を制御してよい。コントローラ220は、特定のプロセスの、プロセスタイミング、供給システム温度、フィルタ間の圧力差、弁の位置、ロボットおよびエンドエフェクタ、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、RF電力レベル、ウエハチャックまたは台座の位置、およびその他のパラメータを制御するための命令一式を含むコンピュータプログラムを実行する。コントローラ220は、また、圧力差を監視して、1本以上の経路から1本以上のその他の経路へ蒸気前駆体の供給を自動的に切り替えてもよい。実施形態によっては、コントローラ220に関係付けられたメモリデバイスに記憶されたその他のコンピュータプログラムが用いられてもよい。
通常は、コントローラ220に、ユーザインターフェースが関連付けられている。ユーザインターフェースとしては、ディスプレイ618(例えば、ディスプレイ画面、ならびに/または装置および/もしくはプロセス条件のグラフィックソフトウェアディスプレイ)や、ポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力デバイス620が挙げられる。
前駆体供給、蒸着、およびプロセス手順におけるその他のプロセスを制御するためのコンピュータプログラムは、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどの、従来の任意のコンピュータ読み取り可能プログラミング言語で記述できる。プログラムに指定されたタスクを実施するために、コンパイル済みのオブジェクトコードまたはスクリプトがプロセッサによって実行される。
制御モジュール(即ち、コントローラ)パラメータは、例えば、フィルタ圧力差、プロセスガスの組成および流量、温度、圧力、RF電力レベルや低周波RF周波数などのプラズマ条件、冷却ガス圧力、ならびにチャンバ壁温度などの、プロセス条件に関する。
システムソフトウェアは、様々に設計または構成されてよい。例えば、本発明の蒸着プロセスを実施するために必要とされるチャンバまたはプロセスモジュールのコンポーネントの動作を制御するために、様々なチャンバコンポーネントサブルーチンまたは制御オブジェクトが記述されてよい。これを目的としたプログラムまたはプログラム部分の例として、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、プラズマ制御コード、リフト機構制御コード、ロボット位置コード、エンドエフェクタ位置コード、および弁位置制御コードが挙げられる。
基板位置決めプログラムは、基板を台座またはチャックに載せるために使用される、ならびに基板とガス入口および/または標的などのチャンバのその他の部品との間の間隔を制御するために使用されるチャンバコンポーネントを制御するための、プログラムコードを含んでいてよい。プロセスガス制御プログラムは、ガスの組成および流量を制御するための、ならびに随意としてチャンバ内の圧力を安定化させるために蒸着前にチャンバにガスを流し込むための、コードを含んでいてよい。フィルタモニタリングプログラムは、(1つ以上の)測定された差を(1つ以上の)所定の値と比較するためのコード、および/または経路を切り替えるためのコードを含む。圧力制御プログラムは、チャンバの排気システムにおける例えば絞り弁を調節することによってチャンバ内の圧力を制御するためのコードを含んでいてよい。ヒータ制御プログラムは、前駆体供給システム内のコンポーネント、基板、および/またはシステムのその他の部分を加熱するための加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは、ヒータ制御プログラムは、ヘリウムなどの伝熱ガスの、ウエハチャックへの供給を制御してよい。弁位置制御コードは、例えばプロセスモジュールまたはクラスタツールへのアクセスを提供する遮断弁を制御することによってプロセスモジュールまたはクラスタツールアセンブリへのアクセスを制御するための、コードを含んでいてよい。リフト機構制御コードは、アクチュエータが例えばリフトピンを移動させるようにアクチュエータドライブを作動させるためのコードを含んでいてよい。ロボット位置コードは、例えばロボットを左右軸、上下軸、または放射軸に沿って移動させる操作を含む(1つ以上のロボット)の位置の操作を行うためのコードを含んでいてよい。エンドエフェクタ位置コードは、ロボットを例えば左右軸、上下軸、または放射軸に沿って伸長、収縮、または移動させる操作を含むエンドエフェクタの位置の操作を行うためのコードを含んでいてよい。
蒸着時に監視されえるセンサの非限定的な例として、質量流量制御モジュール、圧力計610などの圧力センサ、供給システム内に位置付けられる熱電対、台座、またはチャック(例えば、温度センサ614)が挙げられる。所望のプロセス条件を維持するために、適切にプログラムされたフィードバック・制御アルゴリズムが、これらのセンサからのデータと併せて使用されてよい。以上は、単独のチャンバまたは複数のチャンバを含む半導体処理ツールにおける本開示の実施形態の実装形態を説明している。
正面開口式リングポッド
クラスタツールアセンブリの様々なモジュールにおける交換ステーションの位置、ロードロックチャンバ内のフィンガアセンブリ、および消耗部品を移動させるためにクラスタツールアセンブリ内部で使用される様々なロボットのエンドエフェクタ機構に関連して、様々な実施形態が論じられてきたが、次は、クラスタツールアセンブリに新しい消耗部品を供給するためにおよびクラスタツールアセンブリから古いまたは損傷を受けた消耗部品を取り出すために使用される交換ステーションの一部であるポッドの詳細に着目する。一部の実施形態では、消耗部品は、エッジリングであってよい。代替の実施形態では、消耗部品は、フォーカスリング、誘電体リング、またはプロセスモジュール内で使用されてプロセスモジュール内の処理条件に曝されるだろうその他の任意の消耗部品であってよい。消耗部品は、プロセスモジュールに入れられた基板もしくはその一部を取り巻いてよい、プロセスモジュールの内部に画定されたチャックもしくはその一部を取り巻いてよい、またはプロセスモジュールのその他の部分に配置されてプロセスモジュール内の処理条件に曝されるその他の任意の消耗コンポーネントを取り巻いてよい。消耗部品は、単一のユニットであってよい、または複数のパーツを含んでいてよい。或いは、消耗部品は、複数のリングを含んでいてよい。消耗部品は、石英、セラミック、シリコン、もしくは誘電体材料で作成されてよい、または様々な材料でコーティングされてよい。プロセスモジュール内の処理条件ゆえに、エッジリングは、摩耗するまたは損傷を受ける恐れがあり、迅速なやり方で定期的に交換される必要がある。
図14は、一実施形態における、プロセスモジュールの消耗部品を交換するためにポッドに係合する代表的な交換ステーション108を示している。交換ステーション108は、クラスタツールアセンブリ内のモジュールに通じる開口に連接するロードポートモジュール1155を含んでいてよい。例えば、ロードポートモジュール1155は、クラスタツールアセンブリの、プロセスモジュール112にまたは真空移送モジュール(VTM)104にまたは大気圧移送モジュール(ATM)102に、(取り外し不可の形でまたは一時的に)取り付けられてよい。ロードポートモジュール1155は、ウエハローダ115または消耗部品ローダのためのドッキングステーションとして機能し、ウエハローダ115または消耗部品ローダの結合先になりえるロードポートを含む。一部の実施形態では、消耗部品ローダは、ウエハをクラスタツールアセンブリに対して出し入れするために使用されるウエハローダ115(例えば、正面開口式一体型ポッド(FOUP)と構造的に類似しており、したがって、本明細書では、これ以降、正面開口式リングポッド1000とも呼ぶこととする(または単純に「リングポッド」と呼ぶ)。リングポッド1000は、エッジリングなどの消耗部品208をプロセスモジュールに対して出し入れするために使用される。消耗部品208がクラスタツールアセンブリに対して容易に出し入れ可能であるように、リングポッド1000内の開口(即ち、リングポッドのドアの枠によって画定された外囲)は、ロードポート内に画定された開口に一致する。消耗部品208をクラスタツールアセンブリに対して出し入れするために使用されるリングポッド1000の構造的詳細は、図15A〜21Bを参照にして説明される。
図15Aおよび図15Bは、エッジリングなどの消耗部品を収納するために使用されるリングポッド1000を異なる視点から示している。図15Aに示されるように、リングポッド1000は、消耗部品を受けるおよび収納するために使用されるリングポッド1000の様々なパーツを収めるためのケースを提供するシェル構造1127を含む。リングポッド1000は、シェル構造1127の上面に配置されたフランジ1121を含む。フランジ1121は、リングポッド1000をロードポートモジュール1155のロードポートへおよびロードポートモジュール1155のロードポートから搬送するための天井走行台車(OHT)によって係合されてよい。フランジ1121は、FOUPに見られるフランジに類似した設計であり、したがって、詳細には論じられない。フランジ1121に加えて、リングポッド1000は、リングポッド1000の側面に配置されるハンドル1122も含む。リングポッド1000は、リングポッド1000の開口を画定するドア枠1120も含む。リングポッド1000の背面側の一部には、リングポッド1000に入れられた消耗部品の場所をユーザが視覚的に確認することを可能にできるように、リングポッド1000の内側の視野を提供するための窓1125が画定される。一部の実施形態では、窓1125は、ポリカーボネートまたはその他の類似のシースルー材料で作成されてよい。窓1125の場所および向きは、一例として挙げられたに過ぎず、その他の場所および/または向きも検討されてよい。
図15Bは、リングポッド1000の正面を底部側から示している。図15Aを参照にして定義された様々なパーツに加えて、リングポッド1000は、様々な自動化マテリアルハンドリングシステム(AMHS)インターフェースおよび/またはOHTインターフェースを伴うベース板1134も含む。例えば、ベース板1134は、ベース板1134の下側表面に配された複数の運動学的スロット1124を含んでいてよい。運動学的スロット1124は、リングポッド1000の結合先であるロードポートモジュール1155のロードポート内に画定された対応する運動学的ピンにリングポッド1000を位置合わせするために使用される。運動学的スロット1124は、ポッド内で消耗部品を受ける受け表面と、ロードポートの運動学的ピンとから画定される、大地への散逸経路の一部であってもよい。ロードポート内の運動学的ピンは、接地される。散逸経路に関しては、図17Aおよび図17Cを参照にしてさらに詳しく論じられる。リングポッド1000の正面には、ドア枠1120に嵌るドア1123が提供されており、リングポッド1000に入れられたときの消耗部品に対する係合、解放、および固定のために必要なハードウェアを含む。ドア1123は、クラスタツールアセンブリ内のまたはリングポッド内のロボットが消耗部品をリングポッド1000に対して出し入れすることを可能にするために、リングポッド1000の内側へのアクセスを提供するように動作可能である。リングポッド1000のベース板1134には、例えば人力台車(PGV)などのパッド取り扱いシステムに対してリングポッド1000を手動で位置合わせすることを可能にするために、1対のコンベヤレール1133が提供される。リングポッド1000上に提供されたハンドル1122は、PGVにリングポッド1000を手動で搭載するために使用されてよい。
図16は、シェル構造1127を通して見たときのリングポッド1000の内側を詳細に表している。シェル構造1127は、図16に示された実施形態では、透明な構造として表されている。この実施形態では、透明なシェル構造1127は、リングポッド1000の内部構造を見せている。このような実施形態では、リングポッド1000の内部の視野を提供するための別個の窓1125は不要である。別の一実施形態では、シェル構造1127は、不透明であってよい。この実施形態では、リングポッド1000の内部の視野を提供するために、リングポッド1000の背面側などの側面の一部に窓1125が縦方向に画定されてよい。シェル構造1127は、消耗部品保持構造を収めており、該消耗部品保持構造の様々なコンポーネントに安定性を与える。消耗部品保持構造は、ベース板1134の上方に方向付けられたトップ板1132と、ベース板1134とトップ板1132との間に伸びてベース板1134の外周に沿って配された複数の支柱1129とを含む。複数の支柱は、消耗部品208を受けるための、支柱の内表面の長さに沿って画定された複数の支持フィンガを含む。ベース板1134は、さらに、消耗部品208をリングポッド1000に対して出し入れするためにロボットのエンドエフェクタ機構によって使用されるリングキャリア1104を受けるための複数のキャリア支持部を含む。ケースの内側には、1対の緊急停止柱1128が配置され、ベース板1134の背面側に近接して配置された1対の支柱に平行であるように配置される。一部の実施形態では、各緊急停止柱1128は、対をなす支柱1129のうちの対応する1本に実質的に垂直であるように、ただし、その支柱1129から隔たれて配置される。緊急停止柱1128は、ポッド内に収容されたときの消耗部品208を固定するための半径方向の拘束を提供するように構成される。複数の支柱1129は、トップ板1132を使用してまとめられ、これは、必要とされる安定性を支柱1129に与える。リングポッド1000は、一実施形態では、シェル構造1127を通して支柱1129に直接的に装着されるハンドル1122も含み、支柱1129は、ハンドル1122に対して直接的な支持を提供する。
図17A〜17Gは、一部の実施形態における、リングポッド1000の内部に提供されて、消耗部品208をリングポッド1000内で受けるおよび収納するために使用される、支柱および保持アセンブリ1135の詳細を示している。図17Aは、シェル構造1127およびドアアセンブリを伴わないリングポッド1000の基本構造を示している。基本構造は、トップ板1132に垂直方向に相対するように方向付けられるベース板1134と、トップ板1132とベース板1134との間に伸びる複数の支柱1129とを含む。ベース板1134は、一部の実施形態では、支柱を面内に位置決めされるリングポッド1000の底面を画定する。ベース板1134は、正面側と、背面側と、正面側と背面側との間に伸びる2枚の側面側とによって画定される。一部の実施形態では、2枚の側面側は、互いに実質的に平行に伸びる。代替の実施形態では、収納されている消耗部品に応じておよびリングポッド1000の設計に応じて、2枚の側面側は、互いに異なる向きに方向付けられてよい。トップ板1132は、ベース板1134に相対するように方向付けられ、シェル構造1127の上面の下側に固定される。
複数の支柱は、一部の実施形態では、ベース板1134の2枚の側面に沿って配置される2対の支柱1129を含む。なお、留意すべきは、これらの実施形態が、2対の支柱に限定されず、さらに1本のまたはさらに1対の支柱1129を含んでいてよいことである。さらに1本のまたはさらに1対の支柱は、ベース板1134の2枚の側面に沿ってまたは背面側に沿って配置されてよい。ベース板1134の2枚の側面に沿って2対の支柱1129が配置される実施形態に戻り、第1の対の第1の支柱1129aは、ベース板1134の正面側に近接してベース板1134の第1の側面側上に配置される。第1の対の第2の支柱1129bは、第1の対の第1の支柱が第1の対の第2の支柱に相対するように方向付けられるように、ベース板1134の正面側に近接してベース板1134の第2の側面側上に配置される。第2の対の第3の支柱1129cは、ベース板1134の背面側に近接してベース板1134の第1の側面側上に配置される。第2の対の第4の支柱1129dは、第2の対の第3の支柱が第2の対の第4の支柱に相対するように方向付けられるように、ベース板1134の背面側に近接してベース板1134の第2の側面側上に配置される。支柱1129a、1129b、1129c、および1129dは、ベース板1134からトップ板1132へ伸びて、リングポッド1000の外周に沿って対称的に分布される。支柱1129a、1129b、1129c、および1129dは、それぞれトップ板1132に接続され、これは、支柱1129a、1129b、1129c、および1129dのための支持を提供する。トップ板1132は、ベース板の上方に、第1の、第2の、第3の、および第4の支柱(1129a〜1129d)によってベース板から隔たれて配置される。
支柱1129a、1129b、1129c、および1129dに加えて、リングポッド1000の基本構造は、1対の緊急停止柱1128を含んでいてよい。図17Aに示された一実施形態では、対をなす緊急停止柱のうちの第1の緊急停止柱1128aが、第2の対の第3の支柱1129cに近接してなおかつ同支柱に平行に方向付けられて配置される。同様に、対をなす緊急停止柱のうちの第2の緊急停止柱1128bが、第2の対の第4の支柱1129dに近接してなおかつ同支柱に平行に方向付けられて配置される。一部の実施形態では、第1の緊急停止柱1128aは、第3の支柱1129cと、ベース板1134の背面側との間に位置付けられ、第2の緊急停止柱は、第4の支柱1129dと、ベース板1134の背面側との間に位置付けられる。この実施形態では、緊急停止柱1128a、1128bは、それぞれ、対応する支柱1129c、1129dから隔たれている。緊急停止柱1128は、一部の実施形態では、リングポッド1000の内部領域の方を向くように方向付けられ、第2の対のそれぞれの支柱1129c、1129dの内縁に対して実質的に垂直である。この向きは、緊急停止柱1128が、リングポッド1000の内部に収容されたときの消耗部品を固定するための半径方向の拘束を提供することを可能にする。なお、留意すべきは、2対以上の緊急停止柱1128がリングポッドに含まれていてよいことである。このような実施形態では、各緊急停止柱1128は、リングがリングポッド内の定位置に押し込まれたときに半径方向の拘束を提供できるように、対応する支柱1129に対して一定の角度で配置されてよい。一部の実施形態では、支柱1129a、1129b、1129c、および1129dは、それぞれ、1片の金属で作成される。その他の実施形態では、支柱1129a、1129b、1129c、および1129dは、それぞれ、互いにぴたりと合うように設計された複数片の金属で作成される。一部の実施形態では、支柱1129a、1129b、1129c、および1129dは、アルミニウム材料で作成される。一部の実施形態では、腐食および摩耗への耐性を高めるために、支柱1129a〜1129dは、陽極酸化アルミニウムまたはその他の耐腐食性材料で作成されてよい。
代替の実施形態では、ベース板1134の各側面側は、トップ板1132とベース板1134との間に配置された1本の支柱1129を含んでいてよい。一部の実施形態では、第1の緊急停止柱1128aが、第1の側面側上に配置された第1の支柱1129と一体化されてよく、第2の緊急停止柱1128bが、第2の側面側上に配置された第2の支柱1129と一体化されてよい。その他の一部の実施形態では、第1の緊急停止柱1128aは、第1の支柱1129と、ベース板1134の背面側との間に位置付けられてよく、第2の緊急停止柱1128bは、第2の支柱と、ベース板1134の背面側との間に位置付けられてよい。この実施形態では、緊急停止柱1128a、1128bは、対応する第1のおよび第2の支柱1129からそれぞれ相隔てられている。
リングポッド1000の構造は、さらに、リングポッド1000の側面側上に配置された1対のハンドル1122を含む。一部の実施形態では、対をなすハンドルのうちの第1のハンドル1122が、ともにベース板1134の第1の側面側上に配置された第1の対の第1の支柱1129aおよび第2の対の第3の支柱1129cに、1つ以上の直接的な接続1122aを使用してシェル構造1127を通して取り付けられる。同様に、第2のハンドルは、ともにベース板1134の第2の側面側上に配置された第1の対の支柱1129bおよび第2の対の支柱1129dに、1つ以上の直接的な接続1122aを使用して取り付けられる。直接的な接続1122aは、一部の実施形態では、ハンドル1122が対応する支柱に直接的に接続されることを可能にするネジ、締め具、保持構造であってよい。ハンドル1122は、一部の実施形態では、リングポッド1000を手動で取り扱うために使用される。
第1の対および第2の対の各支柱(1129a、1129b、1129c、1129d)の内表面の縦方向に、複数の支持フィンガ1130が配置される。支持フィンガ1130は、消耗部品を受けるための支持表面を提供できるように、リングポッド1000の内部領域に向かって伸びる。図17Aに示された一実施形態では、第1の対の支柱1129a、1129b上に配置された支持フィンガ1130の形状および/または設計は、第2の対の支柱1129c、1129d上に配置された支持フィンガの形状および/または設計と同様である。
図17Bは、第1の対のおよび第2の対の支柱(1129a〜1129d)上に配置された支持フィンガ1130の拡大図を示している。一部の実施形態では、支持フィンガ1130は、アルミニウムまたはその他の類似の軽量材料で作成される。このような実施形態では、各支持フィンガ1130の上面に、支持パッド1131が画定される。一部の実施形態では、支持パッド1131は、支持フィンガ1130上で受けられたときの消耗部品のための、スクラッチ傷をつけない支持表面を提供するために、カーボン充填ポリエーテルエーテルケトン(PEEK)などの材料で作成されてよい。カーボン充填PEEK材料は、一例に過ぎず、類似の支持機能を提供することができるその他の材料が使用されてもよい。支持パッド1131は、受けられたときの消耗部品が支持フィンガ1130の金属表面に触れることがないように、別個の支持表面を提供するように画定される。一実施形態では、各支持フィンガ1130の上面上に、溝が画定されてよく、支持パッド1131は、図17Bに示されるように、その一部分が支持フィンガ1130の上面を超えて伸びて消耗部品208のための別個の受け表面を提供するように、溝に埋め込まれてよい。その他の実施形態では、支持パッド1131を画定するために、支持フィンガ1130の上面の一部分に、PEEKまたはその他の材料で作成された棒または細長い片または層が画定されてよい。このような実施形態では、支持パッドは、別個の支持表面を提供するために、支持フィンガ1130の上面から伸びる隆起表面を提供できるように画定されてよい。図17Hは、このような一実施形態の断面図を示しており、ここでは、細長い片状の支持パッド1131が、支持フィンガ1130の上面上に配置されている。図17Iは、代替の一実施形態の断面図を示しており、ここでは、各支持フィンガの上面上に配置された支持パッドの輪郭が、平坦である代わりに尖っている。支持パッドのこれらの様々な実施形態は、例として提供されているに過ぎず、その他の設計の支持パッドが考えられもてよい。支持パッド1131は、支持フィンガ1130の上面の汚染を防ぐために、支持フィンガ1130の上面とは別の線接触または面接触を提供する。図17Jに示された別の一実施形態では、支持フィンガ1130は、カーボン充填PEEK材料で作成される。この実施形態では、消耗部品を受けるための、傷がつかないなおかつ滑りにくい表面を支持フィンガ1130が提供し、また、汚染が発生する金属表面がないゆえに、支持フィンガ1130上に、別途の支持パッド1131が画定される必要がない。なお、留意すべきは、支持フィンガ1130および支持パッド1131に使用される材料が単なる例に過ぎず、限定的なものだと見なされるべきではないことである。支持フィンガ1130および支持パッド1131には、支持フィンガ1130および支持パッド1131が目指すように設計されたそれらの機能を提供する限り、その他の材料が使用されてもよい。
図17Cは、一実施形態における、消耗部品を受けるおよび収納するためにリングポッド1000内で用いることができる支柱のための代替の一設計を示している。この実施形態における、第2の対の第3の支柱1129cおよび第4の支柱1129dは、ベース板1134の、相対する内側隅に沿って配置される。その結果、支柱1129c、1129dは、図17Cに示されるように、ベース板1134の内側隅の傾斜輪郭に一致する傾斜輪郭を有するように設計される。第2の対の第3のおよび第4の支柱1129c、1129dの内表面上に配された複数の支持フィンガ1130bの形状は、第1の対の第1のおよび第2の支柱1129a、1129bの内表面上に配された支持フィンガ1130とは異なる。図17Cに示されるように、支持フィンガ1130bは、第2の対の支柱の第3のおよび第4の支柱1129c、1129dの輪郭に一致する輪郭を有する。
図17Dは、一実施形態における、第2の対の第3のおよび第4の支柱1129c、1129dの内表面上に配置された支持フィンガ1130bの拡大図を示している。支持フィンガ1130bは、一部の実施形態では、アルミニウムまたはその他の類似の金属などの金属で作成される。腐食を防ぐために、支持フィンガ1130は、陽極酸化アルミニウム、またはTeflon、エポキシ樹脂、もしくは腐食を防ぐことができるその他の類似の材料でコーティングされたアルミニウムで作成されてよい。第1の対の第1のおよび第2の支柱1129a、1129b上に配置された支持フィンガ1130と同様に、各支持フィンガ1130bは、消耗部品208が支持フィンガ1130bの金属表面に接触することがないように、受けられたときの消耗部品208のための別個の支持表面を提供するために、支持フィンガ1130bの上面上に画定された支持パッド1131を含んでいてよい。その他の実施形態では、支持フィンガ1130bは、カーボン充填PEEK材料で作成されてよい。このような実施形態では、支持フィンガ1130b上に別途の支持パッド1131が画定されることはない。支持フィンガ1130、1130bは、消耗部品が支持フィンガ1130、1130b上のその位置から滑って食み出ることがないように、消耗部品208のための十分な支持表面を提供する。一部の実施形態では、第1の、第2の、第3の、および第4の支柱(1129a〜1129d)は、陽極酸化されたまたは腐食抑制材料でコーティングされた、アルミニウムまたはその他の軽量材料で作成される。
リングポッド1000は、1対の緊急停止柱1128a、1128bを含む。しかしながら、図17Aに示されるように、緊急停止柱1128が、第2の対のそれぞれの支柱1129c、1129dから相隔てられる代わりに、対をなす緊急停止柱1128a、1128bは、それぞれ、ベース板1134の背面側の方を向いた第2の対のそれぞれの支柱の内縁に隣接して配置される。例えば、第1の緊急停止柱1128aは、第2の対の第3の支柱1129cに隣接して配置され、第2の緊急停止柱1128bは、第2の対の第4の支柱1129dに隣接して配置される。さらに、緊急停止柱1128は、図17Cおよび図17Dに示された一実施形態では、リングポッド1000の内部に収容されたときの消耗部品のための半径方向の拘束を提供するために、第2の対の支柱1129c、1129dの内縁に対して実質的に垂直であるように、リングポッド1000の内部領域の方を向くように方向付けられる。その他の実施形態では、緊急停止柱1128a、1128bは、消耗部品に半径方向の拘束を提供できる限り、支柱1129bの内縁に対して任意の角度に方向付けられてよい。一部の実施形態では、第1のおよび第2の緊急停止柱1128a、1128bは、接続ツール、接続構造、接続機構、接続要素等などの任意の締結または接続の選択肢を使用して、それぞれの支柱1129c、1129dに留め付けられてよい。一部の実施形態では、緊急停止柱1128a、1128bが、それぞれ、カーボン充填PEEK材料などの1切れのまたは細長い1片の材料で作成される一方で、支柱1129a〜1129dは、それぞれ、アルミニウムで作成される。一部の実施形態では、金属で作成されてリングポッド1000内で周囲環境に曝される支柱1129a〜d、支持フィンガ1130、1130b、およびリングポッド1000内のその他の表面は、腐食やその他のあらゆる摩耗または損傷を防ぐために、処理されている必要があるだろう。例えば、金属で作成されたリングポッド1000コンポーネントは、損傷されたまたは摩耗した消耗部品208によって戻される処理化学物質/化学剤に曝されるゆえに、損傷を受ける恐れがある。このような損傷を回避するために、金属で作成された支柱(1129a〜1129d)、支持フィンガ(1130、1130b)、およびリングポッド1000のその他の表面は、例えば、摩耗を防ぐために、Teflonやエポキシ樹脂等などの腐食抑制材料のコーティングで処理されている必要があるだろう。或いは、金属の代わりに、支柱1129a〜1129dおよび支持フィンガ1130、1130bは、陽極酸化アルミニウムで作成されてよい。
シェル構造1127は、リングポッド1000のベース板1134の外周に取り付けられて、第1の対のおよび第2の対の支柱1129a〜1129d、トップ板1132、ならびに第1のおよび第2の緊急停止柱1128a、1128bを包囲するように構成される。一部の実施形態では、トップ板1132は、シェル構造1127の一部であってよい。シェル構造は、ベース板1134の正面側に沿って開口を含む。トップ板1132は、シェル構造1127の上面の下側に取り付けられる。シェル構造の正面開口は、ドア1123と嵌り合うように構成される。
図17E〜17Gは、リングポッド1000の正面側に配置されたドア1123の詳細を示している。ドア1123は、ロードポートの開口の寸法に一致する寸法を有する。ドアは、シェル構造1127の正面開口と嵌り合うように構成される。ドア1123は、図17Eに示されるように、ドア1123の内表面上に画定されてドア1123の長さにわたる保持アセンブリ1135を含む。保持アセンブリ1134の詳細は、図17Fおよび図17Gに提供された拡大図を参照にして詳細に説明される。図17Fは、保持アセンブリ1135内に画定されたスロット内で受けられた消耗部品の図を示しており、図17Gは、様々なコンポーネントを明確にした保持アセンブリ1135の拡大図を示している。
図17Fおよび図17Gを同時に参照し、保持アセンブリ1135は、リングポッド1000内で消耗部品208を固定および位置決めするための複数のコンポーネントを含む。例えば、保持アセンブリ1135は、締め具1150、バネ機構1151、および受けパッド1152を含む。締め具1150は、ドア1123の内表面に取り付けられた固定ユニットである。締め具1150は、保持アセンブリ1135のバネ機構1151を固定するために使用される。一部の実施形態では、締め具1150は、アルミニウムで作成される。受けパッド1152の両側には、1対の緩衝材1136が提供される。緩衝材1136は、消耗部品208をリングポッド1000内で定位置に固定するときに受けパッド1152が移動することができる半径方向の限界を定めるために使用される。また、緩衝材1136は、ドア1123が閉じられたときの、ポッド1000内における消耗部品の移動の半径方向の限界を提供する。一部の実施形態では、図17Fに示されるように、対をなすうちの第1の緩衝材1136が、締め具1150の、バネ機構1151を固定するために使用される側とは反対の側に、締め具1150に隣接して画定される。対をなすうちの第2の緩衝材1136は、受けパッド1152の、もう一方の側に配置される。
バネ機構1151は、一方の端が締め具1150に固定されるとともにもう一方の側が受けパッド1152に固定され、ドア1123が閉じられたときに受けパッド1152に十分なバネ荷重力を提供するように設計される。バネ機構1151は、一部の実施形態では、リングポッド1000のドア1123が閉じられてバネ機構1151が作動されたときに、作動されたバネ機構1151からのバネ荷重力が受けパッド1152に直接作用して受けパッド1152を内側へ押すように、バネ荷重力を提供するバネコンポーネントが保持アセンブリ1135の各受けパッド1152の真後ろにくるように設計される。これは、すると、受けられた消耗部品208を第2の対の第3のおよび第4の支柱1129c、1129dに対して押し付ける。第3のおよび第4の支柱1129c、1129dに隣接する第1のおよび第2の緊急停止柱1128a、1128bは、それぞれ、支持フィンガ1130、1130bのうちの選択されたフィンガ上の定位置に消耗部品208を保持するための半径方向の拘束を提供する。一部の実施形態では、バネ機構1151のバネコンポーネントは、鋼材料で作成される。
ロボットが消耗部品208をリングポッド1000内に置くときに、消耗部品208が正しく位置決めされないことがある。例えば、消耗部品208は、支持フィンガ1130が消耗部品208を完全に支持できる奥まで入れられないことがある。リングポッド1000がクラスタツールアセンブリから離れるときに消耗部品208がその位置から動き回るまたは滑り出る事態を防ぐために、保持アセンブリ1135の各種のパーツは、消耗部品208が支持フィンガ1130上の定位置に保持されるように消耗部品208を第3のおよび第4の支柱1129c、1129d、ならびに第1のおよび第2の緊急停止柱1128a、1128bに向かって押すために使用される。支柱1129a〜1129d上に配置された支持フィンガ1130は、消耗部品を定位置に保持するための、下側からの拘束を提供する。
受けパッド1152は、消耗部品208を受けて位置合わせして定位置に保持するためのポケットを画定するように設計される。一部の実施形態では、受けパッド1152は、「C」を逆さにした形状にされる。受けパッド1152の設計は、消耗部品が定位置に保持されることおよびポケットから滑り出ないことを保証する。さらに、受けパッド1152内のポケットは、消耗部品208がロボットによってリングポッド1000内へ移動されたときに、撓みおよび可能性があるその他の許容誤差に対応するのに十分な離間距離を提供するようにサイズ決定される。さらに、ポケットの幅および高さは、消耗部品208の厚さおよび高さに適応するのに十分である。さらに、受けパッド1152内のポケットのサイズ、支持フィンガ1130、1130b、および支持パッド1131の深さは、様々な底面プロフィールを有する消耗部品208に適応するように設計される。一部の実施形態では、受けパッド1152は、カーボン充填PEEK材料で作成される。一部の実施形態では、ドア1123が閉じられて消耗部品208が定位置に押し込まれたときに、緩衝材1136と消耗部品208との間に十分な離間距離が提供される。
図18は、消耗部品208が受けられたときのリングポッド1000の内部の俯瞰図を示している。この俯瞰図は、第1の対のおよび第2の対の支柱(即ち、1129a〜1129d)から外向きに伸びる支持フィンガ1130上で受けられている消耗部品を示している。第1のおよび第2の緊急停止柱1128a、1128bは、消耗部品208が定位置に位置決めされることを保証しつつ、消耗部品208のための半径方向の拘束を提供する。一部の実施形態では、第1のおよび第2の緊急停止柱1128a、1128bは、第1のおよび第2の緊急停止柱1128a、1128bの端1128eがベース板1134の外周に対して内側を向く形で第3のおよび第4の支柱1129c、1129dに相対的に方向付けられる。その他の実施形態では、第1のおよび第2の緊急停止柱1128a、1128bは、第1のおよび第2の緊急停止柱1128a、1128bの表面がベース板1134の外周に対して内側を向く形で第3のおよび第4の支柱1129c、1129dに相対的に方向付けられる。内側を向いている緊急停止柱1128a、1128bの表面は、端面、平らな側面、または円筒状の表面であってよい。ドア1123の長さに沿って配置された保持アセンブリ1135のバネ機構1151は、リング受けパッド1152に消耗部品208を第1のおよび第2の緊急停止柱1128a、1128bに向かって押させるのに十分な半径方向の力を提供し、対をなす緩衝材1136は、バネ機構1151が消耗部品208に力を作用し過ぎて消耗部品208を歪ませるまたは支持フィンガ1130、1130b上のその定位置から飛び出させることがないように保証する。
リングポッド1000のドア1123では、一実施形態では、ドア1123に係合する(即ち、ドアを閉じる)またはドア1123を解放する(即ち、ドアを開く)ときに、ドア枠1120とシェル構造1127の開口との間の間隔を調整するための、間隔制御が利用可能であってよい。シェル構造1127からのドア枠1120の間隔は、一実施形態では、ドアが係合されるときに、ドア枠1120の各隅の隆起部分に配置されたピンの位置を調整することによって制御されてよい。
消耗部品は、リングポッド1000内のロボットまたはクラスタツールアセンブリ内のロボットのエンドエフェクタ機構を使用して、クラスタツールアセンブリ内でリングポッド1000とプロセスモジュールとの間で移動される。一部の実施形態では、ウエハをウエハローダ115におよびウエハローダ115から搬送するために一般的に使用されるロボットのエンドエフェクタ機構は、ウエハよりも大きいのが普通である消耗部品に適応するには十分な大きさではないだろう。消耗部品に適応するために、エンドエフェクタ機構は、消耗部品をリングポッド1000におよびリングポッド1000から搬送するためにリングキャリア1104を使用してよい。リングキャリア1104は、リングポッド1000のボトムに、またはリングポッド1000のトップに、またはボトムとトップとの間の随所に収納されてよい。さらに、消耗部品を搬送するために、1つのリングキャリア1104または複数のリングキャリア1104が使用されてよい。例えば、新しい消耗部品と使用済みの消耗部品とを別々に搬送するために、専用のリングキャリア1104が使用されてよい。リングキャリア1104は、リングポッド1000内に画定されたキャリア支持機構で受けられる。
図19Aは、本発明の一実施形態における、リングポッド1000内に画定された、リングキャリア1104を受けるための代表的なキャリア支持機構を示している。リングポッド1000は、この実施形態では、新しい消耗部品および使用済みの消耗部品の両方を搬送するために使用される1つのリングキャリア1104を収めている。キャリア支持機構は、例えばベース板1134上に画定された面上に分布される複数のキャリア支持部を含む。各キャリア支持部は、支持を提供してリングキャリア1104をベース板1134からオフセットさせるためのベース支持構造1137と、該ベース支持構造1137の上に配置されたトップハット1138とを含む。一部の実施形態では、トップハット1138は、ワッシャユニットであってよい。ベース支持構造1137およびトップハット1138は、リングポッド1000に入れられたときのリングキャリア1104のための支持を提供するように設計される。さらに、トップハット1138の設計は、リングキャリア1104がベース支持構造上のその定位置から飛び出すのを防ぐ。
キャリア支持部は、リングキャリア1104の形状にしたがって面内に位置決めされる。例えば、リングキャリア1104が三角形であるときは、キャリア支持部は、リングキャリア1104の三角形の輪郭に沿うように位置決めされる。一部の実施形態では、キャリア支持部は、カーボン充填PEEK材料で作成される。その他の実施形態では、キャリア支持部は、リングキャリア1104に対して支持を提供しつつ腐食およびその他の摩耗を防ぐように選択されたその他の材料で作成されてよい。或いは、キャリア支持部は、金属で作成されて、エポキシ樹脂やTeflonなどの耐腐食性材料をコーティングされてよい。
図19Bは、複数のリングキャリア1104を受けられる、リングポッド1000内に画定されたキャリア支持機構の一代替例を示している。この実施形態では、リングポッド1000は、ベース板1134とトップ板1132との間に画定されてベース板1134に実質的に平行であるように方向付けられた仕切り板1202を含む。図19Bに示された実施形態では、リングキャリア1104を受けるために、ベース板1134上および仕切り板1202上の両方に、複数のキャリア支持部が配置される。仕切り板1202は、リングポッド1000内の、(図11を参照にして説明された)清浄な(または新しい)消耗部品セクション1206と、(図11を参照にして説明された)汚れた消耗部品セクション1208とを仕切るように画定されてよい。清浄な消耗部品セクション1206は、清浄なまたは新しい消耗部品208を受け入れるための専用スロットを含み、汚れた消耗部品セクション1208は、汚れたまたは使用済みの消耗部品208を受け入れるための専用スロットを含む。ベース板1134および仕切り板1202にキャリア支持部を提供することに加えて、一部の実施形態では、トップ板1132の下側表面にもさらなるキャリア支持部が提供されてよい。さらなるキャリア支持部は、図19Aおよび図19Bを参照にして説明されたキャリア支持機構と構造的に類似していてよい、または異なる構造を有していてよい。トップ板1132の下側表面にさらなるキャリア支持部が提供される一部の実施形態では、リングキャリア1104は、トップ板1132のキャリア支持部によって受けられて支持されてよい。
一部の実施形態では、リングポッド1000内で消耗部品が受けられたときの、キャリア支持部によるいかなる妨害も回避するために、ベース板1134上および/または仕切り板1202上に提供されるキャリア支持部の高さは、消耗部品208を受けるための支持フィンガ1130が支柱1129a〜1129dの長さに沿ってどの高さに画定されてよいかを決定するだろう。例えば、支持フィンガ1130は、消耗部品がボトムフィンガ支持部で受けられたときに、ベース板1134上に画定されたキャリア支持部が消耗部品を妨害することがないように、それらのキャリア支持部の高さよりも高いところから始まって、支柱1129上に画定されてよい。同様に、トップ板1132の底面上に画定されるキャリア支持部の高さは、支持フィンガ1130が支柱1129a〜1129dの長さに沿ってどの高さまで画定されるかを決定するだろう。
図20は、本発明の一実施形態における、消耗部品を収納および搬送するために使用されるリングポッド1000のベース板1134の底面図を示している。ベース板1134の底面は、手動による搭載に使用される、または自動化マテリアルハンドリングシステム(AMHS)、天井走行台車(OHT)システム等の標準に適合した、またはロードポートモジュール1155内のロードポートへのリングポッド1000の自動位置合わせのための、様々なインターフェースを含む。ベース板1134の底面に提供されたインターフェースは、正面開口式一体型ポッド(FOUP)などの、ウエハキャリア115上に見られるインターフェースと同様である。例えば、リングポッド1000を人力台車(PGV)などのマテリアルハンドリング車両に手動で搭載するために、1対のコンベヤレール1133が、リングポッド1000のハンドル1122と併せて使用されてよい。同様に、シェル構造1127の上面上に、例えばOHTハンドリングのためのフランジ1121が配置され、ベース板1134の底面上に配置されたシャトル締め具特徴1142と、運動学的スロット1124上に配置されたキャリア感知パッド1143とが、AMHSやOHT等によるリングポッド1000の自動ハンドリング中に併せて使用されてよい。AMHSやOHT等によるリングポッド1000の自動ハンドリング中は、1つ以上のキャリア感知パッド1143が使用されてもよい。
運動学的スロット1124は、リングポッド1000を、ロードポートモジュール1155のロードポート上に画定された対応する運動学的ピンと位置合わせするために使用される位置合わせ機構の一部であってよい。運動学的ピン1124は、大地への散逸経路を提供して静電放電レベルを低く維持するために使用されてもよい。リングポッド1000の内部を通気するために、通気アセンブリ1126が使用される。交換ステーション内に画定されたロードポートへのリングポッド1000の位置合わせを含む様々な条件を追跡するために、1つ以上の情報パッド1140が使用されてよい。動作時にリングポッド1000の1つ以上のインターフェース要素を遮断するまたは解除するために、1つ以上のロックアウトオプション1141が提供される。リングポッド1000の底部に提供されるインターフェースは、ハンドリングFOUP用に定められたがリングポッド1000にも拡張されたマテリアルハンドリング標準に適合する標準インターフェースである。
リングポッド1000の各種のコンポーネントは、金属で作成されてよい。例えば、支持フィンガ1130、支柱1129(即ち、1129a、1129b)、ベース板1134等は、アルミキャストなどの金属で作成されてよい。消耗部品が損傷されないことを保証するために、支持パッド1131から支持フィンガ1130の金属部分を経て、支柱1129a〜1129dのうちの対応する支柱に、そしてベース板1134に至り、ベース板1134の下側に画定された運動学的スロット1124にそしてロードポート上の運動学的ピンに至る、散逸接地経路が画定される。ロードポート上の運動学的ピンは、接地されるので、消耗部品に接触する表面もまた、接地される。
総じて、支柱1129、ベース板1134、支持フィンガ1130等は、リングポッド1000の重量を制限するために、全て、アルミニウムまたはその他の軽量金属/材料で作成される。リングポッド1000設計内の各種のコンポーネントに使用される材料は、OHTやAMHS等のための標準群によって定められた重量制限によって決まる。したがって、リングポッド1000のコンポーネントは、ものによって、アルミキャストで作成されたり、またはエポキシ樹脂やテフロン(登録商標)等などの耐腐食性材料でコーティングされたり、または射出成型されたり、またはプラスチックで作成されたりしてよい。
リングポッド1000は、様々なタイプの消耗部品を収納および搬送するために使用されてよい。リングポッド1000の具体的中身を決定するために、リングポッド1000は、内包されている中身を決定するために使用される識別子を含んでいてよい。一部の実施形態では、識別子をスキャンしてリングポッド1000の中身を識別するために、専用の識別ステーションが使用されてよい。識別ステーションは、リングポッド識別子をスキャンしてそれを中身と関連付けるためのセンサと伴う例えばロボットを含んでいてよい。
図21Aおよび図21Bは、本発明の一部の実施形態における、リングポッド1000の背面側を示した図であり、該背面側には、リングポッド1000の中身を識別するために、リングポッド識別子が配置されてよい。背面側における識別子の場所は、一例として提供されたに過ぎず、その他の側面または場所が、リングポッド識別子を置くために使用されてもよい。一部の実施形態では、リングポッド識別子は、図21Aに示されるように、バーコード取り付け板1144上に提示されたバーコードの形態をとってよい。代替の実施形態では、リングポッド識別子は、図21Bに示されるように、無線自動識別(RFID)タグ板1145上に提供されたRFIDタグの形態をとってよい。その他の実施形態では、リングポッド識別子は、リングポッド1000の背面側またはその他の任意の面側に配置されたリングポッド識別子板上にエッチングされたシリアル番号の形態をとってよい。識別ステーションなどのホストが、リングポッド1000の内部にあるものとリングポッド識別子とを関連付けて、リングポッド1000およびリングポッド1000内に収納されている消耗部品の在庫を管理することができる。例えば、リングポッドがロードポートモジュール1155に結合されるときは、リングポッド識別子を読み取って、リングポッド1000内に収納されている消耗部品のタイプを識別するために、ロードポート上のセンサが使用されてよい。リングポッド1000に収納されている消耗部品のタイプおよび数を決定するためには、リングポッド1000の中身がスキャンされる必要があり、スキャンからの情報は、ホストによって維持および管理される。スキャンは、例えば、リングポッド1000のドア1123が開かれたときになされる。
一部の実施形態では、リングポッド1000を上下にスキャンして、リングポッド1000の各種の支持フィンガ1130内で受けられた消耗部品の場所をマッピングするために、アライナおよびセンサ(例えば、カメラ)を備えたエンドエフェクタを伴うロボットが、リングポッド1000のドア1123が開かれたときに使用されてよい。このマッピング情報は、一部の実施形態では、様々なリングポッド1000内の各種の消耗部品を追跡するために、ツールソフトウェアによって使用されてよい。一部の実施形態では、このマッピング情報は、各種のリングポッド1000の在庫をツールソフトウェアが把握することができるように、リングポッド識別子を伴うデータベースに格納されて、リングポッド1000が使用されるたびに随時アップデートされてもよい。アップデートされたマッピング情報は、ホストによって、リングポッド1000の内部にあるものと関連付けるために使用される。ロードポート上のセンサがリングポッド1000の識別子をスキャンするときに、リングポッド1000の識別子は、リングポッド1000に内包されている消耗部品のタイプおよび数を識別するマッピング情報を得るために使用される。
各種の実施形態は、損傷されたまたは古い消耗部品を交換するために新しい消耗部品208をプロセスモジュール112に提供するために使用されるリングポッド1000の構造を説明している。リングポッド1000は、ロードポートモジュール1155のロードポートに結合され、ロードポートモジュール1155は、プロセスモジュール(112〜120のうちの任意の1つ)または真空移送モジュール(VTM)104または大気圧移送モジュール(ATM)102に直接結合される。消耗部品は、リングポッド1000内のロボットを使用して、またはVTM104内および/もしくはATM102内のロボットを使用して、プロセスモジュールへ移動される。
本明細書で説明される様々な実施形態は、クラスタツールアセンブリを大気条件に曝す必要なく迅速にかつ効率的に消耗部品が交換されることを可能にする。その結果、消耗部品を交換するための時間はもちろん、消耗部品の交換時にチャンバが汚染されるリスクが大幅に低減され、それによって、クラスタツールアセンブリをより迅速にオンラインにもってくることが可能になる。さらに、プロセスモジュール、消耗部品、およびプロセスモジュール内のその他のハードウェアコンポーネントが予期せず損傷されるリスクが大幅に抑えられる。
実施形態に関する以上の説明は、例示および説明を目的として提供されたものであり、包括的であることまたは発明を制限することを意図していない。特定の実施形態の個々の要素または特徴は、総じて、その特定の実施形態に制限されず、たとえ具体的に図示または説明されていなくても、該当するところでは、代替可能であり、選択された実施形態に使用可能である。同じことは、また、様々に可変であってもよい。このようなヴァリエーションは、発明からの逸脱とは見なされず、このような変更形態も、全て、発明の範囲内に含まれると意図される。
以上の実施形態は、理解を明瞭にする目的で幾らか詳細に説明されてきたが、添付の特許請求の範囲内で、特定の変更および修正が可能であることが明らかである。したがって、これらの実施形態は、例示的であって限定的ではないと見なされ、本明細書で与えられる詳細に限定されず、添付の特許請求の範囲およびそれらの均等物の範囲内で変更されてよい。
図10Dは、一実施形態における、ウエハおよび消耗部品の両方を支持するために使用される、ロードロックチャンバ110内のこのようなフィンガアセンブリ902の1つの拡大図を示している。ボトム支持フィンガ902bの上面上に、凹み908が画定される。1つ以上の消耗接触パッド906が、消耗部品208を受けるために凹み908内に配置され、1つ以上の基板接触パッド904が、ウエハを受けるために支持フィンガ902a、902bの先端の近くに配置される。ボトム支持フィンガ902b上には、一実施形態では、消耗部品を受けるための消耗接触パッド906が、支持機構の中心から少なくとも消耗部品208の半径に等しい距離に配置され、ウエハを受けるための基板接触パッド904が、支持機構の中心から少なくともウエハの半径に等しい距離に配置される。複数のフィンガアセンブリ902の凹み908内に配置された消耗接触パッド906は、消耗部品208を受けるためのリング受け面913bを画定し、フィンガアセンブリ902上に配置された基板接触パッド904は、ウエハを受けるためのウエハ受け面913aを画定する。凹み908は、リング受け面913bがウエハ受け面913aとは異なる高さに配置されることを可能にし、ウエハのための接触支持表面を消耗部品のための接触支持表面から隔離している。接触支持表面のこの隔離は、消耗部品を受けるために使用される接触支持表面のいずれの部分にもウエハが接触しないようにすることによって、ウエハの表面を汚染から保護するように設計される。一実施形態では、リング受け面913bは、ウエハ受け面913aの高さ(h2)よりも低い高さ(h1)にある(即ち、高さh1<h2である)。一実施形態では、h1とh2との間の差は、少なくとも消耗部品208の高さよりも大きい。別の一実施形態では、ウエハ受け表面を消耗部品受け表面から隔離しつつ、ウエハが低い方の高さで受けられるとともに消耗部品が高い方の高さで受けられるように、h1>h2である。
以上の実施形態は、理解を明瞭にする目的で幾らか詳細に説明されてきたが、添付の特許請求の範囲内で、特定の変更および修正が可能であることが明らかである。したがって、これらの実施形態は、例示的であって限定的ではないと見なされ、本明細書で与えられる詳細に限定されず、添付の特許請求の範囲およびそれらの均等物の範囲内で変更されてよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
プロセスモジュールの消耗部品を交換するためのポッドであって、
正面側と、背面側と、前記正面側と前記背面側との間に伸びる2枚の側面側と、で画定された外周を有するベース板と、
前記ベース板に垂直方向に相対するように方向付けられ、前記ベース板に実質的に平行であるトップ板と、
第1の対の支柱であり、前記第1の対の支柱の各支柱は、前記2枚の側面側のそれぞれに沿うように方向付けられて、前記ベース板の前記正面側に近接して配置され、前記第1の対の支柱の各支柱は、前記トップ板と前記ベース板との間に伸びており、前記第1の対の支柱の各支柱は、前記第1の対の支柱のそれぞれの支柱に沿って縦方向に配されて前記外周に対して内側を向く複数の支持フィンガを含む、第1の対の支柱と、
第2の対の支柱であり、前記第2の対の支柱の各支柱は、前記2枚の側面側のそれぞれに沿うように方向付けられて、前記ベース板の前記背面側に近接して配置され、前記第2の対の支柱の各支柱は、前記トップ板と前記ベース板との間に伸びており、前記第2の対の支柱の各支柱は、前記第2の対の支柱のそれぞれの支柱に沿って縦方向に配されて前記外周に対して内側を向く複数の支持フィンガを含む、第2の対の支柱と、
前記第2の対の支柱の第1の支柱に近接して配置される第1の緊急停止柱と、
前記第2の対の支柱の第2の支柱に近接して配置される第2の緊急停止柱であり、前記第1の緊急停止柱および前記第2の緊急停止柱は、それぞれ、前記第1の緊急停止柱および前記第2の緊急停止柱の端面が前記外周に対して内側を向く形で前記第2の対の支柱の長さにわたって伸びている、第2の緊急停止柱と、
前記ベース板に装着されて前記第1の対の支柱、前記第2の対の支柱、前記トップ板、前記第1の緊急停止柱、および前記第2の緊急停止柱を取り囲むように構成される、前記ポッドのシェル構造であり、前記ベース板の前記正面側に沿って配置された正面開口を有するシェル構造と、
前記シェル構造の前記正面開口および前記ベース板の前記正面側と嵌り合うように構成されるドアであり、前記ポッド内に配置されたときの前記消耗部品が前記第1の対の支柱および前記第2の対の支柱の支持フィンガ上に載るように、前記ドアの内表面に配置されて前記ベース板と前記トップ板との間に伸びるように構成された保持アセンブリを伴って構成され、前記保持アセンブリは、前記ドアが閉じられたときに前記消耗部品を前記第1の緊急停止柱および前記第2の緊急停止柱に対して固定する、ドアと、
を備えるポッド。
適用例2:
適用例1のポッドであって、
前記複数の支持フィンガは、それぞれ、上面上に画定された支持パッドを含み、前記支持パッドは、前記複数の支持フィンガのそれぞれのフィンガ上で受けられたときの消耗部品のための別個の接触表面を提供する、ポッド。
適用例3:
適用例2のポッドであって、
前記複数の支持フィンガは、それぞれ、前記上面上に画定された溝を含み、前記支持パッドは、前記溝内に形成されて前記上面の上方に伸びる、ポッド。
適用例4:
適用例2のポッドであって、
前記支持パッドは、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成され、前記複数の支持フィンガは、アルミニウムで作成される、ポッド。
適用例5:
適用例1のポッドであって、
前記複数の支持フィンガは、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成される、ポッド。
適用例6:
適用例1のポッドであって、
前記第1の緊急停止柱および前記第2の緊急停止柱は、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成され、前記第1の対の支柱および前記第2の対の支柱は、アルミニウムで作成される、ポッド。
適用例7:
適用例1のポッドであって、
前記トップ板は、前記シェル構造の上面の下側に取り付けられ、前記トップ板は、前記第1の対の支柱および前記第2の対の支柱に対して支持を提供する、ポッド。
適用例8:
適用例1のポッドであって、
前記保持アセンブリは、前記ドアが閉じられたときに前記消耗部品を固定するために作動されるバネ機構を含む、ポッド。
適用例9:
適用例1のポッドであって、
前記保持アセンブリは、複数のフィンガを含み、前記保持アセンブリ内の前記複数のフィンガは、それぞれ、前記ポッド内に配置されたときの前記消耗部品のための支持を提供するように構成され、前記複数のフィンガは、カーボン充填ポリエーテルエーテルケトン材料で作成される、ポッド。
適用例10:
適用例1のポッドであって、さらに、
前記ポッドの背面側の一部分の長さに沿って画定され、前記ポッドの内部の視野を提供する窓を備えるポッド。
適用例11:
適用例1のポッドであって、さらに、
1対のハンドルを備え、前記対をなす各ハンドルは、前記ポッドの側面側に画定され、同じ側面側に配置された前記第1の対の支柱からの一つの支柱および前記第2の対の支柱からの一つの支柱に前記シェル構造を通して装着される、ポッド。
適用例12:
適用例1のポッドであって、さらに、
前記ポッドの内部に画定された受け面上に配された複数のキャリア支持部を備え、前記複数のキャリア支持部は、それぞれ、ベース支持構造と、前記ベース支持構造の上に配置されたトップハットとを含み、前記ベース支持構造、前記トップハット、および前記ドア上の保持アセンブリは、前記ポッド内に収容されたときのリングキャリアを載せるための支持表面を提供する、ポッド。
適用例13:
適用例12のポッドであって、
前記複数のキャリア支持部を伴う前記受け面は、前記ベース板上に画定される、ポッド。
適用例14:
適用例12のポッドであって、
前記複数のキャリア支持部を伴う前記受け面は、前記トップ板と前記ベース板との間に配置された仕切り板上に画定され、前記仕切り板は、使用済みの消耗部品と新しい消耗部品とを別々に収納するために別個の領域を画定する、ポッド。
適用例15:
適用例12のポッドであって、
前記複数のキャリア支持部を伴う前記受け面は、前記ポッド内の前記トップ板の下側表面に画定される、ポッド。
適用例16:
適用例1のポッドであって、
前記第1の対の支柱、前記第2の対の支柱、前記ベース板、および前記トップ板の一部は、アルミニウムで作成され、前記第1の対の支柱、前記第2の対の支柱、前記ベース板、および前記トップ板のうち、前記ポッド内で周囲環境に曝される部分は、耐腐食性のコーティングで処理される、ポッド。
適用例17:
適用例1のポッドであって、
前記ポッドは、正面開口式リングポッド(リングポッド)であり、前記消耗部品は、前記プロセスモジュール内で使用されることになるエッジリングである、ポッド。
適用例18:
プロセスモジュールに供給されるまたはプロセスモジュールから戻される消耗部品を保持するためのポッドであり、前記プロセスモジュールは、半導体基板を処理するように構成され、前記半導体基板の処理は、前記消耗部品に摩耗を引き起こし、前記ポッドは、
正面側と、背面側と、互いに相対するように方向付けられている第1の側面側および第2の側面側と、によって画定されるベース板と、
前記正面側に近接して前記第1の側面側上に配置される第1の支柱と、
前記正面側に近接して前記第2の側面側上に配置される第2の支柱と、
前記背面側に近接して前記第1の側面側上に配置される第3の支柱と、
前記背面側に近接して前記第2の側面側上に配置される第4の支柱と、
前記ベース板の上方に配置され、前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱に接続されるトップ板であり、前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱は、前記ベース板と前記トップ板との間に配されて前記ポッドの内側領域の方を向くように方向付けられた支持フィンガを含む、トップ板と、
前記第3の支柱に平行に配置される第1の緊急停止柱、および前記第4の支柱に平行に配置される第2の緊急停止柱であって、前記ポッドの前記内側領域の方を向くように方向付けられて前記ベース板と前記トップ板との間に伸びる第1および第2の緊急停止柱と、
前記第1の支柱、前記第2の支柱、前記第3の支柱、前記第4の支柱、前記トップ板、前記第1の緊急停止柱、および前記第2の緊急停止柱を取り囲んで前記ベース板に接続されるように構成されるシェル構造であり、前記ベース板の前記正面側に近接して配置された正面開口を有するシェル構造と、
前記シェル構造の前記正面開口と嵌まり合うためのドアであり、前記支持フィンガのうちの選択された支持フィンガの上に配置されたときの消耗部品を前記ポッド内で固定するための保持アセンブリを有し、前記保持アセンブリは、前記ドアの内表面に配置され、前記ベース板と前記トップ板との間に伸びる、ドアと、
を備えるポッド。
適用例19:
適用例18のポッドであって、
前記第1の緊急停止柱は、前記第3の支柱から隔てられて配され、前記第2の緊急停止柱は、前記第4の支柱から相隔てられて配されている、ポッド。
適用例20:
適用例18のポッドであって、
前記第1の緊急停止柱は、前記第3の支柱に装着され、前記第2の緊急停止柱は、前記第4の支柱に装着される、ポッド。
適用例21:
適用例18のポッドであって、
前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱における前記支持フィンガは、それぞれ、上面上に画定された溝を含み、前記上面上には、前記溝を満たして前記上面の上方に伸び、前記ポッド内に配置されたときの前記消耗部品を受けるための別個の支持表面を形成するように、支持パッドが形成される、ポッド。
適用例22:
適用例18のポッドであって、
前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱における前記支持フィンガは、それぞれ、上面上に配置された支持パッドを含み、前記支持パッドは、前記支持フィンガのうちの選択された支持フィンガの上に配置されたときの前記消耗部品のための別個の支持表面を前記ポッド内で提供する、ポッド。
適用例23:
適用例18のポッドであって、さらに、
前記ポッドの背面側の一部分の長さに沿って画定され、前記ポッドの内部の視野を提供する窓を備えるポッド。
適用例24:
適用例18のポッドであって、さらに、
前記ポッドの第1の側面側に配置され、前記第1の支柱および前記第3の支柱に前記シェル構造を通して装着される第1のハンドルと、前記ポッドの第2の側面側に配置され、前記第2の支柱および前記第4の支柱に前記シェル構造を通して装着される第2のハンドルとを備えるポッド。
適用例25:
適用例18のポッドであって、さらに、
前記ポッドの内部に画定された受け面上に配された複数のキャリア支持部を備え、前記複数のキャリア支持部は、それぞれ、ベース支持構造と、前記ベース支持構造の上に配置されたトップハットとを含み、前記ベース支持構造、前記トップハット、および前記ドア上の前記保持アセンブリは、前記ポッド内に収容されたときのリングキャリアを載せるための支持表面を提供する、ポッド。
適用例26:
適用例25のポッドであって、
前記複数のキャリア支持部を伴う前記受け面は、前記ベース板上に画定される、ポッド。
適用例27:
適用例25のポッドであって、
前記複数のキャリア支持部を伴う前記受け面は、前記トップ板と前記ベース板との間に配置された仕切り板上に配置され、前記仕切り板は、使用済みの消耗部品と新しい消耗部品とを別々に収納するために別個の領域を画定する、ポッド。
適用例28:
適用例18のポッドであって、
前記保持アセンブリは、縦方向に配されて前記ポッドの内側領域の方を向くように方向付けられたフィンガを含み、前記フィンガは、前記ポッド内に配置されたときの前記消耗部品のための支持を提供する、ポッド。
適用例29:
適用例18のポッドであって、
前記トップ板は、前記シェル構造の上面の下側に装着される、ポッド。

Claims (29)

  1. プロセスモジュールの消耗部品を交換するためのポッドであって、
    正面側と、背面側と、前記正面側と前記背面側との間に伸びる2枚の側面側と、で画定された外周を有するベース板と、
    前記ベース板に垂直方向に相対するように方向付けられ、前記ベース板に実質的に平行であるトップ板と、
    第1の対の支柱であり、前記第1の対の支柱の各支柱は、前記2枚の側面側のそれぞれに沿うように方向付けられて、前記ベース板の前記正面側に近接して配置され、前記第1の対の支柱の各支柱は、前記トップ板と前記ベース板との間に伸びており、前記第1の対の支柱の各支柱は、前記第1の対の支柱のそれぞれの支柱に沿って縦方向に配されて前記外周に対して内側を向く複数の支持フィンガを含む、第1の対の支柱と、
    第2の対の支柱であり、前記第2の対の支柱の各支柱は、前記2枚の側面側のそれぞれに沿うように方向付けられて、前記ベース板の前記背面側に近接して配置され、前記第2の対の支柱の各支柱は、前記トップ板と前記ベース板との間に伸びており、前記第2の対の支柱の各支柱は、前記第2の対の支柱のそれぞれの支柱に沿って縦方向に配されて前記外周に対して内側を向く複数の支持フィンガを含む、第2の対の支柱と、
    前記第2の対の支柱の第1の支柱に近接して配置される第1の緊急停止柱と、
    前記第2の対の支柱の第2の支柱に近接して配置される第2の緊急停止柱であり、前記第1の緊急停止柱および前記第2の緊急停止柱は、それぞれ、前記第1の緊急停止柱および前記第2の緊急停止柱の端面が前記外周に対して内側を向く形で前記第2の対の支柱の長さにわたって伸びている、第2の緊急停止柱と、
    前記ベース板に装着されて前記第1の対の支柱、前記第2の対の支柱、前記トップ板、前記第1の緊急停止柱、および前記第2の緊急停止柱を取り囲むように構成される、前記ポッドのシェル構造であり、前記ベース板の前記正面側に沿って配置された正面開口を有するシェル構造と、
    前記シェル構造の前記正面開口および前記ベース板の前記正面側と嵌り合うように構成されるドアであり、前記ポッド内に配置されたときの前記消耗部品が前記第1の対の支柱および前記第2の対の支柱の支持フィンガ上に載るように、前記ドアの内表面に配置されて前記ベース板と前記トップ板との間に伸びるように構成された保持アセンブリを伴って構成され、前記保持アセンブリは、前記ドアが閉じられたときに前記消耗部品を前記第1の緊急停止柱および前記第2の緊急停止柱に対して固定する、ドアと、
    を備えるポッド。
  2. 請求項1に記載のポッドであって、
    前記複数の支持フィンガは、それぞれ、上面上に画定された支持パッドを含み、前記支持パッドは、前記複数の支持フィンガのそれぞれのフィンガ上で受けられたときの消耗部品のための別個の接触表面を提供する、ポッド。
  3. 請求項2に記載のポッドであって、
    前記複数の支持フィンガは、それぞれ、前記上面上に画定された溝を含み、前記支持パッドは、前記溝内に形成されて前記上面の上方に伸びる、ポッド。
  4. 請求項2に記載のポッドであって、
    前記支持パッドは、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成され、前記複数の支持フィンガは、アルミニウムで作成される、ポッド。
  5. 請求項1に記載のポッドであって、
    前記複数の支持フィンガは、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成される、ポッド。
  6. 請求項1に記載のポッドであって、
    前記第1の緊急停止柱および前記第2の緊急停止柱は、カーボン充填ポリエーテルエーテルケトン(PEEK)材料で作成され、前記第1の対の支柱および前記第2の対の支柱は、アルミニウムで作成される、ポッド。
  7. 請求項1に記載のポッドであって、
    前記トップ板は、前記シェル構造の上面の下側に取り付けられ、前記トップ板は、前記第1の対の支柱および前記第2の対の支柱に対して支持を提供する、ポッド。
  8. 請求項1に記載のポッドであって、
    前記保持アセンブリは、前記ドアが閉じられたときに前記消耗部品を固定するために作動されるバネ機構を含む、ポッド。
  9. 請求項1に記載のポッドであって、
    前記保持アセンブリは、複数のフィンガを含み、前記保持アセンブリ内の前記複数のフィンガは、それぞれ、前記ポッド内に配置されたときの前記消耗部品のための支持を提供するように構成され、前記複数のフィンガは、カーボン充填ポリエーテルエーテルケトン材料で作成される、ポッド。
  10. 請求項1に記載のポッドであって、さらに、
    前記ポッドの背面側の一部分の長さに沿って画定され、前記ポッドの内部の視野を提供する窓を備えるポッド。
  11. 請求項1に記載のポッドであって、さらに、
    1対のハンドルを備え、前記対をなす各ハンドルは、前記ポッドの側面側に画定され、同じ側面側に配置された前記第1の対の支柱からの一つの支柱および前記第2の対の支柱からの一つの支柱に前記シェル構造を通して装着される、ポッド。
  12. 請求項1に記載のポッドであって、さらに、
    前記ポッドの内部に画定された受け面上に配された複数のキャリア支持部を備え、前記複数のキャリア支持部は、それぞれ、ベース支持構造と、前記ベース支持構造の上に配置されたトップハットとを含み、前記ベース支持構造、前記トップハット、および前記ドア上の保持アセンブリは、前記ポッド内に収容されたときのリングキャリアを載せるための支持表面を提供する、ポッド。
  13. 請求項12に記載のポッドであって、
    前記複数のキャリア支持部を伴う前記受け面は、前記ベース板上に画定される、ポッド。
  14. 請求項12に記載のポッドであって、
    前記複数のキャリア支持部を伴う前記受け面は、前記トップ板と前記ベース板との間に配置された仕切り板上に画定され、前記仕切り板は、使用済みの消耗部品と新しい消耗部品とを別々に収納するために別個の領域を画定する、ポッド。
  15. 請求項12に記載のポッドであって、
    前記複数のキャリア支持部を伴う前記受け面は、前記ポッド内の前記トップ板の下側表面に画定される、ポッド。
  16. 請求項1に記載のポッドであって、
    前記第1の対の支柱、前記第2の対の支柱、前記ベース板、および前記トップ板の一部は、アルミニウムで作成され、前記第1の対の支柱、前記第2の対の支柱、前記ベース板、および前記トップ板のうち、前記ポッド内で周囲環境に曝される部分は、耐腐食性のコーティングで処理される、ポッド。
  17. 請求項1に記載のポッドであって、
    前記ポッドは、正面開口式リングポッド(リングポッド)であり、前記消耗部品は、前記プロセスモジュール内で使用されることになるエッジリングである、ポッド。
  18. プロセスモジュールに供給されるまたはプロセスモジュールから戻される消耗部品を保持するためのポッドであり、前記プロセスモジュールは、半導体基板を処理するように構成され、前記半導体基板の処理は、前記消耗部品に摩耗を引き起こし、前記ポッドは、
    正面側と、背面側と、互いに相対するように方向付けられている第1の側面側および第2の側面側と、によって画定されるベース板と、
    前記正面側に近接して前記第1の側面側上に配置される第1の支柱と、
    前記正面側に近接して前記第2の側面側上に配置される第2の支柱と、
    前記背面側に近接して前記第1の側面側上に配置される第3の支柱と、
    前記背面側に近接して前記第2の側面側上に配置される第4の支柱と、
    前記ベース板の上方に配置され、前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱に接続されるトップ板であり、前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱は、前記ベース板と前記トップ板との間に配されて前記ポッドの内側領域の方を向くように方向付けられた支持フィンガを含む、トップ板と、
    前記第3の支柱に平行に配置される第1の緊急停止柱、および前記第4の支柱に平行に配置される第2の緊急停止柱であって、前記ポッドの前記内側領域の方を向くように方向付けられて前記ベース板と前記トップ板との間に伸びる第1および第2の緊急停止柱と、
    前記第1の支柱、前記第2の支柱、前記第3の支柱、前記第4の支柱、前記トップ板、前記第1の緊急停止柱、および前記第2の緊急停止柱を取り囲んで前記ベース板に接続されるように構成されるシェル構造であり、前記ベース板の前記正面側に近接して配置された正面開口を有するシェル構造と、
    前記シェル構造の前記正面開口と嵌まり合うためのドアであり、前記支持フィンガのうちの選択された支持フィンガの上に配置されたときの消耗部品を前記ポッド内で固定するための保持アセンブリを有し、前記保持アセンブリは、前記ドアの内表面に配置され、前記ベース板と前記トップ板との間に伸びる、ドアと、
    を備えるポッド。
  19. 請求項18に記載のポッドであって、
    前記第1の緊急停止柱は、前記第3の支柱から隔てられて配され、前記第2の緊急停止柱は、前記第4の支柱から相隔てられて配されている、ポッド。
  20. 請求項18に記載のポッドであって、
    前記第1の緊急停止柱は、前記第3の支柱に装着され、前記第2の緊急停止柱は、前記第4の支柱に装着される、ポッド。
  21. 請求項18に記載のポッドであって、
    前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱における前記支持フィンガは、それぞれ、上面上に画定された溝を含み、前記上面上には、前記溝を満たして前記上面の上方に伸び、前記ポッド内に配置されたときの前記消耗部品を受けるための別個の支持表面を形成するように、支持パッドが形成される、ポッド。
  22. 請求項18に記載のポッドであって、
    前記第1の支柱、前記第2の支柱、前記第3の支柱、および前記第4の支柱の各支柱における前記支持フィンガは、それぞれ、上面上に配置された支持パッドを含み、前記支持パッドは、前記支持フィンガのうちの選択された支持フィンガの上に配置されたときの前記消耗部品のための別個の支持表面を前記ポッド内で提供する、ポッド。
  23. 請求項18に記載のポッドであって、さらに、
    前記ポッドの背面側の一部分の長さに沿って画定され、前記ポッドの内部の視野を提供する窓を備えるポッド。
  24. 請求項18に記載のポッドであって、さらに、
    前記ポッドの第1の側面側に配置され、前記第1の支柱および前記第3の支柱に前記シェル構造を通して装着される第1のハンドルと、前記ポッドの第2の側面側に配置され、前記第2の支柱および前記第4の支柱に前記シェル構造を通して装着される第2のハンドルとを備えるポッド。
  25. 請求項18に記載のポッドであって、さらに、
    前記ポッドの内部に画定された受け面上に配された複数のキャリア支持部を備え、前記複数のキャリア支持部は、それぞれ、ベース支持構造と、前記ベース支持構造の上に配置されたトップハットとを含み、前記ベース支持構造、前記トップハット、および前記ドア上の前記保持アセンブリは、前記ポッド内に収容されたときのリングキャリアを載せるための支持表面を提供する、ポッド。
  26. 請求項25に記載のポッドであって、
    前記複数のキャリア支持部を伴う前記受け面は、前記ベース板上に画定される、ポッド。
  27. 請求項25に記載のポッドであって、
    前記複数のキャリア支持部を伴う前記受け面は、前記トップ板と前記ベース板との間に配置された仕切り板上に配置され、前記仕切り板は、使用済みの消耗部品と新しい消耗部品とを別々に収納するために別個の領域を画定する、ポッド。
  28. 請求項18に記載のポッドであって、
    前記保持アセンブリは、縦方向に配されて前記ポッドの内側領域の方を向くように方向付けられたフィンガを含み、前記フィンガは、前記ポッド内に配置されたときの前記消耗部品のための支持を提供する、ポッド。
  29. 請求項18に記載のポッドであって、
    前記トップ板は、前記シェル構造の上面の下側に装着される、ポッド。
JP2016206850A 2015-10-22 2016-10-21 正面開口式リングポッド Active JP6912179B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021113257A JP7383665B2 (ja) 2015-10-22 2021-07-08 正面開口式リングポッド

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/920,090 2015-10-22
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US15/048,960 US10062599B2 (en) 2015-10-22 2016-02-19 Automated replacement of consumable parts using interfacing chambers
US15/048,960 2016-02-19
US15/138,097 US9881820B2 (en) 2015-10-22 2016-04-25 Front opening ring pod
US15/138,097 2016-04-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021113257A Division JP7383665B2 (ja) 2015-10-22 2021-07-08 正面開口式リングポッド

Publications (2)

Publication Number Publication Date
JP2017098540A true JP2017098540A (ja) 2017-06-01
JP6912179B2 JP6912179B2 (ja) 2021-08-04

Family

ID=58558903

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016206850A Active JP6912179B2 (ja) 2015-10-22 2016-10-21 正面開口式リングポッド
JP2021113257A Active JP7383665B2 (ja) 2015-10-22 2021-07-08 正面開口式リングポッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021113257A Active JP7383665B2 (ja) 2015-10-22 2021-07-08 正面開口式リングポッド

Country Status (5)

Country Link
US (4) US9881820B2 (ja)
JP (2) JP6912179B2 (ja)
KR (2) KR102571229B1 (ja)
CN (2) CN107039308B (ja)
TW (2) TWI698381B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019204929A (ja) * 2018-05-25 2019-11-28 株式会社ディスコ 搬送用治具及び交換方法
KR20200072402A (ko) 2018-12-12 2020-06-22 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 방법, 반송 프로그램 및 유지구
JP2020145333A (ja) * 2019-03-07 2020-09-10 東京エレクトロン株式会社 保管容器の仕切り板、保管容器、基板処理システムおよび基板の搬送方法
JP2021086934A (ja) * 2019-11-28 2021-06-03 株式会社ディスコ 運搬システム、及び消耗品ボックス
JP2021136360A (ja) * 2020-02-28 2021-09-13 東京エレクトロン株式会社 部品交換方法
JP2021136359A (ja) * 2020-02-28 2021-09-13 東京エレクトロン株式会社 部品運搬装置および処理システム
KR20220019164A (ko) * 2020-08-07 2022-02-16 세메스 주식회사 용기 및 기판 처리 장치
JP2022525248A (ja) * 2019-05-20 2022-05-11 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP2022533153A (ja) * 2019-05-20 2022-07-21 アプライド マテリアルズ インコーポレイテッド プロセスキットエンクロージャシステム
JP2022536683A (ja) * 2019-06-11 2022-08-18 アプライド マテリアルズ インコーポレイテッド プロセスキットリング摩耗の検出器
JPWO2022172827A1 (ja) * 2021-02-09 2022-08-18
JP2022546679A (ja) * 2019-08-19 2022-11-07 アプライド マテリアルズ インコーポレイテッド 交換部品収納コンテナのマッピング
KR20230001567A (ko) * 2021-06-28 2023-01-05 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치
JP2023007440A (ja) * 2021-06-28 2023-01-18 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置
US11631607B2 (en) 2019-02-06 2023-04-18 Tokyo Electron Limited Carrier and jig
JP7419154B2 (ja) 2020-05-01 2024-01-22 東京エレクトロン株式会社 部品交換システムおよび部品交換装置
JP7447087B2 (ja) 2018-08-30 2024-03-11 ラム リサーチ コーポレーション エッジリング部品番号をスロット番号にマッピングするための識別子の使用
JP7461984B2 (ja) 2021-05-17 2024-04-04 セメス カンパニー,リミテッド リングキャリヤー及び基板処理システム
JP7467611B2 (ja) 2020-05-01 2024-04-15 東京エレクトロン株式会社 加工システム

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570331B2 (en) * 2014-07-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer cassette with electrostatic carrier charging scheme
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
TWI579215B (zh) * 2016-10-07 2017-04-21 家登精密工業股份有限公司 垂直固定機構傳送盒及使用其之傳送方法
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
TWI675429B (zh) * 2018-09-14 2019-10-21 樂華科技股份有限公司 晶圓載具輸送裝置
US10978326B2 (en) 2018-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Co, , Ltd. Semiconductor wafer storage device
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR20200102612A (ko) 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
DE102019125819A1 (de) * 2019-04-17 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterverarbeitungsvorrichtung und verfahren unter einsatz einer elektrostatischen entladungs-(esd)- verhinderungsschicht
US10950485B2 (en) * 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
KR20220018014A (ko) * 2019-06-06 2022-02-14 램 리써치 코포레이션 회전 정렬이 필요한 에지 링의 자동화된 이송
CN112071799A (zh) * 2019-06-10 2020-12-11 中微半导体设备(上海)股份有限公司 一种托爪、气锁室及等离子体处理装置主机平台
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR102090278B1 (ko) * 2019-06-27 2020-03-17 에이피티씨 주식회사 반도체용 부품의 교환을 위한 부품 교환 장치 및 이에 의한 부품의 교환 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
WO2021141665A1 (en) * 2020-01-06 2021-07-15 Lam Research Corporation Autoconfiguration of hardware components of various modules of a substrate processing tool
JP2023514065A (ja) * 2020-01-23 2023-04-05 ラム リサーチ コーポレーション 自動回転プリアライメントを用いたエッジリング搬送
US20210296149A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Enclosure system shelf
US20210335651A1 (en) * 2020-04-23 2021-10-28 Adaptive Plasma Technology Corp. Apparatus for exchanging an article of a semi-conductor process and a method for exchanging the article using the same
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202232624A (zh) * 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
TW202218027A (zh) * 2020-10-27 2022-05-01 瑞士商伊斯美加半導體控股公司 處理晶圓之總成及方法
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置
JP2022131159A (ja) * 2021-02-26 2022-09-07 東京エレクトロン株式会社 基板収容装置および処理システム
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220347831A1 (en) * 2021-04-30 2022-11-03 Divergent Technologies, Inc. Mobile parts table
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901207B2 (en) * 2021-06-18 2024-02-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor wafer processing system and method
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11817724B2 (en) 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN114823441B (zh) * 2022-06-28 2022-09-02 深圳市星国华先进装备科技有限公司 一种针测机传输机构晶圆防滑出保护装置
CN115188698B (zh) * 2022-09-06 2022-12-09 上海果纳半导体技术有限公司武汉分公司 晶圆缓存机构及晶圆传输装置
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776289B1 (en) * 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US7121414B2 (en) * 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2010016140A (ja) * 2008-07-03 2010-01-21 Shin Etsu Polymer Co Ltd 基板収納容器
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP2011510491A (ja) * 2008-01-13 2011-03-31 インテグリス・インコーポレーテッド 大口径のウエハ容器とウエハ取扱方法
JP2011103391A (ja) * 2009-11-11 2011-05-26 Shin Etsu Polymer Co Ltd 基板収納容器、及び支持部材

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4930634A (en) * 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
NL1010321C2 (nl) * 1997-10-20 1999-09-08 Fluoroware Inc Wafeldrager.
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6267245B1 (en) * 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
KR20000030951A (ko) * 1998-10-20 2000-06-05 윤종용 반도체 제조 장치
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
JP2004288727A (ja) 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
US7682455B2 (en) 2003-07-11 2010-03-23 Tec-Sem Ag Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
US20090194456A1 (en) * 2006-07-07 2009-08-06 Entegris, Inc. Wafer cassette
KR20100031681A (ko) 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP4857239B2 (ja) * 2007-10-25 2012-01-18 株式会社トプコン ウェハ保持装置
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8652260B2 (en) 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US20100099342A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Pad conditioner auto disk change
JP2010153585A (ja) 2008-12-25 2010-07-08 Ebara Corp 基板保持具および基板保持方法
TWI346638B (en) * 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20170236737A1 (en) * 2010-10-20 2017-08-17 Entegris, Inc. Wafer container with door guide and seal
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US9312157B2 (en) * 2011-08-12 2016-04-12 Entegris, Inc. Wafer carrier
TWI494174B (zh) 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
JP6041699B2 (ja) 2013-02-20 2016-12-14 信越ポリマー株式会社 基板収納容器
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9698035B2 (en) * 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
TWI674168B (zh) * 2015-07-27 2019-10-11 美商應用材料股份有限公司 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776289B1 (en) * 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US7121414B2 (en) * 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2011510491A (ja) * 2008-01-13 2011-03-31 インテグリス・インコーポレーテッド 大口径のウエハ容器とウエハ取扱方法
JP2010016140A (ja) * 2008-07-03 2010-01-21 Shin Etsu Polymer Co Ltd 基板収納容器
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP2011103391A (ja) * 2009-11-11 2011-05-26 Shin Etsu Polymer Co Ltd 基板収納容器、及び支持部材

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019204929A (ja) * 2018-05-25 2019-11-28 株式会社ディスコ 搬送用治具及び交換方法
KR20190134467A (ko) * 2018-05-25 2019-12-04 가부시기가이샤 디스코 반송용 지그 및 절삭 블레이드의 교환 방법
JP7165510B2 (ja) 2018-05-25 2022-11-04 株式会社ディスコ 搬送用治具及び交換方法
TWI788571B (zh) * 2018-05-25 2023-01-01 日商迪思科股份有限公司 搬送用治具以及交換方法
KR102619219B1 (ko) * 2018-05-25 2023-12-28 가부시기가이샤 디스코 반송용 지그 및 절삭 블레이드의 교환 방법
JP7447087B2 (ja) 2018-08-30 2024-03-11 ラム リサーチ コーポレーション エッジリング部品番号をスロット番号にマッピングするための識別子の使用
KR20200072402A (ko) 2018-12-12 2020-06-22 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 방법, 반송 프로그램 및 유지구
KR20240015699A (ko) 2018-12-12 2024-02-05 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 방법, 반송 프로그램 및 유지구
US11631607B2 (en) 2019-02-06 2023-04-18 Tokyo Electron Limited Carrier and jig
JP2020145333A (ja) * 2019-03-07 2020-09-10 東京エレクトロン株式会社 保管容器の仕切り板、保管容器、基板処理システムおよび基板の搬送方法
US11735448B2 (en) 2019-03-07 2023-08-22 Tokyo Electron Limited Container, container partition plate, substrate processing system, and substrate transfer method
JP7357453B2 (ja) 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
KR20200107810A (ko) 2019-03-07 2020-09-16 도쿄엘렉트론가부시키가이샤 보관 용기의 칸막이판, 보관 용기, 기판 처리 시스템 및 기판의 반송 방법
JP2022160683A (ja) * 2019-05-20 2022-10-19 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP7391111B2 (ja) 2019-05-20 2023-12-04 アプライド マテリアルズ インコーポレイテッド プロセスキットエンクロージャシステム
JP2022533153A (ja) * 2019-05-20 2022-07-21 アプライド マテリアルズ インコーポレイテッド プロセスキットエンクロージャシステム
JP2022525248A (ja) * 2019-05-20 2022-05-11 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP7377289B2 (ja) 2019-06-11 2023-11-09 アプライド マテリアルズ インコーポレイテッド プロセスキットリング摩耗の検出器
JP2022536683A (ja) * 2019-06-11 2022-08-18 アプライド マテリアルズ インコーポレイテッド プロセスキットリング摩耗の検出器
JP2022546679A (ja) * 2019-08-19 2022-11-07 アプライド マテリアルズ インコーポレイテッド 交換部品収納コンテナのマッピング
JP2021086934A (ja) * 2019-11-28 2021-06-03 株式会社ディスコ 運搬システム、及び消耗品ボックス
JP7372825B2 (ja) 2019-11-28 2023-11-01 株式会社ディスコ 運搬システム、及び消耗品ボックス
JP7471106B2 (ja) 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
JP2021136360A (ja) * 2020-02-28 2021-09-13 東京エレクトロン株式会社 部品交換方法
JP2021136359A (ja) * 2020-02-28 2021-09-13 東京エレクトロン株式会社 部品運搬装置および処理システム
JP7481568B2 (ja) 2020-02-28 2024-05-10 東京エレクトロン株式会社 処理装置および処理システム
JP7450791B2 (ja) 2020-02-28 2024-03-15 東京エレクトロン株式会社 部品交換システム
JP7378318B2 (ja) 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7419154B2 (ja) 2020-05-01 2024-01-22 東京エレクトロン株式会社 部品交換システムおよび部品交換装置
JP7467611B2 (ja) 2020-05-01 2024-04-15 東京エレクトロン株式会社 加工システム
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
KR20220019164A (ko) * 2020-08-07 2022-02-16 세메스 주식회사 용기 및 기판 처리 장치
JP7293517B2 (ja) 2021-02-09 2023-06-19 東京エレクトロン株式会社 基板処理システム及び搬送方法
WO2022172827A1 (ja) * 2021-02-09 2022-08-18 東京エレクトロン株式会社 基板処理システム及び搬送方法
JPWO2022172827A1 (ja) * 2021-02-09 2022-08-18
JP7461984B2 (ja) 2021-05-17 2024-04-04 セメス カンパニー,リミテッド リングキャリヤー及び基板処理システム
KR102491002B1 (ko) 2021-06-28 2023-01-27 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치
JP2023007440A (ja) * 2021-06-28 2023-01-18 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置
KR20230001567A (ko) * 2021-06-28 2023-01-05 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치
JP7450666B2 (ja) 2021-06-28 2024-03-15 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置

Also Published As

Publication number Publication date
KR102571229B1 (ko) 2023-08-24
TWI739470B (zh) 2021-09-11
TWI698381B (zh) 2020-07-11
CN107039308A (zh) 2017-08-11
TW201726509A (zh) 2017-08-01
CN111489984B (zh) 2024-04-26
US20180040492A1 (en) 2018-02-08
US10062590B2 (en) 2018-08-28
US20180019142A1 (en) 2018-01-18
CN111489984A (zh) 2020-08-04
US9881820B2 (en) 2018-01-30
KR20230128248A (ko) 2023-09-04
KR20170054253A (ko) 2017-05-17
JP6912179B2 (ja) 2021-08-04
JP2021168409A (ja) 2021-10-21
TW202035244A (zh) 2020-10-01
US20170117170A1 (en) 2017-04-27
US20180068879A1 (en) 2018-03-08
US10062589B2 (en) 2018-08-28
JP7383665B2 (ja) 2023-11-20
CN107039308B (zh) 2020-01-03

Similar Documents

Publication Publication Date Title
JP7383665B2 (ja) 正面開口式リングポッド
US10770339B2 (en) Automated replacement of consumable parts using interfacing chambers
TWI763598B (zh) 末端作用器機構及大氣轉移模組
KR20210154867A (ko) 자동화된 프로세스 모듈 링 포지셔닝 및 교체

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170208

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191002

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210608

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210708

R150 Certificate of patent or registration of utility model

Ref document number: 6912179

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150