KR20170013326A - 진공 배기 시스템 - Google Patents

진공 배기 시스템 Download PDF

Info

Publication number
KR20170013326A
KR20170013326A KR1020167036513A KR20167036513A KR20170013326A KR 20170013326 A KR20170013326 A KR 20170013326A KR 1020167036513 A KR1020167036513 A KR 1020167036513A KR 20167036513 A KR20167036513 A KR 20167036513A KR 20170013326 A KR20170013326 A KR 20170013326A
Authority
KR
South Korea
Prior art keywords
vacuum pump
vacuum
pipe
backup
pump
Prior art date
Application number
KR1020167036513A
Other languages
English (en)
Other versions
KR102154082B1 (ko
Inventor
아츠시 시오카와
데츠로 스기우라
신이치 세키구치
다카시 교타니
데츠오 고마이
노리오 기무라
게이이치 이시카와
도루 오스가
Original Assignee
가부시키가이샤 에바라 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2014112480A external-priority patent/JP6522892B2/ja
Priority claimed from JP2015063238A external-priority patent/JP6594638B2/ja
Application filed by 가부시키가이샤 에바라 세이사꾸쇼 filed Critical 가부시키가이샤 에바라 세이사꾸쇼
Publication of KR20170013326A publication Critical patent/KR20170013326A/ko
Application granted granted Critical
Publication of KR102154082B1 publication Critical patent/KR102154082B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B37/00Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00
    • F04B37/10Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00 for special use
    • F04B37/14Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00 for special use to obtain high vacuum
    • F04B37/16Means for nullifying unswept space
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B37/00Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00
    • F04B37/10Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00 for special use
    • F04B37/14Pumps having pertinent characteristics not provided for in, or of interest apart from, groups F04B25/00 - F04B35/00 for special use to obtain high vacuum
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B41/00Pumping installations or systems specially adapted for elastic fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Fluid Mechanics (AREA)
  • Compressors, Vaccum Pumps And Other Relevant Systems (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 반도체 디바이스 제조 장치 등에 사용되는 1개 또는 복수의 처리 챔버로부터 처리 가스를 배기하기 위해 사용되는 진공 배기 시스템에 관한 것이다. 진공 배기 시스템은, 복수의 처리 챔버(1)로부터 기체를 배기하기 위한 진공 장치이다. 진공 배기 시스템은, 복수의 처리 챔버(1)에 각각 접속되는 복수의 제 1 진공 펌프(5)와, 복수의 제 1 진공 펌프(5)에 접속된 집합관(7)과, 집합관(7)에 접속된 제 2 진공 펌프(8)를 구비한다.

Description

진공 배기 시스템{VACUUM EVACUATION SYSTEM}
본 발명은, 반도체 디바이스 제조 장치 등에 사용되는 1개 또는 복수의 처리 챔버로부터 처리 가스를 배기하기 위해 사용되는 진공 배기 시스템에 관한 것이다.
일반적인 반도체 디바이스 제조 장치는, 특허 문헌 1에 나타내는 바와 같이, 웨이퍼를 처리하기 위한 복수의 처리 챔버를 가지고 있다. 이들 처리 챔버 내에서는, 화학 증착(CVD), 에칭 등의 처리가 복수의 웨이퍼에 행해진다. 웨이퍼의 처리에는 원료 가스 또는 에칭 가스 등의 처리 가스가 사용되고, 진공 배기 시스템에 의해 처리 가스가 처리 챔버로부터 배기된다.
종래의 진공 배기 시스템은, 상기 특허 문헌 1에 나타내는 바와 같이, 복수의 진공 펌프 유닛이 복수의 처리 챔버에 각각 접속된 구성을 가지고 있다. 최근에는, 보다 많은 매수의 웨이퍼를 처리하기 위해, 처리 챔버의 수가 증가하는 경향이 있다. 이러한 경향에 따라, 진공 배기 시스템에 사용되는 진공 펌프의 수도 증가되고 있으며, 결과적으로, 진공 배기 시스템의 설치 스페이스의 증가 및 비용이 증가되고 있다.
통상, 반도체 디바이스 제조 장치에 접속되는 진공 펌프의 백업용에 예비 펌프(이후 백업 펌프라고 함)가 설치된다. 이 백업 펌프의 사용 목적은, 진공 펌프의 메인터넌스 시에 처리 챔버를 진공 배기하는 것, 및 진공 펌프 이상 시에 처리 챔버를 진공 배기하는 것이다.
진공 펌프는, 그 회전 속도가 미리 설정된 속도 하한값으로까지 저하되면, 회전 속도의 이상 저하를 나타내는 속도 저하 신호를 발신하는 기능을 구비하고 있다. 회전 속도의 이상 저하는, 처리 챔버로부터 흡인된 처리 가스가 진공 펌프 내에서 고화(固化)되어 퇴적물을 형성하고, 이것이 펌프 로터의 회전을 방해해 버림으로써 일어난다. 이러한 회전 속도의 이상 저하가 일어나면, 처리 챔버 내의 압력이 상승하고, 반도체 디바이스 제조 장치가 압력 이상을 검지하여 그 운전을 정지시켜 버린다.
따라서, 진공 펌프의 이상 시에 처리 챔버를 진공 배기하기 위해 상기 서술한 백업 펌프가 설치되어 있다. 진공 펌프로부터 상기 서술한 속도 저하 신호가 제어부에 송신되면, 제어부는, 백업 펌프를 처리 챔버에 접속하고, 이 백업 펌프에 의해 처리 가스를 처리 챔버로부터 배기시킨다.
그러나, 도 22에 나타내는 바와 같이, 진공 펌프로부터 상기 서술한 속도 저하 신호가 발신될 때에는, 이미 진공 펌프의 회전 속도는 크게 저하되어 있다. 결과적으로, 처리 챔버 내의 압력은, 프로세스 처리 가능한 압력 임계값의 상한을 초과해 버려, 반도체 디바이스 제조 장치의 운전이 정지될 우려가 있다.
일본국 공개특허 특개2005-232977호 공보 일본국 공개특허 특개2009-164213호 공보 일본국 특허 제4180265호 공보
본 발명은, 설치 스페이스 및 비용을 삭감할 수 있는 진공 배기 시스템을 제공하는 것을 목적으로 한다. 또한, 본 발명은, 진공 펌프의 회전 속도가 크게 저하되기 전에, 진공 배기 운전을 진공 펌프로부터 백업 펌프로 전환할 수 있는 진공 배기 시스템을 제공하는 것을 목적으로 한다.
본 발명의 일 양태는, 복수의 처리 챔버로부터 기체를 배기하기 위한 진공 배기 시스템으로서, 상기 복수의 처리 챔버에 각각 접속되는 복수의 제 1 진공 펌프와, 상기 복수의 제 1 진공 펌프에 접속된 집합관과, 상기 집합관에 접속된 제 2 진공 펌프를 구비하는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 2 진공 펌프는, 상기 복수의 제 1 진공 펌프의 근방에 배치되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 집합관 및 상기 제 2 진공 펌프는 각각 복수 설치되어 있고, 상기 복수의 집합관 모두, 상기 복수의 제 1 진공 펌프에 접속되어 있으며, 상기 복수의 제 2 진공 펌프는, 상기 복수의 집합관에 각각 접속되는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 2 진공 펌프에 연결된 제 3 진공 펌프를 더 구비하고, 상기 제 2 진공 펌프는 용적형 다단(多段) 진공 펌프인 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 복수의 집합관은, 복수의 제 1 집합관이며, 상기 복수의 제 2 진공 펌프를 상기 제 3 진공 펌프에 연결하는 제 2 집합관을 더 구비한 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 3 진공 펌프는 복수 설치되어 있으며, 상기 복수의 제 3 진공 펌프는 병렬로 배치되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 2 집합관은, 상기 복수의 제 3 진공 펌프에 각각 접속되는 복수의 분기관을 가지고 있으며, 상기 복수의 분기관에는 복수의 개폐 밸브가 각각 장착되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 집합관은, 제 1 집합관이며, 상기 진공 배기 시스템은, 복수의 배기 유닛과, 상기 제 2 진공 펌프의 하류측에 배치된 제 3 진공 펌프를 가지고, 상기 복수의 제 1 진공 펌프, 상기 제 2 진공 펌프, 및 상기 제 1 집합관은, 상기 복수의 배기 유닛 중 1개의 배기 유닛을 구성하며, 상기 복수의 배기 유닛에 포함되는 상기 복수의 제 2 진공 펌프를 상기 제 3 진공 펌프에 연결하는 제 2 집합관을 더 구비한 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 3 진공 펌프는 복수 설치되어 있고, 상기 복수의 제 3 진공 펌프의 수는, 상기 복수의 제 2 진공 펌프의 수보다 적은 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 2 집합관 및 상기 제 3 진공 펌프는 각각 복수 설치되어 있고, 상기 복수의 제 3 진공 펌프는, 병렬로 배치된 상기 복수의 제 2 집합관을 개재하여 상기 복수의 제 2 진공 펌프에 연결되는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 복수의 제 2 집합관의 각각은, 상기 복수의 제 2 진공 펌프에 각각 접속된 복수의 배기관과, 상기 복수의 배기관이 접속된 연통관과, 상기 연통관에 접속된 주관(主管)을 구비하고, 상기 복수의 배기관에는 복수의 개폐 밸브가 각각 장착되어 있으며, 상기 연통관에는 복수의 차단 밸브가 장착되어 있고, 상기 복수의 차단 밸브의 각각은, 상기 복수의 배기 유닛 중 인접하는 2개의 사이에 위치하고 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 제 2 진공 펌프는 복수 설치되어 있으며, 상기 복수의 제 2 진공 펌프는 상기 집합관에 병렬로 접속되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 집합관은, 상기 복수의 제 2 진공 펌프에 각각 접속되는 복수의 분기관을 가지고 있으며, 상기 복수의 분기관에는 복수의 개폐 밸브가 각각 장착되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 복수의 제 1 진공 펌프에 접속된 클리닝 가스 배출관과, 상기 클리닝 가스 배출관에 접속된 클리닝 가스 배출용 펌프를 더 구비하고, 상기 클리닝 가스 배출관과 상기 집합관은, 병렬로 배치되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 클리닝 가스를 처리하는 가스 처리 장치를 더 구비한 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 복수의 처리 챔버에 연결된 대기 배출관과, 상기 대기 배출관에 접속된 러핑 진공 펌프를 더 구비하고, 상기 대기 배출관과 상기 집합관은, 병렬로 배치되어 있으며, 상기 러핑 진공 펌프는, 대기압하에서 동작하는 것이 가능한 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 집합관에는 제해(除害) 장치가 장착되어 있는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 복수의 처리 챔버로부터 배기된 처리 가스를 무해화하는 가스 처리 장치를 더 구비한 것을 특징으로 한다.
본 발명의 일 양태는, 흡입관과, 상기 흡입관으로부터 분기된 분기관 및 백업관과, 상기 분기관 및 상기 백업관에 각각 장착된 개폐 밸브 및 백업 밸브와, 상기 분기관에 접속된 진공 펌프와, 상기 백업관에 접속된 백업 펌프와, 상기 개폐 밸브 및 상기 백업 밸브의 개폐 동작을 제어하는 동작 제어부를 구비하고, 상기 동작 제어부는, 상기 진공 펌프의 회전 속도를 임계값과 비교해, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 때에, 상기 백업 밸브를 개방하고, 상기 개폐 밸브를 폐쇄하도록 구성되며, 상기 진공 펌프의 회전 속도가 상기 임계값과 동등할 때의 상기 흡입측 압력은, 상기 흡입측 압력의 이상 상승을 나타내는 압력 상한값보다 낮은 것을 특징으로 하는 진공 배기 시스템이다.
본 발명의 바람직한 양태는, 상기 진공 펌프는, 그 회전 속도가 미리 설정된 속도 하한값에 도달한 경우에는, 속도 저하 신호를 상기 동작 제어부에 송신하도록 구성되어 있고, 상기 임계값은 상기 속도 하한값보다 큰 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 진공 펌프의 회전 속도가 상기 임계값 이상일 때에는, 상기 백업 펌프는 제 1 회전 속도로 운전하고, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 때에는, 상기 백업 펌프는 상기 제 1 회전 속도보다 높은 제 2 회전 속도로 운전하는 것을 특징으로 한다.
본 발명의 바람직한 양태는, 상기 동작 제어부는, 상기 백업 밸브를 개방한 후이며 상기 개폐 밸브를 폐쇄하기 전에, 상기 진공 펌프의 회전 속도를 상기 임계값과 다시 비교하여, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 경우에는, 상기 개폐 밸브를 폐쇄하는 것을 특징으로 한다.
본 발명의 다른 양태는, 흡입관과, 상기 흡입관으로부터 분기된 분기관 및 백업관과, 상기 분기관 및 상기 백업관에 각각 장착된 개폐 밸브 및 백업 밸브와, 상기 분기관에 접속된 진공 펌프와, 상기 백업관에 접속된 백업 펌프와, 상기 개폐 밸브 및 상기 백업 밸브의 개폐 동작을 제어하는 동작 제어부를 구비하고, 상기 동작 제어부는, 상기 진공 펌프의 흡입측 압력이 임계값에 도달했을 때에, 상기 백업 밸브를 개방하고, 상기 개폐 밸브를 폐쇄하도록 구성되며, 상기 임계값은, 상기 흡입측 압력의 이상 상승을 나타내는 압력 상한값보다 낮은 것을 특징으로 하는 진공 배기 시스템이다.
제 2 진공 펌프는 집합관에 의해 복수의 제 1 진공 펌프에 접속되어 있으므로, 제 2 진공 펌프의 수는, 제 1 진공 펌프의 수보다 적다. 따라서, 진공 배기 시스템 전체의 설치 스페이스를 작게 할 수 있으며, 또한 설치 비용을 낮출 수 있다.
진공 배기 동작이 진공 펌프로부터 백업 펌프로 전환될 때, 흡입측 압력, 예를 들면, 흡입관에 접속된 처리 챔버 내의 압력은, 흡입측 압력의 이상 상승을 나타내는 압력 상한값보다 낮다. 따라서, 흡입측 압력의 이상 상승을 방지하면서, 백업 펌프에 의해 진공 배기 동작을 계속할 수 있다.
도 1은, 본 발명의 일 실시 형태와 관련된 진공 배기 시스템을 나타내는 도면이다.
도 2는, 진공 배기 시스템의 다른 실시 형태를 나타내는 도면이다.
도 3은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 4는, 1개의 배기 유닛을 기동할 때의 동작을 설명하는 도면이다.
도 5는, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 6은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 7은, 도 6에 나타내는 진공 배기 시스템의 운전의 일례를 나타내는 도면이다.
도 8은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 9는, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 10은, 도 9에 나타내는 진공 배기 시스템의 운전의 일례를 나타내는 도면이다.
도 11은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다.
도 12는, 진공 배기 시스템의 일 실시 형태를 나타내는 모식도이다.
도 13은, 진공 펌프의 회전 속도와, 진공 펌프의 흡입측 압력의 시간 변화를 나타내는 그래프이다.
도 14는, 백업 밸브가 개방되고, 개폐 밸브가 폐쇄된 상태를 나타내는 도면이다.
도 15는, 진공 펌프의 회전 속도와 진공 펌프의 흡입측 압력과의 관계를 나타내는 그래프이다.
도 16은, 백업 운전을 설명하는 플로우차트이다.
도 17은, 진공 배기 시스템의 다른 실시 형태를 나타내는 모식도이다.
도 18은, 도 17에 나타내는 실시 형태에 사용되는 임계값을 설명하는 도면이다.
도 19는, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 모식도이다.
도 20은, 백업 밸브가 개방되고, 제 1 개폐 밸브가 폐쇄된 상태를 나타내는 도면이다.
도 21은, 백업 밸브가 개방되고, 제 2 개폐 밸브가 폐쇄된 상태를 나타내는 도면이다.
도 22는, 종래의 백업 운전을 설명하는 도면이다
이하, 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다.
도 1은, 본 발명의 일 실시 형태와 관련된 진공 배기 시스템을 나타내는 도면이다. 이 진공 배기 시스템은, CVD 장치, 에칭 장치 등의 반도체 디바이스 제조 장치에 사용되는 복수의 처리 챔버로부터 처리 가스를 배기하기 위해 사용된다.
도 1에 나타내는 바와 같이, 진공 배기 시스템은, 복수의 처리 챔버(1)에 각각 접속되는 복수의 제 1 진공 펌프(5)와, 복수의 제 1 진공 펌프(5)에 접속된 제 1 집합관(7)과, 제 1 집합관(7)에 접속된 제 2 진공 펌프(8)와, 복수의 처리 챔버(1)로부터 배기된 기체를 무해화하는 가스 처리 장치(10)를 구비하고 있다. 본 실시 형태에서는, 제 1 진공 펌프(5)로서, 터보 분자 펌프 등의 고진공 펌프가 사용되고 있다.
처리 챔버(1)는, 반송 챔버(12)에 접속되어 있다. 이 반송 챔버(12)에는 러핑 진공 펌프(15)가 접속되어 있고, 반송 챔버(12) 내에는 항상 진공이 형성되어 있다. 반송 챔버(12)에는 로드 록 챔버(16)가 접속되어 있고, 이 로드 록 챔버(16)에도 러핑 진공 펌프(15)가 접속되어 있다. 로드 록 챔버(16)는, 반송 챔버(12) 내의 진공을 유지하면서, 반송 챔버(12) 내의 진공 공간과 대기압 영역의 사이에서 웨이퍼의 반송을 가능하게 하는 게이트이다. 반송 챔버(12) 내에는 도시하지 않은 반송 로봇이 배치되어 있고, 웨이퍼는 이 반송 로봇에 의해 어느 처리 챔버(1)로 반송된다.
각 처리 챔버(1) 내에는, 에칭 가스 등의 처리 가스가 공급되고, 웨이퍼는 처리 챔버(1) 내에서 처리된다. 도 1에 나타내는 처리 챔버(1)는, 그 내부에서 1매의 웨이퍼가 처리되는, 이른바 매엽식 처리 챔버이다. 복수의(도 1에서는 5개의) 제 1 진공 펌프(5)는, 복수의(도 1에서는 5개의) 처리 챔버(1)에 각각 인접하여, 이들 처리 챔버(1)에 각각 접속되어 있다. 각 처리 챔버(1) 내의 진공은 제 1 진공 펌프(5)의 운전에 의해 형성된다. 1개의 처리 챔버(1)에 복수의 제 1 진공 펌프(5)가 접속되는 경우도 있다.
본 실시 형태에서는, 1개의 제 2 진공 펌프(8)가 설치되어 있다. 제 2 진공 펌프(8)는 제 1 집합관(7)에 접속되어 있다. 제 2 진공 펌프(8)는, 제 1 집합관(7)을 통하여 복수의 제 1 진공 펌프(5) 전체에 연결되어 있다. 제 1 집합관(7)은, 복수의 제 1 진공 펌프(5)에 각각 접속된 복수의 배기관(20)과, 이들 배기관(20)이 접속된 1개의 수평관(연통관)(21)과, 수평관(21)에 접속된 1개의 주관(22)을 구비하고 있다. 주관(22)은 제 2 진공 펌프(8)의 흡인구에 접속되어 있다. 제 1 집합관(7)의 배기관(20)에는 개폐 밸브(24)가 장착되어 있다. 제 2 진공 펌프(8)는 제 1 집합관(7)에 의해 제 1 진공 펌프(5)에 접속되어 있으므로, 제 2 진공 펌프(8)의 수는, 제 1 진공 펌프(5)의 수보다 적다.
제 2 진공 펌프(8)로서는, 용적식 진공 펌프(예를 들면, 다단 내지는 단단(單段) 루트형 진공 펌프, 다단 내지는 단단 클로우(claw)형 진공 펌프, 스크루형 진공 펌프 또는 그들을 복합시킨 펌프)가 사용되고 있다. 제 2 진공 펌프(8)는, 제 1 진공 펌프(5)의 배압을 몇 십 Pa로 유지함과 함께, 몇 천 Pa(예를 들면 1000~5000Pa)로까지 처리 가스를 압축한다.
제 2 진공 펌프(8)의 하류측에는 복수의(도 1에서는 2개의) 제 3 진공 펌프(28)가 병렬로 배치되어 있다. 이들 제 3 진공 펌프(28)는 제 2 진공 펌프(8)에 연결되어 있다. 제 2 진공 펌프(8)는 주관(38)에 접속되어 있고, 주관(38)에는 복수의 분기관(39)이 접속되어 있다. 복수의 제 3 진공 펌프(28)는, 복수의 분기관(39)에 각각 접속되어 있다. 각 분기관(39)에는 개폐 밸브(43)가 장착되어 있다.
본 실시 형태에서는, 2대의 제 3 진공 펌프(28)가 설치되어 있다. 2개의 제 3 진공 펌프(28)의 양방을 운전해도 되고, 또는 2개의 제 3 진공 펌프(28) 중 일방만을 운전하고, 타방을 예비 펌프로서 사용해도 된다. 2개의 제 3 진공 펌프(28)가 동시에 운전되는 경우에는 양방의 개폐 밸브(43)가 개방되고, 1개의 제 3 진공 펌프(28)만이 운전되는 경우에는 일방의 개폐 밸브(43)만이 개방된다. 이와 같이, 2개의 제 3 진공 펌프(28)가 병렬로 배치되어 있으므로, 일방의 제 3 진공 펌프(28)가 고장난 경우에는, 타방의 제 3 진공 펌프(28)로 전환함으로써, 진공 배기 시스템 전체의 운전을 계속할 수 있다.
복수의 제 3 진공 펌프(28)에는 불활성 가스 공급 장치(47)가 접속되어 있다. 이 불활성 가스 공급 장치(47)는, 질소 가스 등의 불활성 가스를 제 3 진공 펌프(28)에 공급함으로써, 처리 가스를 희석하여, 제 3 진공 펌프(28)의 부식을 방지하기 위한 것이다. 종래의 진공 배기 시스템에서는, 처리 챔버(1)의 수와 동일한 수의 진공 펌프 유닛이 설치되어 있기 때문에, 다량의 불활성 가스를 진공 펌프 유닛에 공급할 필요가 있었다. 이에 대하여, 도 1에 나타내는 실시 형태의 진공 배기 시스템은, 처리 챔버(1)보다 적은 수의 제 3 진공 펌프(28)를 구비하고 있다. 따라서, 보다 적은 양의 불활성 가스가 처리 가스에 주입되어, 후술하는 배기 가스 처리 장치(10)에 대한 부하를 저감할 수 있다.
제 3 진공 펌프(28)로서는, 용적식 진공 펌프(예를 들면, 다단 내지는 단단 루트형 진공 펌프, 다단 내지는 다단 클로우형 진공 펌프, 스크루형 진공 펌프 또는 그들의 복합 펌프)가 사용되고 있다. 제 3 진공 펌프(28)는, 제 2 진공 펌프(8)의 배압을 몇 천 Pa(예를 들면 1000~5000Pa)로 유지함과 함께, 대기압으로까지 처리 가스를 압축한다. 본 실시 형태에서는, 복수의 제 3 진공 펌프(28)가 설치되어 있지만, 1개의 제 3 진공 펌프(28)만을 형성해도 된다.
가스 처리 장치(10)는, 제 3 진공 펌프(28)의 하류측에 배치되어 있다. 처리 챔버(1) 내에서 사용되는 처리 가스는, CVD에 사용되는 원료 가스, 또는 드라이 에칭 처리에 사용되는 에칭 가스 등의 유해 가스이며, 이러한 처리 가스를 그대로 대기에 방출할 수는 없다. 따라서, 처리 가스를 처리하여 무해화하기 위해 가스 처리 장치(10)가 설치되어 있다. 본 실시 형태에서는, 3개의 가스 처리 장치(10)가 병렬로 설치되어 있다. 가스 처리 장치(10)는, 집합관(50)을 개재하여 제 3 진공 펌프(28)에 연결되어 있다. 집합관(50)은 2개의 제 3 진공 펌프(28)에 접속되는 2개의 배기관(51)을 가지고 있으며, 이들 배기관(51)에는 개폐 밸브(53)가 장착되어 있다.
각 가스 처리 장치(10)는, 상단 습식 제해 장치(61), 촉매식 제해 장치(62), 및 하단 습식 제해 장치(63)를 구비하고 있으며, 이들 3개의 제해 장치(61, 62, 63)는 이 순서로 직렬로 배열되어 있다. 반도체 디바이스 제조 장치로부터는, TMAH(수산화 테트라메틸암모늄) 등의 알칼리 폐수가 배출된다. 통상, 이 알칼리 폐수는 폐기되는 것이지만, 본 실시 형태에서는 이 알칼리 폐수를 이용하여 처리 가스를 처리한다. 반도체 디바이스 제조 장치로부터 배출되는 알칼리 폐수는, 상단 습식 제해 장치(61) 및 하단 습식 제해 장치(63)에 공급되고, 이들 습식 제해 장치(61, 63)는, 알칼리 폐수를 이용하여 처리 가스에 포함되는 브롬화수소 등의 산성 가스를 제거한다. 이와 같이 알칼리 폐수를 사용함으로써, 습식 제해 장치(61, 63)에서의 러닝 코스트를 저감할 수 있다.
습식 제해 장치(61, 63)는, 건식 제해 장치에 비해 다량의 가스의 처리를 할 수 있어, 러닝 코스트가 낮다고 하는 이점이 있다. 상단 습식 제해 장치(61)는, 주로, 에칭 처리에 사용되는 가스, 예를 들면 브롬화수소(HBr), 브롬(Br2), 염소 가스(Cl2) 등을 제거한다. 촉매식 제해 장치(62)는, 처리 가스에 포함되는 유해한 PFCs(퍼플루오로컴파운즈) 가스를 분해하기 위해 설치되어 있다. 이 촉매식 제해 장치(62)는, 연소식 제해 장치, 플라즈마 히터식 제해 장치에 비해, 낮은 온도에서 가스를 처리할 수 있으므로, 러닝 코스트가 낮다고 하는 이점이 있다. 다만, 제해 장치 대수를 삭감한다는 목적에 있어서는, 상단 습식 제해 장치(61), 촉매식 제해 장치(62), 및 하단 습식 제해 장치(63)는, 연소식 제해 장치, 히터식 제해 장치, 플라즈마식 제해 장치 등 타처리 방식이어도 된다.
PFCs(퍼플루오로컴파운즈) 가스를 분해하면, HF(불화수소) 등의 산성 가스가 발생한다. 이 산성 가스를 제거하기 위해, 촉매식 제해 장치(62)의 하류측에 하단 습식 제해 장치(63)가 설치되어 있다. 이 하단 습식 제해 장치(63)는, 상기 서술한 바와 같이, 반도체 디바이스 제조 장치로부터 배출되는 알칼리 폐수를 이용하여 산성 가스를 제거한다. 하단 습식 제해 장치(63)로서 물 샤워를 사용해도 된다. 또한, 산성 가스의 농도가 배출 농도 규제값을 초과하지 않으면 하단 습식 제해 장치(63)를 생략해도 된다.
본 실시 형태에서는 3개의 가스 처리 장치(10)가 병렬로 설치되어 있기 때문에, 처리해야 할 처리 가스의 유량에 의거하여 3개 모두의 가스 처리 장치(10)를 운전해도 되고, 1개, 또는 2개의 가스 처리 장치(10)만을 운전해도 된다.
상기 서술한 바와 같이, 종래의 진공 배기 시스템에 비해, 제 3 진공 펌프(28)의 대수는 적으므로, 제 3 진공 펌프(28)에 공급되는 불활성 가스의 양도 적다. 따라서, 가스 처리 장치(10)에서 처리해야 할 처리 가스의 양이, 종래의 진공 배기 시스템에 비해 적게 할 수 있다. 결과적으로, 가스 처리 장치(10) 전체적으로 보다 소형화할 수 있다.
본 실시 형태에서는, 처리 챔버(1)에 직접 접속되는 제 1 진공 펌프(5)는, 터보 분자 펌프 등의 고진공 펌프이고, 제 1 진공 펌프(5)에 연결되는 제 2 진공 펌프(8)는, 용적식 다단 진공 펌프로 이루어지는 부스터 펌프이며, 제 2 진공 펌프(8)에 연결되는 제 3 진공 펌프(28)는, 용적식 다단 진공 펌프로 이루어지는 메인 펌프이다.
도 1에 나타내는 바와 같이, 처리 챔버(1), 제 1 진공 펌프(5), 및 제 2 진공 펌프(8)는, 동일한 제 1 룸(예를 들면 클린 룸) 내에 배치되어 있다. 제 3 진공 펌프(28) 및 가스 처리 장치(10)는, 제 1 룸과는 떨어진 별도의 룸(제 2 룸)에 배치되어 있다. 예를 들면, 제 1 룸은 위층에 있고, 제 2 룸은 아래층에 있다. 제 1 진공 펌프(5)와 제 2 진공 펌프(8)는 동일한 제 1 실내에 배치되어 있으므로, 제 2 진공 펌프(8)를 제 1 진공 펌프(5)에 연결하는 제 1 집합관(7)을 짧게 할 수 있다. 제 2 진공 펌프(8)는 제 1 진공 펌프(5)의 가까이 배치되어 있다. 바람직하게는, 제 1 진공 펌프(5)와 제 2 진공 펌프(8)의 거리는, 1m~5m이며, 더 바람직하게는 1m~2m이다.
종래의 진공 배기 시스템에서는, 제 1 진공 펌프와 제 2 진공 펌프는 각각의 층에 배치되고, 제 1 진공 펌프와 제 2 진공 펌프의 거리는 약 10m였지만, 본 실시 형태에서는 제 1 진공 펌프(5)와 제 2 진공 펌프(8)의 거리는 약 2m이다. 결과적으로 배관 컨덕턴스가 향상되어, 제 2 진공 펌프(8)에 요구되는 용량을 작게 할 수 있다. 따라서, 제 2 진공 펌프의 대수를 절감할 수 있다.
상기 서술한 바와 같이, 제 2 진공 펌프(8)는 부스터 펌프로서 기능한다. 통상, 부스터 펌프는 용적식 단단 진공 펌프가 사용되지만, 본 실시 형태에서는, 용적식 다단 진공 펌프가 채용되고 있다. 용적식 다단 진공 펌프는, 동작 가능한 압력 범위가 단단 진공 펌프에 비해 넓다. 바꿔 말하면, 제 2 진공 펌프(8)가 운전되기 위해 필요로 하는 배압은, 단단 진공 펌프에 비해 높다. 따라서, 하류측에 연결되는 메인 펌프인 제 3 진공 펌프(28)로서, 보다 소형의 진공 펌프를 사용할 수 있고, 나아가서는 제 3 진공 펌프(28)의 대수를 줄일 수 있다.
복수의 처리 챔버(1)에는, 대기 배출관(30)이 접속되어 있다. 이 대기 배출관(30)은 복수의 제 3 진공 펌프(28)에 접속되어 있다. 대기 배출관(30)에는, 처리 챔버(1)에 연통하는 복수의 개폐 밸브(32)가 장착되어 있다. 또한, 대기 배출관(30)에는, 복수의 처리 챔버(1)와, 대기 배출관(30)이 접속된 제 3 진공 펌프(28)의 연통을 확립 및 차단하는 개폐 밸브(40)가 설치되어 있다. 통상의 운전 시에는, 이들 개폐 밸브(32, 40)는 폐쇄되어 있다.
처리 챔버(1)로부터 대기를 배출해야 할 때, 개폐 밸브(32, 40)가 개방된다. 예를 들면, 복수의 처리 챔버(1) 중 어느 처리 챔버(1)의 메인터넌스가 종료된 후, 처리 챔버(1)를 진공화할 필요가 있다. 이 때, 처리 챔버(1)로부터 대기를 제 1 진공 펌프(5), 제 2 진공 펌프(8), 및 제 3 진공 펌프(28)로 배기하면, 대기가 다른 처리 챔버(1)에 침입할 우려가 있다. 따라서, 대기로 채워진 처리 챔버(1)에 연통되어 있는 개폐 밸브(32)만을 개방하고, 또한 개폐 밸브(40)를 개방함과 함께, 2개의 개폐 밸브(43) 중 일방을 폐쇄한다. 그리고, 대기 배출관(30)이 접속된 제 3 진공 펌프(28)를 시동시킨다. 처리 챔버(1) 내의 대기는 대기 배출관(30)을 통하여 제 3 진공 펌프(28)에 의해 배기되고, 한편 다른 처리 챔버(1)에서는 웨이퍼의 처리를 계속할 수 있다.
도 2는, 진공 배기 시스템의 다른 실시 형태를 나타내는 도면이다. 본 실시 형태에서는, 2개의 제 2 진공 펌프(8)가 설치되어 있다. 이들 제 2 진공 펌프(8)는 병렬로 배치되고, 2개의 제 1 집합관(7)에 각각 접속되어 있다. 제 2 진공 펌프(8)의 각각은, 제 1 집합관(7)을 통하여 복수의 제 1 진공 펌프(5) 전체에 연결되어 있다. 각 제 1 집합관(7)은, 복수의 제 1 진공 펌프(5)에 각각 접속된 복수의 배기관(20)과, 이들 배기관(20)이 접속된 1개의 수평관(연통관)(21)과, 수평관(21)에 접속된 1개의 주관(22)을 구비하고 있다. 주관(22)은 제 2 진공 펌프(8)의 흡인구에 접속되어 있다. 본 실시 형태에서는, 2개의 제 2 진공 펌프(8)가 병렬로 배치되고, 이들 2개의 제 2 진공 펌프(8)에 각각 접속된 2개의 제 1 집합관(7)도 병렬로 배치되어 있다.
2개의 제 2 진공 펌프(8) 중 일방은 예비 펌프로서 기능하여, 통상은 운전되지 않는다. 따라서, 예비 펌프로서의 제 2 진공 펌프(8)에 연통하는 개폐 밸브(24)는 폐쇄되어 있다. 타방의 제 2 진공 펌프(8)가 고장난 경우에는, 이 고장난 제 2 진공 펌프(8)에 연통하는 개폐 밸브(24)가 폐쇄되고, 한편, 예비 펌프로서의 제 2 진공 펌프(8)가 기동되며, 이에 연통하는 개폐 밸브(24)가 개방된다.
이와 같이, 2개의 제 2 진공 펌프(8) 및 2개의 제 1 집합관(7)이 병렬로 배치되어 있으므로, 만일, 일방의 제 2 진공 펌프(8)가 고장난 경우라도, 타방의 제 2 진공 펌프(8)로 전환함으로써, 진공 배기 시스템 전체의 운전을 계속할 수 있다. 제 2 진공 펌프(8)는 제 1 집합관(7)에 의해 제 1 진공 펌프(5)에 접속되어 있으므로, 제 2 진공 펌프(8)의 수는, 제 1 진공 펌프(5)의 수보다 적다.
복수의 제 3 진공 펌프(28)는, 제 2 집합관(35)을 개재하여 복수의 제 2 진공 펌프(8)에 연결되어 있다. 제 2 집합관(35)은, 복수의 제 2 진공 펌프(8)에 각각 접속되는 복수의 배기관(36)과, 이들 배기관(36)이 접속된 1개의 수평관(연통관)(37)과, 수평관(37)에 접속된 1개의 주관(38)과, 주관(38)에 접속된 복수의 분기관(39)을 가진다. 복수의 제 3 진공 펌프(28)는, 복수의 분기관(39)에 각각 접속되어 있다.
복수의 처리 챔버(1)에는, 대기 배출관(30)이 접속되어 있고, 이 대기 배출관(30)에는 러핑 진공 펌프(31)가 접속되어 있다. 러핑 진공 펌프(31)는, 대기압하에서 동작하는 것이 가능하도록 구성되어 있다. 대기 배출관(30)에는, 처리 챔버(1)에 연통하는 복수의 개폐 밸브(32)가 장착되어 있다. 통상의 운전 시에는, 이들 개폐 밸브(32)는 폐쇄되어 있고, 러핑 진공 펌프(31)는 정지되어 있다.
러핑 진공 펌프(31)는, 처리 챔버(1)로부터 대기를 배출하기 위해 사용된다. 구체적으로는, 대기로 채워진 처리 챔버(1)에 연통하고 있는 개폐 밸브(32)만을 개방하여, 러핑 진공 펌프(31)를 시동시킨다. 처리 챔버(1) 내의 대기는 대기 배출관(30)을 통하여 러핑 진공 펌프(31)에 의해 배기되고, 한편 다른 처리 챔버(1)에서는 웨이퍼의 처리를 계속할 수 있다.
도 3은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 1에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 도 3에 나타내는 기호 TL은, 도 1에 나타내는 5개의 처리 챔버(1), 5개의 제 1 진공 펌프(5), 반송 챔버(12), 2개의 로드 록 챔버(16), 및 러핑 진공 펌프(15)를 포함하는 조립체를 모식적으로 나타내고 있다. 바꿔 말하면, 도 3에 나타내는 각 조립체 TL은, 5개의 처리 챔버(1), 5개의 제 1 진공 펌프(5), 반송 챔버(12), 2개의 로드 록 챔버(16), 및 러핑 진공 펌프(15)를 포함하고 있다.
도 3에 나타내는 바와 같이, 복수의(도 3에서는 6개의) 배기 유닛(70)이 병렬로 배열되어 있다. 각 배기 유닛(70)은, 5개의 제 1 진공 펌프(5), 1개의 제 2 진공 펌프(8), 1개의 제 1 집합관(7), 및 제 1 집합관(7)에 장착된 개폐 밸브(24)로 구성되어 있다. 복수의 배기 유닛(70)에 포함되는 복수의 제 2 진공 펌프(8)는, 제 2 집합관(35)을 개재하여 복수의 제 3 진공 펌프(28)에 연결되어 있다. 본 실시 형태에서는, 3대의 제 3 진공 펌프(28)가 병렬로 배치되어 있다.
제 2 집합관(35)은, 복수의 배기 유닛(70)에 포함되는 모든 제 2 진공 펌프(8)에 각각 접속된 복수의 배기관(36)과, 이들 배기관(36)이 접속된 1개의 수평관(연통관)(37)과, 수평관(37)에 접속된 1개의 주관(38)과, 주관(38)에 접속된 복수의(도 3에서는 3개의) 분기관(39)을 가진다. 복수의 제 3 진공 펌프(28)는, 복수의 분기관(39)에 각각 접속되어 있다. 각 배기관(36)에는 개폐 밸브(42)가 장착되어 있으며, 마찬가지로, 각 분기관(39)에도 개폐 밸브(43)가 장착되어 있다. 수평관(37)에는, 복수의 차단 밸브(73)가 장착되어 있다. 각 차단 밸브(73)는, 복수의 배기 유닛(70) 중 인접하는 2개의 사이에 위치하고 있다.
본 실시 형태에서는, 3대의 제 3 진공 펌프(28) 전체가 운전된다. 3대의 제 3 진공 펌프(28) 중 1대가 고장 또는 메인터넌스를 위해 정지한 경우에는, 다른 2대의 제 3 진공 펌프(28)에 의해 처리 가스의 배기가 계속된다. 이와 같이 복수의 제 3 진공 펌프(28)를 병렬로 배치함으로써, 펌프 고장 또는 펌프 메인터넌스 중에도 진공 배기 시스템 전체의 운전을 계속할 수 있다.
본 실시 형태에서는, 각 가스 처리 장치(10)는, 습식 제해 장치(61) 및 촉매식 제해 장치(62)를 구비하고 있다. 가스 처리 장치(10)와 제 3 진공 펌프(28)를 연결하는 집합관(50)은, 분기관(54) 및 바이패스 배기 라인(55)을 구비하고 있다. 분기관(54)은, 가스 처리 장치(10)에 각각 접속되어 있다. 이들 분기관(54)에는 개폐 밸브(56)가 장착되어 있고, 바이패스 배기 라인(55)에는 개폐 밸브(57)가 장착되어 있다. 바이패스 배기 라인(55)은, 통상은 개폐 밸브(57)에 의해 폐쇄되어 있다.
도 4는, 1개의 배기 유닛(70)을 시동할 때의 동작을 설명하는 도이다. 이하의 설명에서는, 이 배기 유닛(70)을 배기 유닛(70')이라고 칭한다. 이 배기 유닛(70')의 제 2 진공 펌프(8)의 출구는, 개시 배관(72)을 개재하여 집합관(50)에 연결된다. 배기 유닛(70')의 개시 운전 중에는, 배기 유닛(70')에 연통하는 개폐 밸브(42)는 폐쇄된다. 바이패스 배기 라인(55)에 장착된 개폐 밸브(57)는 폐쇄된 채이다. 개시 배관(72)에는 개시용 펌프(74)가 설치되고, 이 개시용 펌프(74)에 의해 개시 시의 처리 가스가 배기 유닛(70')으로부터 배기되어, 집합관(50)을 통하여 가스 처리 장치(10)로 보내진다.
배기 유닛(70')의 개시 운전은, 다른 배기 유닛(70)의 배기 운전과는 독립된 배기 루트를 통하여 행해진다. 따라서, 다른 배기 유닛(70)의 배기 운전에 영향을 주지 않고, 배기 유닛(70')의 개시 운전을 실행할 수 있다.
배기 유닛(70')의 개시 운전이 종료된 후, 개시 배관(72) 및 개시용 펌프(74)가 분리된다. 이어서, 러핑 진공 펌프(31)를 구동하여 배기 유닛(70')에 접속된 처리 챔버(1)(도 1 참조)를 진공 배기한다. 그리고 배기 유닛(70')에 연통하는 개폐 밸브(42)를 개방한다. 이러한 운전에 의해, 배기 유닛(70')으로부터 배기되는 가스가 다른 배기 유닛(70)으로 침입하는 일 없이, 배기 유닛(70')을 다른 배기 유닛(70)에 연결할 수 있다.
도 5는, 진공 배기 시스템의 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 3에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 각 배기 유닛(70)은, 도 2에 나타내는 실시 형태와 동일하게, 병렬로 배치된 2개의 제 2 진공 펌프(8)와, 병렬로 배치된 2개의 제 1 집합관(7)을 구비하고 있다. 즉, 각 배기 유닛(70)은, 5개의 제 1 진공 펌프(5), 2개의 제 2 진공 펌프(8), 2개의 제 1 집합관(7), 및 제 1 집합관(7)에 장착된 개폐 밸브(24)로 구성되어 있다. 복수의 배기 유닛(70)에 포함되는 복수의 제 2 진공 펌프(8)는, 제 2 집합관(35)을 개재하여 복수의 제 3 진공 펌프(28)에 연결되어 있다. 본 실시 형태에서는, 3대의 제 3 진공 펌프(28)가 병렬로 배치되어 있다.
도 6은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 5에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 본 실시 형태에서는, 2개의 제 2 집합관(35)이 병렬로 배치되어 있다. 2개의 제 2 집합관(35)의 각각은 복수의(도 6에서는 4개의) 배기 유닛(70)에 포함되는 모든 제 2 진공 펌프(8)에 접속되어 있다. 또한, 2개의 제 2 집합관(35)에는, 각각 복수의(도 6에서는 2대의) 제 3 진공 펌프(28)가 접속되어 있고, 또한 제 3 진공 펌프(28)에는 각각 배기 가스 처리 장치(10)가 연결되어 있다.
각 제 2 집합관(35)은, 복수의 배기 유닛(70)에 포함되는 모든 제 2 진공 펌프(8)에 각각 접속된 복수의 배기관(36)과, 이들 배기관(36)이 접속된 1개의 수평관(연통관)(37)과, 수평관(37)에 접속된 1개의 주관(38)과, 주관(38)에 접속된 복수의(도 6에서는 2개의) 분기관(39)을 가진다. 복수의 제 3 진공 펌프(28)는, 복수의 분기관(39)에 각각 접속되어 있다. 각 배기관(36)에는 개폐 밸브(42)가 장착되어 있으며, 마찬가지로, 각 분기관(39)에도 개폐 밸브(43)가 장착되어 있다. 수평관(37)에는, 복수의 차단 밸브(73)가 장착되어 있다. 각 차단 밸브(73)는, 복수의 배기 유닛(70) 중 인접하는 2개의 사이에 위치하고 있다.
도 6에 나타내는 실시 형태는, 개폐 밸브(42) 및 차단 밸브(73)가 장착된 2개의 제 2 집합관(35)과, 이들 제 2 집합관(35)에 각각 연결된 2세트의 제 3 진공 펌프(28)와, 이들 2세트의 제 3 진공 펌프(28)에 각각 연결된 가스 처리 장치(10)를 가지고 있다. 이러한 구성에 의해, 복수의 배기 유닛(70) 중 어느 배기 유닛(70)을 메인터넌스 하는 경우, 그 배기 유닛(70)과 다른 배기 유닛(70)의 연통을 차단할 수 있다.
또한, 도 7에 나타내는 바와 같이, 어느 배기 유닛(70)만을 2개의 제 2 집합관(35) 중 일방에 연통시키고, 다른 배기 유닛(70)을 타방의 제 2 집합관(35)에 연통시킬 수 있다. 이러한 경우, 어느 배기 유닛(70)의 배기 속도를, 다른 배기 유닛(70)의 배기 속도보다 높게 할 수 있다. 또한, 어느 배기 유닛(70)에서 배기되는 처리 가스의 종류는, 다른 배기 유닛(70)에서 배기되는 처리 가스의 종류와 상이해도 된다.
도 8은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 1에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 도 8에 나타내는 처리 챔버(1)는, 그 내부에서 복수의 웨이퍼가 처리되는, 이른바 배치식 처리 챔버이다. 각 처리 챔버(1) 내에는, CVD의 원료 가스 등의 처리 가스가 공급되고, 복수의 웨이퍼는 처리 챔버(1) 내에서 처리된다.
복수의 처리 챔버(1)에는, 복수의 제 1 진공 펌프(5)가 각각 연결되어 있다. 이들 제 1 진공 펌프(5)는, 예를 들면 터보 분자 펌프, 용적식 진공 펌프(예를 들면, 루트형 진공 펌프, 클로우형 진공 펌프 또는 스크루형 진공 펌프) 등이다. 각 처리 챔버(1) 내의 진공은 제 1 진공 펌프(5)의 운전에 의해 형성된다. 이들 제 1 진공 펌프(5)는, 집합관(7)을 개재하여 제 2 진공 펌프(8)에 연결되어 있다.
본 실시 형태에서는, 3개의 제 2 진공 펌프(8)가 설치되어 있다. 이들 제 2 진공 펌프(8)는 병렬로 배치되고, 집합관(7)에 각각 접속되어 있다. 제 2 진공 펌프(8)의 각각은, 집합관(7)을 통하여 복수의 제 1 진공 펌프(5) 전체에 연결되어 있다. 집합관(7)은, 복수의 제 1 진공 펌프(5)에 각각 접속된 복수의 배기관(20)과, 이들 배기관(20)이 접속된 1개의 수평관(연통관)(21)과, 수평관(21)에 접속된 1개의 주관(22)과, 주관(22)에 접속된 복수의 분기관(23)을 가진다. 복수의 제 2 진공 펌프(8)는, 복수의 분기관(23)에 각각 접속되어 있다. 각 배기관(20)에는 개폐 밸브(24)가 장착되어 있으며, 마찬가지로, 각 분기관(23)에도 개폐 밸브(25)가 장착되어 있다.
제 2 진공 펌프(8)로서는, 처리 가스에 대해 내성을 가지는 진공 펌프가 사용된다. 본 실시 형태에서는, 3대의 제 2 진공 펌프(8) 전체가 운전된다. 3대의 제 2 진공 펌프(8) 중 1대가 고장 또는 메인터넌스 때문에 정지된 경우에는, 다른 2대의 제 2 진공 펌프(8)에 의해 처리 가스의 배기가 계속된다. 이와 같이 복수의 제 2 진공 펌프(8)를 병렬로 배치함으로써, 펌프 고장 또는 펌프 메인터넌스 중에도 진공 배기 시스템 전체의 운전을 계속할 수 있다.
가스 처리 장치(10)는, 제 2 진공 펌프(8)의 하류측에 배치되어 있다. 본 실시 형태에서는, 2개의 가스 처리 장치(10)가 병렬로 설치되어 있다. 가스 처리 장치(10)는, 집합관(50)을 개재하여 제 2 진공 펌프(8)에 연결되어 있다. 각 가스 처리 장치(10)는, 연소식 제해 장치(101)와, 습식 제해 장치(102)를 가지고 있다. 이들 연소식 제해 장치(101)와 습식 제해 장치(102)는, 이 순서로 직렬로 배치되어 있다.
연소식 제해 장치(101)는, CVD(화학 증착)에 사용되는 실란 가스(SiH4)을 제거하기 위해 설치되어 있고, 습식 제해 장치(102)는, 처리 가스의 연소에 의해 발생한 SiO2로 이루어지는 분체(粉體)나 산성 가스를 제거하기 위해 설치되어 있다. 연소식 제해 장치(101)는, 히터식 제해 장치, 플라즈마식 제해 장치여도 된다. 또한, 분체 배출량이 규정값을 초과하지 않을 경우나 산성 가스의 농도가 배출 농도 규제값을 초과하지 않으면 습식 제해 장치(102)를 생략해도 된다.
복수의 제 1 진공 펌프(5)에는, 클리닝 가스 배출관(80)을 개재하여 복수의 클리닝 가스 배출용 펌프(81)가 연결되어 있다. 본 실시 형태에서는, 3개의 클리닝 가스 배출용 펌프(81)가 설치되어 있다. 클리닝 가스 배출관(80)은 집합관의 형상을 가지고 있다. 구체적으로는, 클리닝 가스 배출관(80)은, 복수의 제 1 진공 펌프(5)에 각각 접속된 복수의 배기관(84)과, 이들 배기관(84)이 접속된 1개의 수평관(연통관)(85)과, 수평관(85)에 접속된 1개의 주관(86)과, 주관(86)에 접속된 복수의 분기관(87)을 가진다. 복수의 클리닝 가스 배출용 펌프(81)는, 복수의 분기관(87)에 각각 접속되어 있다. 각 배기관(84)에는 개폐 밸브(91)가 장착되어 있으며, 마찬가지로, 각 분기관(87)에도 개폐 밸브(92)가 장착되어 있다.
처리 챔버(1) 내에서 CVD 처리가 행해질 경우, 처리 가스로서 사용되는 원료 가스에 포함되는 원료가 처리 챔버(1)의 내부에 퇴적된다. 따라서, 처리 챔버(1)의 내부를 클리닝하기 위해, 클리닝 가스가 처리 챔버(1) 내에 공급된다. 클리닝 가스는, 제 1 진공 펌프(5) 및 클리닝 가스 배출용 펌프(81)에 의해 처리 챔버(1)로부터 배기된다. 클리닝 가스 배출용 펌프(81)로서는, 클리닝 가스에 대해 내성을 가지는 진공 펌프가 사용된다.
클리닝 가스 배출용 펌프(81)로부터 배기된 클리닝 가스를 무해화하기 위한 배기 가스 처리 장치(118)가, 클리닝 가스 배출용 펌프(81)의 하류측에 설치되어 있다. 이 배기 가스 처리 장치(118)는, 습식 제해 장치(119)로 구성되어 있다. 또한, 배기 가스 처리 장치(118)는, 연소식 제해 장치 등 다른 처리 방식이어도 된다. 배기 가스 처리 장치(118)는, 집합관(110)을 개재하여 클리닝 가스 배출용 펌프(81)에 연결되어 있다. 집합관(110)은 복수의 클리닝 가스 배출용 펌프(81)에 접속되는 복수의 배기관(111)을 가지고 있고, 이들 배기관(111)에는 개폐 밸브(112)가 장착되어 있다.
복수의 제 1 진공 펌프(5)에는, 대기 배출관(30)을 개재하여 복수의 러핑 진공 펌프(31)가 연결되어 있다. 본 실시 형태에서는, 2개의 러핑 진공 펌프(31)가 설치되어 있다. 대기 배출관(30)은 집합관의 형상을 가지고 있다. 구체적으로는, 대기 배출관(30)은, 복수의 제 1 진공 펌프(5)에 각각 접속된 복수의 배기관(33)과, 이들 배기관(33)이 접속된 1개의 수평관(연통관)(34)과, 수평관(34)에 접속된 1개의 주관(41)과, 주관(41)에 접속된 복수의 분기관(45)을 가진다. 복수의 러핑 진공 펌프(31)는, 복수의 분기관(45)에 각각 접속되어 있다. 각 배기관(33)에는 개폐 밸브(32)가 장착되어 있으며, 마찬가지로, 각 분기관(45)에도 개폐 밸브(95)가 장착되어 있다.
러핑 진공 펌프(31)는, 대기압하에서 동작하는 것이 가능하게 구성되어 있다. 통상의 운전 시에는, 대기 배출관(30)에 장착된 모든 개폐 밸브(32, 95)는 폐쇄되어 있고, 러핑 진공 펌프(31)는 정지되어 있다. 러핑 진공 펌프(31)는, 처리 챔버(1)로부터 대기를 배출하기 위해 사용된다. 예를 들면, 어느 처리 챔버(1)의 메인터넌스가 종료된 후, 대기로 채워진 처리 챔버(1)에 연통하고 있는 개폐 밸브(32)만을 개방함과 함께, 개폐 밸브(95)를 개방하고, 또한 러핑 진공 펌프(31)를 시동시킨다. 처리 챔버(1) 내의 대기는 대기 배출관(30)을 통하여 러핑 진공 펌프(31)에 의해 배기된다. 러핑 진공 펌프(31)의 하류측에는, 가스 처리 장치(10)는 설치되어 있지 않다.
상기 서술한 집합관(7), 클리닝 가스 배출관(80), 및 대기 배출관(30)은, 병렬로 배치되어 있다. 처리 가스, 클리닝 가스, 및 대기는, 각각의 루트를 통하여 각각의 진공 펌프(8, 81, 31)에 의해 배출된다. 따라서, 제 2 진공 펌프(8), 클리닝 가스 배출용 펌프(81), 및 러핑 진공 펌프(31)로서, 배기해야 할 가스의 종류에 의거하여 최적의 진공 펌프를 선택할 수 있다. 또한, 배기해야 할 가스의 종류에 의거하여 최적의 제해 장치를 선택할 수 있다.
제 1 진공 펌프(5)는 제 1 룸(예를 들면 클린 룸) 내에 배치되어 있으며, 제 2 진공 펌프(8), 클리닝 가스 배출용 펌프(81), 및 러핑 진공 펌프(31)는, 클린 룸과는 다른 룸(제 2 룸)에 배치되어 있다. 예를 들면, 제 1 룸은 위층에 있고, 제 2 룸은 아래층에 있다. 도 1에 나타내는 실시 형태와 같이, 제 1 진공 펌프(5)는, 처리 챔버(1)에 인접하게 배치되어 있다.
도 9는, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 8에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 본 실시 형태에서는, 2개의 집합관(7)이 병렬로 배치되어 있고, 2개의 제 2 진공 펌프(8)도 병렬로 배치되어 있다. 이들 제 2 진공 펌프(8)는, 이들 집합관(7)을 통하여 복수의 제 1 진공 펌프(5)에 연결되어 있다. 2개의 제 2 진공 펌프(8)에는, 2개의 가스 처리 장치(10)가 각각 연결되어 있다. 가스 처리 장치(10)로서는, 연소식 제해 장치(101)가 사용되고 있다. 집합관(7)의 수평관(연통관)(21)에는, 복수의 차단 밸브(121)가 장착되어 있다. 차단 밸브(121)는, 각 배기관(20)과 수평관(21)의 접속점의 양측에 배치되어 있다.
마찬가지로, 2개의 클리닝 가스 배출관(80)이 병렬로 배치되어 있고, 2개의 클리닝 가스 배출용 펌프(81)도 병렬로 배치되어 있다. 이들 클리닝 가스 배출용 펌프(81)는, 이들 클리닝 가스 배출관(80)을 통하여 복수의 제 1 진공 펌프(5)에 연결되어 있다. 클리닝 가스 배출관(80)의 수평관(연통관)(85)에는, 복수의 차단 밸브(122)가 장착되어 있다. 차단 밸브(122)는, 각 배기관(84)과 수평관(85)의 접속점의 양측에 배치되어 있다.
또한, 2개의 대기 배출관(30)이 병렬로 배치되어 있고, 2개의 러핑 진공 펌프(31)도 병렬로 배치되어 있다. 이들 러핑 진공 펌프(31)는, 이들 대기 배출관(30)을 통하여 복수의 제 1 진공 펌프(5)에 연결되어 있다. 대기 배출관(30)의 수평관(연통관)(34)에는, 복수의 차단 밸브(124)가 장착되어 있다. 차단 밸브(124)는, 각 배기관(33)과 수평관(34)의 접속점의 양측에 배치되어 있다.
도 9에 나타내는 실시 형태는, 개폐 밸브(24) 및 차단 밸브(121)가 장착된 2개의 집합관(7)과, 이들 집합관(7)에 각각 연결된 2개의 제 2 진공 펌프(8)와, 이들 2개의 제 2 진공 펌프(8)에 각각 연결된 2개의 가스 처리 장치(10)를 가지고 있다. 이러한 구성에 의해, 복수의 배기 챔버(1) 중 어느 배기 챔버(1)를 메인터넌스하는 경우, 그 배기 챔버(1)와 다른 배기 챔버(1)의 연통을 차단할 수 있다.
또한, 도 10에 나타내는 바와 같이, 어느 배기 챔버(1)만을 2개의 집합관(7) 중 일방에 연통시키고, 다른 배기 챔버(1)를 타방의 집합관(7)에 연통시킬 수 있다. 이러한 경우, 어느 배기 챔버(1)의 배기 속도를, 다른 배기 챔버(1)의 배기 속도보다 높게 할 수 있다. 또한, 어느 배기 챔버(1)에서 배기되는 처리 가스의 종류는, 다른 배기 챔버(1)에서 배기되는 처리 가스의 종류와 상이해도 된다.
도 11은, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 도면이다. 특별히 설명하지 않는 본 실시 형태의 구성은, 도 8에 나타내는 실시 형태의 구성과 동일하므로, 그 중복되는 설명을 생략한다. 본 실시 형태에서는, 제해 장치(130)가 집합관(7)에 장착되어 있다. 보다 구체적으로는, 집합관(7)의 복수의 배기관(20)에, 복수의 제해 장치(130)가 각각 장착되어 있다.
본 실시 형태는, 실리콘 질화막을 형성하는 저압 CVD가 처리 챔버(1) 내에서 행해지는 경우에 적합하다. 실리콘 질화막을 형성하는 저압 CVD에서는, 부생성물로서 염화 암모늄(NH4CL)이 생성된다. 이 염화 암모늄은, 대기압하에서 330℃ 정도에서 승화되는 특성을 가지고 있다. 이 때문에, 고진공 중에서는 가스 상태로 존재하지만, 압력의 상승에 의해 고형화되기 쉬워진다.
염화 암모늄과 같은 부생성물이 진공 펌프 내에서 고형화되면, 진공 펌프의 메인터넌스 빈도가 높아진다. 메인터넌스 빈도를 늘리지 않기 위해서는, 처리 가스를 고온으로 가열하여, 부생성물을 가스 상태로 유지할 필요가 있다. 그러나, 처리 가스의 가열은 에너지 사용량의 증대를 초래하고, 또한, 프로세스 조건에 따라서는, 처리 가스의 가열만으로는 가스 상태를 유지할 수 없는 경우도 있다.
따라서, 본 실시 형태에서는, 제 1 진공 펌프(5)의 출구 근방에 제해 장치(130)를 설치하고, 당해 제해 장치(130)로 처리 가스의 분해(저분자화)를 행한다. 이로써, 제해 장치(130)의 하류측에서는, 염화 암모늄으로서의 가스는 존재하지 않게 되기 때문에, 염화 암모늄이 고형화되지 않는다. 따라서, 처리 가스를 고온으로 유지할 필요가 없어져, 에너지 절약화를 달성할 수 있다. 또한, 제 2 진공 펌프(8)에 대한 부하를 작게 할 수 있기 때문에, 제 2 진공 펌프(8)의 간략화, 메인터넌스 빈도 저감이 실현된다.
도 12는, 진공 배기 시스템의 일 실시 형태를 나타내는 모식도이다. 도 12에 나타내는 바와 같이, 진공 배기 시스템은, 흡입관(145)과, 흡입관(145)으로부터 분기된 분기관(146) 및 백업관(148)과, 분기관(146)에 접속된 진공 펌프(150)와, 백업관(148)에 접속된 백업 펌프(152)를 구비하고 있다. 흡입관(145)은, CVD 장치나 PVD 장치, 에칭 장치 등의 반도체 디바이스 제조 장치에 구비되는 처리 챔버(1)에 접속되어 있다.
분기관(146) 및 백업관(148)에는, 개폐 밸브(155) 및 백업 밸브(156)가 각각 장착되어 있다. 이들 개폐 밸브(155) 및 백업 밸브(156)는, 신호선을 개재하여 동작 제어부(160)에 접속되어 있고, 개폐 밸브(155) 및 백업 밸브(156)의 개폐 동작은 동작 제어부(160)에 의해 제어된다. 진공 펌프(150) 및 백업 펌프(152)는, 무선 통신 또는 유선 통신에 의해 동작 제어부(160)에 접속되어 있으며, 이들 진공 펌프(150) 및 백업 펌프(152)의 운전은 동작 제어부(160)에 의해 제어된다. 유선 통신의 형태로서는, 디지털 통신 또는 아날로그 통신이 있다.
진공 펌프(150) 및 백업 펌프(152)는, 각각 전동기 및 인버터(도시 생략)를 구비하고 있으며, 진공 펌프(150) 및 백업 펌프(152)의 회전 속도의 현재값은 인버터로부터 동작 제어부(160)로 보내진다. 회전 속도의 현재값을 나타내는 신호는, 통신 또는, 전압 또는 전류 등의 아날로그 신호로 환산된 신호, 또는 펄스 신호로 환산된 신호여도 된다.
통상의 운전에서는, 개폐 밸브(155)는 개방되어 있고, 백업 밸브(156)는 폐쇄되어 있다. 진공 펌프(150) 및 백업 펌프(152)는, 양방 모두 운전된다. 따라서, 통상의 운전에서는, 처리 챔버(1) 내의 처리 가스는, 진공 펌프(150)에 의해 배기된다. 동작 제어부(160)는, 진공 펌프(150) 및 백업 펌프(152)의 운전 상태, 펌프 운전 이상, 개폐 밸브(155) 및 백업 밸브(156)의 개폐 상태를 상위 장치에 송신하기 위한 아날로그 신호 출력 단자 또는 디지털 신호 출력 단자(도시 생략)를 구비하고 있다.
반도체 디바이스의 제조에서는, 처리 가스가 처리 챔버(1) 내에 주입되면서, 진공 펌프(150)는, 이 처리 가스를 처리 챔버(1)로부터 배기하고, 처리 챔버(1) 내를 저압으로 유지한다. 반도체 디바이스 제조 장치는, 처리 챔버(1) 내의 압력을 측정하는 압력 센서(도시 생략)를 구비하고 있다. 처리 챔버(1) 내의 압력이, 압력의 이상 상승을 나타내는 압력 상한값을 초과하면, 반도체 디바이스 제조 장치는, 그 운전을 강제적으로 정지시킨다. 따라서, 반도체 디바이스의 제조가 개시된 후에는, 처리 챔버(1) 내의 압력을 상기 압력 상한값보다 낮게 유지해야 한다. 그러나, 처리 가스가 진공 펌프(150) 내에서 고화되어 퇴적물을 형성하면, 진공 펌프(150)의 회전 속도가 저하되어, 처리 챔버(1) 내의 압력이 크게 상승하는 경우가 있다.
따라서, 본 실시 형태에서는, 처리 챔버(1) 내의 압력이 상기 압력 상한값에 도달하기 전에, 백업 펌프(152)에 의해 처리 챔버(1)의 진공 배기가 개시된다. 도 13은, 진공 펌프(150)의 회전 속도와, 진공 펌프(150)의 흡입측 압력(즉, 처리 챔버(1) 내의 압력)의 시간 변화를 나타내는 그래프이다. 도 13의 그래프에 있어서, 세로축은 회전 속도 및 흡입측 압력을 나타내고, 가로축은 시간을 나타내고 있다.
도 13에 나타내는 바와 같이, 동작 제어부(160)는, 그 내부에, 진공 배기 동작을 진공 펌프(150)로부터 백업 펌프(152)로 전환하기 위한 임계값을 미리 기억(저장)하고 있다. 동작 제어부(160)는, 진공 펌프(150)의 회전 속도가 임계값보다 낮을 때에, 백업 밸브(156)를 개방하고, 개폐 밸브(155)를 폐쇄하도록 구성된다.
도 14는, 백업 밸브(156)가 개방되고, 개폐 밸브(155)가 폐쇄된 상태를 나타내는 도면이다. 도 14에 나타내는 바와 같이, 백업 밸브(156)가 개방되고, 개폐 밸브(155)가 폐쇄되면, 흡입관(145)과 백업 펌프(152)가 연통하여, 흡입관(145)과 진공 펌프(150)의 연통이 차단된다. 따라서, 흡입관(145)에 접속되어 있는 처리 챔버(1) 내의 처리 가스는, 백업 펌프(152)에 의해 흡인된다.
임계값은, 진공 펌프(150)의 회전 속도와, 진공 펌프(150)의 흡입측 압력(즉, 처리 챔버(1) 내의 압력)과의 관계에 의거하여 미리 결정된다. 도 15는, 진공 펌프(150)의 회전 속도와 진공 펌프(150)의 흡입측 압력과의 관계를 나타내는 그래프이다. 도 15에 나타내는 그래프로부터 알 수 있는 바와 같이, 진공 펌프(150)의 회전 속도와 진공 펌프(150)의 흡입측 압력은, 대략 반비례의 관계에 있다.
본 실시 형태에 있어서의 임계값은, 진공 펌프(150)의 회전 속도에 관한 임계값이다. 도 15에 나타내는 바와 같이, 임계값은, 반도체 디바이스 제조 장치에 설정되어 있는 상기 압력 상한값보다 낮은 흡입측 압력(TP)에 상당하는 미리 정해진 회전 속도이다. 즉, 진공 펌프(150)의 회전 속도가 임계값과 동등할 때의 진공 펌프(150)의 흡입측 압력(TP)은, 압력 상한값보다 낮다. 따라서, 도 13에 나타내는 바와 같이, 진공 펌프(150)의 이상이 발생했을 때, 흡입측 압력이 압력 상한값에 도달하기 전에, 진공 펌프(150)의 회전 속도는 임계값에 도달하여, 백업 펌프(152)에 의한 진공 배기가 개시된다. 흡입측 압력은 빠르게 저하되어 원래의 값으로 유지된다.
이어서, 도 16의 플로우 차트를 참조하여, 본 실시 형태와 관련된 백업 운전을 설명한다. 단계 1에서는, 진공 펌프(150)가 시동된다. 진공 펌프(150)의 시동과 동시에, 또는 그 후에, 백업 펌프(152)가 시동된다. 동작 제어부(160)는, 진공 펌프(150)의 실회전 속도가 진공 펌프(150)의 정격 회전 속도 이상인지 여부를 판단한다(단계 2). 진공 펌프(150)의 실회전 속도가 정격 회전 속도 이상일 경우에는, 동작 제어부(160)는, 진공 펌프(150)의 회전 속도의 저하의 감시를 개시한다(단계 3).
동작 제어부(160)는, 진공 펌프(150)의 회전 속도를 임계값과 비교하여, 진공 펌프(150)의 회전 속도가 임계값보다 낮은지 여부를 판단한다(단계 4). 진공 펌프(150)의 회전 속도가 임계값보다 낮을 경우에는, 동작 제어부(160)는 백업 밸브(156)를 개방한다(단계 5).
동작 제어부(160)는, 재차, 진공 펌프(150)의 회전 속도가 임계값보다 낮은지 여부를 판단한다(단계 6). 이것은, 이물 혼입 등에 의해, 회전 속도의 일시적인 저하가 일어나는 경우가 있기 때문이다. 만약, 진공 펌프(150)의 회전 속도가 상승하여 다시 임계값 이상이 된 경우에는, 동작 제어부(160)는 백업 밸브(156)를 폐쇄하고(단계 7), 단계 3의 회전 속도의 저하의 감시를 다시 개시한다. 상기 서술한 단계 6에 있어서, 진공 펌프(150)의 회전 속도가 임계값보다 낮을 경우에는, 동작 제어부(160)는 개폐 밸브(155)를 폐쇄하고(단계 8), 이에 따라 백업 운전이 개시된다.
소비 전력을 삭감하기 위해, 백업 운전이 개시될 때까지, 백업 펌프(152)는 대기 운전을 하고 있어도 된다. 보다 구체적으로는, 진공 펌프(150)의 회전 속도가 임계값 이상일 때에는, 백업 펌프(152)는 제 1 회전 속도로 운전하고, 진공 펌프(150)의 회전 속도가 임계값보다 낮을 때에는, 백업 펌프(152)는 제 1 회전 속도보다 높은 제 2 회전 속도로 운전하도록 해도 된다. 백업 운전으로 전환되었을 때의 압력 상승을 회피하기 위해, 상기 서술한 제 1 회전 속도는, 상기 임계값 이상인 것이 바람직하다.
상기 서술한 단계 4에 있어서, 진공 펌프(150)의 회전 속도가 임계값 이상일 경우에는, 동작 제어부(160)는 진공 펌프(150)로부터 알람 신호가 발신되었는지 여부를 판단한다(단계 9). 이 알람 신호는, 진공 펌프(150)의 온도의 이상 상승이나 통신 기능 정지 등의 문제가 일어났을 때에 진공 펌프(150)로부터 동작 제어부(160)로 발신된다. 알람 신호가 발신되지 않고 있는 경우에는, 동작 제어부(160)는 단계 3의 회전 속도의 저하의 감시를 다시 개시한다. 알람 신호가 발신된 경우에는, 동작 제어부(160)는 백업 밸브(156)를 개방으로 하고(단계 10), 또한 개폐 밸브(155)를 폐쇄하며(단계 8), 이에 따라 백업 운전이 개시된다.
일반적으로, 반도체 디바이스의 제조에 사용되는 처리 가스는, 온도의 저하에 따라 고화되는 성질을 가지고 있다. 이 때문에, 처리 가스가 진공 펌프(150) 내에서 고화되어 퇴적물을 형성하여, 이것이 펌프 로터의 회전을 저해하는 경우가 있다. 따라서, 진공 펌프(150)는, 그 회전 속도가 미리 설정된 속도 하한값으로까지 저하되었을 때에는, 회전 속도의 이상 저하를 나타내는 속도 저하 신호를 발신하는 기능을 구비하고 있다. 이 속도 저하 신호는, 동작 제어부(160)로 보내진다. 동작 제어부(160)는, 이 속도 저하 신호를 수신하면, 진공 펌프(150)의 운전을 정지시키도록 되어 있다. 상기 서술한 임계값은, 속도 하한값보다 큰 값이다. 동작 제어부(160)는, 속도 저하 신호를 수신하면, 백업 밸브(156)를 개방하고, 개폐 밸브(155)을 폐쇄하도록 구성되어도 된다.
진공 펌프(150)의 운전 시에 백업 밸브(156)가 폐쇄되어 있는 이유는, 처리 가스가 백업 펌프(152) 내에서 고화되는 것을 방지하기 위해서이다. 또한, 진공 펌프(150)의 운전 시에 백업 밸브(156)가 폐쇄되어 있음에도 불구하고, 백업 펌프(152)가 운전되고 있는 이유는, 펌프의 운전 전환 시에 백업 펌프(152)가 빠르게 진공 배기 동작을 개시하여, 처리 챔버(1) 내의 압력 상승을 방지하기 위해서이다.
본 실시 형태에서는, 진공 펌프(150)의 회전 속도는, 흡입측 압력을 간접적으로 나타내는 압력 지표값이다. 압력 지표값으로서 진공 펌프(150)의 회전 속도를 사용함으로써, 흡입측 압력을 측정하기 위한 압력 센서가 불필요해진다. 따라서, 반도체 디바이스 제조 장치 등의 기설(旣設)의 장치에 압력 센서를 설치하지 않고, 또는 기설의 압력 센서와 신호선으로 접속하는 일 없이, 본 실시 형태의 진공 배기 시스템을 기설의 장치에 용이하게 장착할 수 있다.
반도체 디바이스 제조 장치가 새롭게 설치되는 경우에는, 압력 센서와 함께 진공 배기 시스템을 반도체 디바이스 제조 장치에 조립해도 된다. 이러한 경우에는, 압력 센서를 동작 제어부(160)에 접속하고, 동작 제어부(160)는 흡입측 압력의 측정값을 임계값이라고 판단해도 된다. 도 17은, 진공 배기 시스템의 다른 실시 형태를 나타내는 모식도이다. 특별히 설명하지 않는 구성 및 동작은, 도 12 내지 도 16에 나타내는 실시 형태와 동일하므로, 그 중복되는 설명을 생략한다. 이 실시 형태에서는, 압력 센서(161)가 흡입관(145)에 접속되어 있다. 압력 센서(161)는, 진공 펌프(150)의 흡입측 압력, 즉, 흡입관(145) 및 처리 챔버(1) 내의 압력을 측정하고, 흡입측 압력의 측정값을 동작 제어부(160)에 송신한다. 본 실시 형태에서는, 흡입측 압력의 측정값은, 흡입측 압력을 직접적으로 나타내는 압력 지표값이다.
동작 제어부(160)는, 흡입측 압력의 측정값을 임계값과 비교함으로써, 백업 운전을 개시해야 할지 여부를 결정한다. 본 실시 형태에 있어서의 임계값은, 진공 펌프(150)의 흡입측 압력에 관한 임계값이다. 동작 제어부(160)는, 흡입측 압력의 측정값이 임계값에 도달했을 때에, 백업 밸브(156)를 개방하고, 개폐 밸브(155)를 폐쇄한다.
도 18은, 도 17에 나타내는 실시 형태에 사용되는 임계값을 설명하는 도면이다. 도 18에 나타내는 바와 같이, 임계값은, 반도체 디바이스 제조 장치에 설정되어 있는 상기 압력 상한값보다 낮은 값이다. 따라서, 진공 펌프(150)의 이상이 발생했을 때, 흡입측 압력이 압력 상한값에 도달하기 전에, 흡입측 압력은 임계값에 도달하여, 백업 펌프(152)에 의한 진공 배기가 개시된다.
도 19는, 진공 배기 시스템의 또 다른 실시 형태를 나타내는 모식도이다. 특별히 설명하지 않는 구성 및 동작은, 도 12 내지 도 16에 나타내는 실시 형태와 동일하므로, 그 중복되는 설명을 생략한다. 본 실시 형태에서는, 흡입관(145)은, 집합관으로 구성되어 있다. 이하, 흡입관(145)을 집합관(145)이라고 칭한다. 집합관(145)의 복수의 입구는, 복수의 부스터 펌프(165)의 배기구에 접속되어 있다. 이들 부스터 펌프(165)는 복수의 처리 챔버(1)에 각각 접속되어 있다.
제 1 분기관(146A), 제 2 분기관(146B), 및 백업관(148)은, 집합관(145)으로부터 분기되어 있으며, 각각 진공 펌프(150A), 진공 펌프(150B), 및 백업 펌프(152)에 접속되어 있다. 진공 펌프(150A), 진공 펌프(150B), 및 백업 펌프(152)는, 각각 메인 펌프로서 기능한다. 제1 분기관(146A), 제 2 분기관(146B), 및 백업관(148)에는, 제1 개폐 밸브(155A), 제 2 개폐 밸브(155B), 및 백업 밸브(156)가 각각 장착되어 있다.
본 실시 형태에 있어서, 통상 운전으로부터 백업 운전으로의 전환은, 상기 서술한 도 12 내지 도 16을 참조하여 설명한 실시 형태와 동일하게 행해진다. 즉, 진공 펌프(150A)의 회전 속도가 임계값보다 낮을 경우에는, 도 20에 나타내는 바와 같이, 동작 제어부(160)는 백업 밸브(156)를 개방하고, 제1 개폐 밸브(155A)를 폐쇄한다. 진공 펌프(150B)의 회전 속도가 임계값보다 낮을 경우에는, 도 21에 나타내는 바와 같이, 동작 제어부(160)는 백업 밸브(156)를 개방하고, 제 2 개폐 밸브(155B)를 폐쇄한다.
상기 서술한 실시 형태는, 본 발명이 속하는 기술 분야에 있어서의 통상의 지식을 갖는 자가 본 발명을 실시할 수 있는 것을 목적으로 하여 기재된 것이다. 상기 실시 형태의 다양한 변형예는, 당업자라면 당연히 이룰 수 있는 것이며, 본 발명의 기술적 사상은 다른 실시 형태에도 적용할 수 있다. 따라서, 본 발명은, 기재된 실시 형태에 한정되지 않고, 특허 청구범위에 의해 정의되는 기술적 사상을 따른 가장 넓은 범위로 해석되는 것이다.
본 발명은, 반도체 디바이스 제조 장치 등에 사용되는 1개 또는 복수의 처리 챔버로부터 처리 가스를 배기하기 위해 사용되는 진공 배기 시스템에 이용 가능하다.
1 처리 챔버
5 제 1 진공 펌프
7 제 1 집합관
8 제 2 진공 펌프
10 가스 처리 장치
12 반송 챔버
15 러핑 진공 펌프
16 로드 록 챔버
20 배기관
21 수평관(연통관)
22 주관
24 개폐 밸브
28 제 3 진공 펌프
30 대기 배출관
31 러핑 진공 펌프
32 개폐 밸브
33 배기관
34 수평관(연통관)
35 제 2 집합관
36 배기관
37 수평관(연통관)
38 주관
39 분기관
40 개폐 밸브
42 개폐 밸브
43 개폐 밸브
47 불활성 가스 공급 장치
50 집합관
51 배기관
53 개폐 밸브
61 상단 습식 제해 장치
62 촉매식 제해 장치
63 하단 습식 제해 장치
70 배기 유닛
72 개시 배관
73 차단 밸브
74 개시용 펌프
80 클리닝 가스 배출관
81 클리닝 가스 배출용 펌프
84 배기관
85 수평관(연통관)
86 주관
87 분기관
91 개폐 밸브
92 개폐 밸브
101 연소식 제해 장치
102 습식 제해 장치
111 배기관
112 개폐 밸브
118 배기 가스 처리 장치
119 습식 제해 장치
121, 122, 124 차단 밸브
130 제해 장치
145 흡입관
146, 146A, 146B 분기관
148 백업관
150, 150A, 150B 진공 펌프
152 백업 펌프
155, 155A, 155B 개폐 밸브
156 백업 밸브
160 동작 제어부
161 압력 센서
165 부스터 펌프

Claims (23)

  1. 복수의 처리 챔버로부터 기체를 배기하기 위한 진공 배기 시스템으로서,
    상기 복수의 처리 챔버에 각각 접속되는 복수의 제 1 진공 펌프와,
    상기 복수의 제 1 진공 펌프에 접속된 집합관과,
    상기 집합관에 접속된 제 2 진공 펌프를 구비한 것을 특징으로 하는 진공 배기 시스템.
  2. 제 1 항에 있어서,
    상기 제 2 진공 펌프는, 상기 복수의 제 1 진공 펌프의 근방에 배치되어 있는 것을 특징으로 하는 진공 배기 시스템.
  3. 제 1 항에 있어서,
    상기 집합관 및 상기 제 2 진공 펌프는 각각 복수 설치되어 있고,
    상기 복수의 집합관 모두, 상기 복수의 제 1 진공 펌프에 접속되어 있으며,
    상기 복수의 제 2 진공 펌프는, 상기 복수의 집합관에 각각 접속되어 있는 것을 특징으로 하는 진공 배기 시스템.
  4. 제 3 항에 있어서,
    상기 제 2 진공 펌프에 연결된 제 3 진공 펌프를 더 구비하고,
    상기 제 2 진공 펌프는 용적형 다단 진공 펌프인 것을 특징으로 하는 진공 배기 시스템.
  5. 제 4 항에 있어서,
    상기 복수의 집합관은, 복수의 제 1 집합관이며,
    상기 복수의 제 2 진공 펌프를 상기 제 3 진공 펌프에 연결하는 제 2 집합관을 더 구비한 것을 특징으로 하는 진공 배기 시스템.
  6. 제 5 항에 있어서,
    상기 제 3 진공 펌프는 복수 설치되어 있고,
    상기 복수의 제 3 진공 펌프는 병렬로 배치되어 있는 것을 특징으로 하는 진공 배기 시스템.
  7. 제 6 항에 있어서,
    상기 제 2 집합관은, 상기 복수의 제 3 진공 펌프에 각각 접속되는 복수의 분기관을 가지고 있고,
    상기 복수의 분기관에는 복수의 개폐 밸브가 각각 장착되어 있는 것을 특징으로 하는 진공 배기 시스템.
  8. 제 1 항에 있어서,
    상기 집합관은, 제 1 집합관이며,
    상기 진공 배기 시스템은, 복수의 배기 유닛과, 상기 제 2 진공 펌프의 하류측에 배치된 제 3 진공 펌프를 가지고,
    상기 복수의 제 1 진공 펌프, 상기 제 2 진공 펌프, 및 상기 제 1 집합관은, 상기 복수의 배기 유닛 중 하나의 배기 유닛을 구성하며,
    상기 복수의 배기 유닛에 포함되는 상기 복수의 제 2 진공 펌프를 상기 제 3 진공 펌프에 연결하는 제 2 집합관을 더 구비한 것을 특징으로 하는 진공 배기 시스템.
  9. 제 8 항에 있어서,
    상기 제 3 진공 펌프는 복수 설치되어 있고,
    상기 복수의 제 3 진공 펌프의 수는, 상기 복수의 제 2 진공 펌프의 수보다 적은 것을 특징으로 하는 진공 배기 시스템.
  10. 제 8 항에 있어서,
    상기 제 2 집합관 및 상기 제 3 진공 펌프는 각각 복수 설치되어 있고,
    상기 복수의 제 3 진공 펌프는, 병렬로 배치된 상기 복수의 제 2 집합관을 개재하여 상기 복수의 제 2 진공 펌프에 연결되어 있는 것을 특징으로 하는 진공 배기 시스템.
  11. 제 10 항에 있어서,
    상기 복수의 제 2 집합관의 각각은,
    상기 복수의 제 2 진공 펌프에 각각 접속된 복수의 배기관과,
    상기 복수의 배기관이 접속된 연통관과,
    상기 연통관에 접속된 주관을 구비하고,
    상기 복수의 배기관에는 복수의 개폐 밸브가 각각 장착되어 있으며,
    상기 연통관에는 복수의 차단 밸브가 장착되어 있고,
    상기 복수의 차단 밸브의 각각은, 상기 복수의 배기 유닛 중 인접하는 2개의 사이에 위치하고 있는 것을 특징으로 하는 진공 배기 시스템.
  12. 제 1 항에 있어서,
    상기 제 2 진공 펌프는 복수 설치되어 있고, 상기 복수의 제 2 진공 펌프는 상기 집합관에 병렬로 접속되어 있는 것을 특징으로 하는 진공 배기 시스템.
  13. 제 12 항에 있어서,
    상기 집합관은, 상기 복수의 제 2 진공 펌프에 각각 접속되는 복수의 분기관을 가지고 있고,
    상기 복수의 분기관에는 복수의 개폐 밸브가 각각 장착되어 있는 것을 특징으로 하는 진공 배기 시스템.
  14. 제 1 항에 있어서,
    상기 복수의 제 1 진공 펌프에 접속된 클리닝 가스 배출관과,
    상기 클리닝 가스 배출관에 접속된 클리닝 가스 배출용 펌프를 더 구비하고,
    상기 클리닝 가스 배출관과 상기 집합관은, 병렬로 배치되어 있는 것을 특징으로 하는 진공 배기 시스템.
  15. 제 14 항에 있어서,
    클리닝 가스를 처리하는 가스 처리 장치를 더 구비한 것을 특징으로 하는 진공 배기 시스템.
  16. 제 1 항에 있어서,
    상기 복수의 처리 챔버에 연결된 대기 배출관과,
    상기 대기 배출관에 접속된 러핑 진공 펌프를 더 구비하고,
    상기 대기 배출관과 상기 집합관은, 병렬로 배치되어 있으며,
    상기 러핑 진공 펌프는, 대기압하에서 동작하는 것이 가능한 것을 특징으로 하는 진공 배기 시스템.
  17. 제 12 항에 있어서,
    상기 집합관에는 제해 장치가 장착되어 있는 것을 특징으로 하는 진공 배기 시스템.
  18. 제 1 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 복수의 처리 챔버로부터 배기된 처리 가스를 무해화하는 가스 처리 장치를 더 구비한 것을 특징으로 하는 진공 배기 시스템.
  19. 흡입관과,
    상기 흡입관으로부터 분기된 분기관 및 백업관과,
    상기 분기관 및 상기 백업관에 각각 장착된 개폐 밸브 및 백업 밸브와,
    상기 분기관에 접속된 진공 펌프와,
    상기 백업관에 접속된 백업 펌프와,
    상기 개폐 밸브 및 상기 백업 밸브의 개폐 동작을 제어하는 동작 제어부를 구비하고,
    상기 동작 제어부는, 상기 진공 펌프의 회전 속도를 임계값과 비교하여, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 때에, 상기 백업 밸브를 개방하고, 상기 개폐 밸브를 폐쇄하도록 구성되며,
    상기 진공 펌프의 회전 속도가 상기 임계값과 동등할 때의 상기 흡입측 압력은, 상기 흡입측 압력의 이상 상승을 나타내는 압력 상한값보다 낮은 것을 특징으로 하는 진공 배기 시스템.
  20. 제 19 항에 있어서,
    상기 진공 펌프는, 그 회전 속도가 미리 설정된 속도 하한값에 도달한 경우에는, 속도 저하 신호를 상기 동작 제어부에 송신하도록 구성되어 있고,
    상기 임계값은 상기 속도 하한값보다 큰 것을 특징으로 하는 진공 배기 시스템.
  21. 제 19 항에 있어서,
    상기 진공 펌프의 회전 속도가 상기 임계값 이상일 때에는, 상기 백업 펌프는 제 1 회전 속도로 운전하고, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 때에는, 상기 백업 펌프는 상기 제 1 회전 속도보다 높은 제 2 회전 속도로 운전하는 것을 특징으로 하는 진공 배기 시스템.
  22. 제 19 항에 있어서,
    상기 동작 제어부는, 상기 백업 밸브를 개방한 후이며 상기 개폐 밸브를 폐쇄하기 전에, 상기 진공 펌프의 회전 속도를 상기 임계값과 재차 비교하고, 상기 진공 펌프의 회전 속도가 상기 임계값보다 낮을 경우에는, 상기 개폐 밸브를 폐쇄하는 것을 특징으로 하는 진공 배기 시스템.
  23. 흡입관과,
    상기 흡입관으로부터 분기된 분기관 및 백업관과,
    상기 분기관 및 상기 백업관에 각각 장착된 개폐 밸브 및 백업 밸브와,
    상기 분기관에 접속된 진공 펌프와,
    상기 백업관에 접속된 백업 펌프와,
    상기 개폐 밸브 및 상기 백업 밸브의 개폐 동작을 제어하는 동작 제어부를 구비하고,
    상기 동작 제어부는, 상기 진공 펌프의 흡입측 압력이 임계값에 도달했을 때에, 상기 백업 밸브를 개방하고, 상기 개폐 밸브를 폐쇄하도록 구성되며,
    상기 임계값은, 상기 흡입측 압력의 이상 상승을 나타내는 압력 상한값보다 낮은 것을 특징으로 하는 진공 배기 시스템.
KR1020167036513A 2014-05-30 2015-05-28 진공 배기 시스템 KR102154082B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2014-112480 2014-05-30
JP2014112480A JP6522892B2 (ja) 2014-05-30 2014-05-30 真空排気システム
JPJP-P-2015-063238 2015-03-25
JP2015063238A JP6594638B2 (ja) 2015-03-25 2015-03-25 真空排気システム
PCT/JP2015/065387 WO2015182699A1 (ja) 2014-05-30 2015-05-28 真空排気システム

Publications (2)

Publication Number Publication Date
KR20170013326A true KR20170013326A (ko) 2017-02-06
KR102154082B1 KR102154082B1 (ko) 2020-09-09

Family

ID=54699022

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167036513A KR102154082B1 (ko) 2014-05-30 2015-05-28 진공 배기 시스템

Country Status (4)

Country Link
US (1) US10978315B2 (ko)
KR (1) KR102154082B1 (ko)
TW (1) TWI700387B (ko)
WO (1) WO2015182699A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101971827B1 (ko) * 2018-04-17 2019-04-23 캐논 톡키 가부시키가이샤 진공 장치, 진공 시스템, 디바이스 제조 장치, 디바이스 제조 시스템 및 디바이스 제조 방법

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5808454B1 (ja) * 2014-04-25 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
GB2533933A (en) * 2015-01-06 2016-07-13 Edwards Ltd Improvements in or relating to vacuum pumping arrangements
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6391171B2 (ja) * 2015-09-07 2018-09-19 東芝メモリ株式会社 半導体製造システムおよびその運転方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
GB201620225D0 (en) * 2016-11-29 2017-01-11 Edwards Ltd Vacuum pumping arrangement
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20180274615A1 (en) * 2017-03-27 2018-09-27 Goodrich Corporation Common vacuum header for cvi/cvd furnaces
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
GB2564399A (en) * 2017-07-06 2019-01-16 Edwards Ltd Improvements in or relating to pumping line arrangements
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
DE102017214687A1 (de) * 2017-08-22 2019-02-28 centrotherm international AG Behandlungsvorrichtung für Substrate und Verfahren zum Betrieb einer solchen Behandlungsvorrichtung
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN108486543A (zh) * 2018-03-02 2018-09-04 惠科股份有限公司 基板成膜机台及使用方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019181044A1 (ja) * 2018-03-23 2019-09-26 株式会社 東芝 処理液及び処理方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
GB2572958C (en) 2018-04-16 2021-06-23 Edwards Ltd A multi-stage vacuum pump and a method of differentially pumping multiple vacuum chambers
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN108980009A (zh) * 2018-09-20 2018-12-11 四川鼎际恒荣金属材料有限公司 一种无缝焊窗扇抽真空装置
KR20210053351A (ko) * 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056373A (ja) * 2018-10-03 2020-04-09 株式会社荏原製作所 真空排気システム
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
GB2579360A (en) * 2018-11-28 2020-06-24 Edwards Ltd Multiple chamber vacuum exhaust system
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109521816A (zh) * 2018-12-28 2019-03-26 广州市公用事业高级技工学校(广州市公用事业技师学院、广州市公用事业高级职业技术培训学院) 真空度调整方法、系统、装置、计算机设备和存储介质
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7175782B2 (ja) 2019-01-25 2022-11-21 株式会社東芝 ケイ素含有物質形成装置
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
GB2581503A (en) * 2019-02-20 2020-08-26 Edwards Ltd Vacuum pumping
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
GB2584881B (en) * 2019-06-19 2022-01-05 Edwards Vacuum Llc Multiple vacuum chamber exhaust system and method of evacuating multiple chambers
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
AU2019477299A1 (en) * 2019-12-04 2022-06-16 Ateliers Busch Sa Redundant pumping system and pumping method by means of this pumping system
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) * 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN112410747A (zh) * 2020-10-15 2021-02-26 中国建材国际工程集团有限公司 一种具有分段式高真空系统的镀膜玻璃生产线及工作方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113021942A (zh) * 2021-03-09 2021-06-25 中材科技风电叶片股份有限公司 风电叶片制造用真空集成系统以及用于其的控制方法
GB2606193B (en) * 2021-04-29 2023-09-06 Edwards Ltd A valve module for a vacuum pumping system
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113606949A (zh) * 2021-07-29 2021-11-05 北京北方华创真空技术有限公司 多工位除气炉的抽真空系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023125364A (ja) * 2022-02-28 2023-09-07 エドワーズ株式会社 真空排気システム
CN114381796B (zh) * 2022-03-23 2022-05-31 广东高景太阳能科技有限公司 一种节能且可不停炉维护真空泵的控制系统及其方法
CN114645265B (zh) * 2022-03-29 2023-09-08 北京北方华创微电子装备有限公司 抽真空系统、半导体工艺设备及抽真空的方法
CN116256144B (zh) * 2023-05-16 2023-07-25 中国航空工业集团公司沈阳空气动力研究所 一种大型连续式风洞配套真空系统及其控制方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003139054A (ja) * 2001-10-31 2003-05-14 Ulvac Japan Ltd 真空排気装置
JP2004218648A (ja) * 1999-03-05 2004-08-05 Tadahiro Omi 真空装置
JP2005232977A (ja) 2004-02-17 2005-09-02 Tadahiro Omi 真空装置
JP2009164213A (ja) 2007-12-28 2009-07-23 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0726623B2 (ja) * 1990-03-28 1995-03-29 日本碍子株式会社 真空ユニット
GB9614849D0 (en) * 1996-07-15 1996-09-04 Boc Group Plc Processes for the scubbing of noxious substances
JPH1063301A (ja) 1996-08-14 1998-03-06 New Cosmos Electric Corp 回転機ユニットの異常検出システム
JPH1057798A (ja) * 1996-08-23 1998-03-03 Nikon Corp 液体材料供給装置及び材料供給方法
US6045332A (en) 1998-05-08 2000-04-04 Celanese International Corporation Control system for multi-pump operation
JP3739628B2 (ja) * 2000-04-18 2006-01-25 アネスト岩田株式会社 半導体製造ラインに使用可能なスクロール流体機械
JP2005180279A (ja) * 2003-12-18 2005-07-07 Sharp Corp 真空薄膜作成装置、及び真空薄膜作成装置の真空ポンプ制御方法
US7189066B2 (en) * 2004-05-14 2007-03-13 Varian, Inc. Light gas vacuum pumping system
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
JP5150685B2 (ja) 2010-07-28 2013-02-20 ヤマハ発動機株式会社 電子部品移載装置の真空異常判定方法および電子部品移載装置
CN101922437B (zh) * 2010-08-05 2012-05-23 友达光电股份有限公司 真空设备
WO2012086767A1 (ja) 2010-12-22 2012-06-28 株式会社アルバック 真空排気装置及び真空処理装置及び真空排気方法
US9982786B2 (en) * 2014-05-30 2018-05-29 Applied Materials, Inc. Valve with adjustable hard stop
GB2533933A (en) * 2015-01-06 2016-07-13 Edwards Ltd Improvements in or relating to vacuum pumping arrangements

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004218648A (ja) * 1999-03-05 2004-08-05 Tadahiro Omi 真空装置
JP2003139054A (ja) * 2001-10-31 2003-05-14 Ulvac Japan Ltd 真空排気装置
JP4180265B2 (ja) 2001-10-31 2008-11-12 株式会社アルバック 真空排気装置の運転方法
JP2005232977A (ja) 2004-02-17 2005-09-02 Tadahiro Omi 真空装置
JP2009164213A (ja) 2007-12-28 2009-07-23 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101971827B1 (ko) * 2018-04-17 2019-04-23 캐논 톡키 가부시키가이샤 진공 장치, 진공 시스템, 디바이스 제조 장치, 디바이스 제조 시스템 및 디바이스 제조 방법

Also Published As

Publication number Publication date
KR102154082B1 (ko) 2020-09-09
WO2015182699A1 (ja) 2015-12-03
TWI700387B (zh) 2020-08-01
TW201604315A (zh) 2016-02-01
US10978315B2 (en) 2021-04-13
US20170200622A1 (en) 2017-07-13

Similar Documents

Publication Publication Date Title
KR102154082B1 (ko) 진공 배기 시스템
JP5837351B2 (ja) 排気系システム
JP6522892B2 (ja) 真空排気システム
US10641256B2 (en) Vacuum pump with abatement function
EP3243005B1 (en) Improvements in or relating to vacuum pumping arrangements
KR102135709B1 (ko) 제해 기능을 갖는 진공 펌프
KR20190087518A (ko) 진공 펌핑 장치
JP2007021447A (ja) ガス使用設備および排ガスの切り分け方法
US20100329956A1 (en) Exhaust gas treatment method and system
KR100790282B1 (ko) 반도체 제조 장치의 배기 시스템 및 이에 적용된트랩부에서의 액상 티이오에스 배출 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant