KR20120015360A - 비닐 에테르 가교제를 사용하는 무반사 코팅 - Google Patents

비닐 에테르 가교제를 사용하는 무반사 코팅 Download PDF

Info

Publication number
KR20120015360A
KR20120015360A KR1020117031664A KR20117031664A KR20120015360A KR 20120015360 A KR20120015360 A KR 20120015360A KR 1020117031664 A KR1020117031664 A KR 1020117031664A KR 20117031664 A KR20117031664 A KR 20117031664A KR 20120015360 A KR20120015360 A KR 20120015360A
Authority
KR
South Korea
Prior art keywords
group
composition
compound
acid group
chromophore
Prior art date
Application number
KR1020117031664A
Other languages
English (en)
Other versions
KR101308191B1 (ko
Inventor
더글라스 제이. 구어레오
로버트 씨. 콕스
마크 더블유. 와이머
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20120015360A publication Critical patent/KR20120015360A/ko
Application granted granted Critical
Publication of KR101308191B1 publication Critical patent/KR101308191B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/03Ethers having all ether-oxygen atoms bound to acyclic carbon atoms
    • C07C43/14Unsaturated ethers
    • C07C43/164Unsaturated ethers containing six-membered aromatic rings
    • C07C43/166Unsaturated ethers containing six-membered aromatic rings having unsaturation outside the aromatic rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31667Next to addition polymer from unsaturated monomers, or aldehyde or ketone condensation product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31692Next to addition polymer from unsaturated monomers
    • Y10T428/31699Ester, halide or nitrile of addition polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Paints Or Removers (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

신규한, 습식 현상가능 무반사코팅 조성물 및 상기 조성물을 이용하는 방법이 제공된다. 상기 조성물은 가교제 및 광산발생제와 함께, 산 작용기를 가지며 용매 시스템에 용해되는 폴리머 및/또는 올리고머를 포함한다. 바람직한 산 작용기는 카르복시산이며, 반면 바람직한 가교제는 비닐 에테르 가교제이다. 사용에 있어서, 상기 조성물은 기판에 도포되고, 열 가교결합 된다. 빛에 노출되면, 상기 경화된 조성물은 가교결합이 분해되고, 전형적인 광 현상 용액(예를 들면, 알칼리성 현상액)에 용해될 것이다.

Description

비닐 에테르 가교제를 사용하는 무반사 코팅{ANTI-REFLECTIVE COATINGS USING VINYL ETHER CROSSLINKERS}
발명의 배경
관련된 출원
본 출원은 2004년 4월 29일 출원된 미국 특허 출원 제60/566,329호, "비닐 에테르 가교제를 사용하는 무반사 코팅"을 기초로 우선권을 주장한다.
발명의 분야
본원 발명은 신규한 습식 현상가능 무반사 코팅 조성물 및 이를 이용하는 방법에 관계한다.
선행 기술의 설명
110 ㎚ 미만으로 크기가 수축되는 특징으로서, 신규하고 더욱 개선된 재료가 반도체 산업에 의해 정해진 목표 달성을 위해 필요할 것이다. 고-분해능 리소그라피 목적의 달성을 위한 포토리지스트 및 바닥 무반사코팅의 개선이 요구된다. 예를 들면, 바닥 무반사 코팅 및 기판 에칭 단계 동안 일어나는 리지스트 두께 손실은 치명적인 문제인데, 왜냐하면 새 리지스트가 이전에 제조된 재료보다 훨씬 더 얇기 때문이다. 리지스트 두께가 감소하는 반면, 바닥 무반사코팅 두께는 동일한 비율로 감소하지 않으며, 이와 같은 점은 리지스트 손실의 문제를 더욱 복잡하게 한다. 이러한 문제의 해법은 습식-현상가능 바닥 무반사코팅을 사용함으로써 바닥 무반사코팅 에칭 단계를 제거하는 것이다.
습식-현상가능 바닥 무반사코팅은 폴리머 바인더로서 알칼리성 매질에 용해되는 폴리아믹산를 전형적으로 사용하였으며, 리지스트가 현상 될 때 바닥 무반사코팅이 제거되도록 하였다. 이와 같은 전통적인 습식-현상가능 바닥 무반사코팅은 열적으로 진행되는 아믹산의 이미드로의 전환을 이용하여 리지스트 용매에 용해되지 않게 된다. 상기 방법은 잘 실행되나, 두 가지 제한이 있다: (1) 베이킹 온도 범위가 좁을 수 있으며 (10℃ 미만) 여기서 바닥 무반사코팅은 유기 용매에는 불용성이나 알칼리성 용매에는 가용성을 유지한다; (2) 상기 습식-현상가능 방법은 등방성인데, 이는 바닥 무반사코팅이 수평과 동일한 비율로 수직으로 제거됨을 의미하며, 리지스트 라인의 하면절제를 유발한다. 이는 더 큰 형상(0.2 micron 초과)에 관하여는 문제가 되지 않는 반면, 더 작은 라인 크기에 있어서는 라인 상승 및 라인 붕괴를 쉽게 유발할 수 있다.
발명의 요약
본원발명은 마이크로전자 소자의 제조에 유용한 신규한 습식 현상가능 조성물을 제공함으로써 선행 기술의 습식 현상가능 무반사 코팅의 문제점을 극복한다.
더욱 상세히 말하면, 본원발명의 조성물은 용매 시스템에 용해되거나 분산되는, 폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물을 포함한다. 상기 조성물 내의 모든 구성요소의 전체 중량을 100 중량%로 하였을 때, 상기 화합물은 약 0.5-10 중량%, 바람직게는 0.5-5 중량%, 더욱 바람직하게는 1-4 중량%의 수준으로 상기 조성물에 존재한다.
상기 화합물이 폴리머이면, 평균 분자량은 약 1,000-100,000 Daltons, 더욱 바람직하게는 약 1,000-25,000 Daltons이 선호된다. 선호되는 폴리머는 지방족 폴리머, 아크릴레이트, 메타크릴레이트, 폴리에스테르, 폴리카보네이트, 노볼락, 폴리아믹산, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 폴리머를 포함한다.
상기 화합물이 올리고머이면, 분자량은 약 500-3,000 Daltons, 더욱 바람직하게는 약 500-1,500 Daltons이 선호된다. 선호되는 올리고머는 치환된 및 치환되지 않은 아크릴레이트, 메타크릴레이트, 노볼락, 이소시아누레이트, 글리시딜 에테르, 및 이들의 혼합물을 포함한다.
상기 화합물이 올리고머 또는 폴리머인지, 그리고 상기 폴리머의 구조가 골격 또는 올리고머 코어인지 여부에 상관없이, 상기 화합물이 산 작용기를 포함함이 선호된다. 상기 화합물의 전체 중량을 100 중량%로 하였을 때, 산 기는 약 5 중량% 이상, 바람직하게는 약 5-90 중량%, 더욱 바람직하게는 약 5-50 중량%의 수준으로 상기 화합물 내에 존재한다. 선호되는 산 기는 페놀 기를 제외한 기, 예를 들면 카르복시산(-COOH)이다.
선행기술의 조성물과는 달리, 상기 산 기는 바람직하게는 보호기에 의해 보호되지 않는다. 즉, 산 기의 약 95% 이상, 바람직하게는 약 98% 이상, 더욱 바람직하게는 약 100%가 보호기가 없다. 보호기는 산이 활성이 되도록 하는 것을 방지하는 기이다.
보호기가 본원발명에 필수적이지 않기 때문에, 상기 화합물이 산-민감성이지 않는 것이 바람직하다. 산-민감성 폴리머 또는 올리고머는 산 존재 하에서, 제거되거나, 분해되거나, 그렇지 않으면 전환되는 보호기를 함유한다.
또 다른 실시 태양에 있어서, 보호된 산 기과 보호되지 않은 산 기의 조합이 사용될 수 있다. 본 실시 태양에 있어서, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1, 더욱 바람직하게는 약 1 :2 내지 약 1:1이다.
상기 본원발명의 조성물은 발색단(빛의 진폭을 감소시키는 화합물 또는 부분)을 포함하는 것이 또한 선호된다. 발색단은 상기 화합물과 결합 될 수 있거나(상기 화합물의 작용기에 결합하거나 또는 폴리머 골격 또는 올리고머 코어에 직접 결합함), 또는 발색단은 상기 조성물 내에 물리적으로 쉽게 혼합될 수 있다. 상기 화합물의 전체 중량을 100 중량%로 하였을 때, 발색단은 약 5-50 중량%, 바람직하게는 약 20-40 중량%의 수준으로 상기 조성물 내에 존재하여야 한다. 발색단은 상기 조성물이 가공될 파장에 기초하여 선택된다. 예를 들면, 248 ㎚의 파장에서, 선호되는 발색단은 나프탈렌 (예를 들면, 나프토익산 메타크릴레이트, 3,7-디하이드록시나프토익산), 헤테로사이클릭 발색단, 카르바졸, 안트라센 (예를 들면, 9-안트라센 메틸 메타크릴레이트, 9-안트라센카르복시산), 및 이들의 작용기 부분을 포함한다. 193 ㎚의 파장에서, 선호되는 발색단은 치환된 그리고 치환되지 않은 페닐, 헤테로사이클릭 발색단(예를 들면, 푸란 고리, 티오펜 고리), 및 전술한 작용기 부분을 포함한다. 선호되는 상기 본원발명의 조성물은 또한 가교제를 포함할 것이다.
선호되는 가교제는 비닐 에테르 가교제이다. 비닐 에테르 가교제는 다중-작용기, 더욱 바람직하게는 트리- 및 -테트라 작용기임이 바람직하다.
선호되는 비닐 에테르 가교제는 다음 화학식을 가지며
R-(X-O-CH=CH2)n,
여기서 R은 아릴(바람직하게는 C6-C12) 및 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10)로 구성된 그룹으로부터 선택되며, X는 각각 개별적으로 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10); 알콕시(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10); 카르복시; 및 이들의 둘 이상의 조합으로 구성된 그룹으로부터 선택되며, n은 2-6이다. 가장 선호되는 비닐 에테르 가교제는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르, 1,4-사이클로헥산 디메탄올 디비닐 에테르, 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가교제를 포함한다. 또 다른 선호되는 비닐 에테르 가교제는 다음 화학식으로 구성된 그룹으로부터 선택된 화학식을 갖는다:
Figure pat00001
Figure pat00002
.
선호되는 조성물은 또한 촉매를 함유한다. 선호되는 촉매는 산발생제(acid generator), 특히 광산발생제(photoacid generator, "PAG," 이온성 및/또는 비-이온성)이다. 빛 존재하에서 산을 발생하는 어떠한 PAG도 적절하다. 선호되는 PAG는 오늄 염(예를 들면, 트리페닐 술포늄 노나플레이트 및 트리페닐 술포늄 트리플레이트와 같은 트리페닐 술포늄 퍼플루오로술포네이트), 옥심-술포네이트 (예를 들면, CIBA사에 의해 CGI라는 상품명으로 판매되는 것), 및 트리아진 (예를 들면, Midori Kagaku Company사로부터 구입가능한 TAZ108)을 포함한다.
폴리머 및 올리고머 고체의 전체 중량을 100 중량%로 하였을 때, 조성물은 바람직하게는 약 0.1-10 중량%, 더욱 바람직하게는 약 1-5중량%의 촉매를 포함한다.
또한 그 밖의 다른 많은 선택적 구성요소가 조성물 내에 포함될 수 있다. 전형적인 선택적 구성요소는 계면활성제, 아민 베이스, 및 접착증진제를 포함한다.
실시 태양에 상관없이, 바람직하게는 주위 환경 및 실질적으로 균질 분산을 형성하기에 충분한 시간 동안, 폴리머, 올리고머, 및 이들의 혼합물을 적절한 용매 시스템에 용해시키거나 분산시켜 무반사 조성물을 형성한다. 그 밖의 다른 구성요소(예를 들면, 가교제, PAG)는 바람직하게는 상기 화합물과 함께 용매 시스템에 분산되거나 용해된다.
선호되는 용매 시스템은 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA), 프로필렌 글리콜 메틸 에테르 (PGME), 프로필렌 글리콜 n-프로필 에테르 (PnP), 에틸 락테이트, 및 이들의 혼합물로 구성된 그룹으로부터 선택된 용매를 포함한다. 바람직하게는, 용매 시스템은 약 50-250℃, 더욱 바람직하게는 약 100-175℃의 끓는점을 갖는다. 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 용매 시스템은 약 80-99 중량%, 바람직하게는 약 95-99 중량%의 수준으로 사용되어야 한다.
상기 조성물을 기판(예를 들면 마이크로전자 기판)에 도포하는 방법은 공지된 도포 방법(스핀-코팅을 포함)에 의해 많은 양의 상기 조성물을 기판에 도포하는 방법을 포함한다. 기판은 모든 전통적인 회로 기판일 수 있으며, 적절한 기판은 평면일 수 있거나 또는 토포그라피(예를 들면, 트렌치, 구멍을 경유하거나 접촉하는)을 포함할 수 있다. 대표적인 기판은 실리콘, 알루미늄, 텅스텐, 텅스텐 규소화합물, 갈륨 비화물, 게르마늄, 탄탈, 탄탈 아질산염, SiGe, 낮은 k 절연체 층, 절연체 층(예를 들면, 실리콘 산화물) 및 이온주입(ion implant) 층을 포함한다.
요구되는 도포가 달성된 이후, 층 내에서 상기 화합물의 가교결합을 유발시키기 위하여, 결과물인 층은 약 100-250℃, 바람직하게는 약 120-200℃의 온도까지 가열되어야 한다. 폴리머 또는 올리고머가 카르복시산 기를 포함하고, 가교제가 비닐 에테르 가교제인 실시태양에서, 가교결합된 폴리머 또는 올리고머는 다음 화학식을 갖는 아세탈 결합을 포함할 것이다.
Figure pat00003
가교결합된 층은 충분히 가교결합 될 것이며 그 결과 실질적으로 전형적인 포토리지스트 용매에 불용성이다. 그러므로, 박리시험을 겪게 될 때, 상기 본원발명의 코팅층은 약 5% 미만, 바람직하게는 약 1% 미만, 심지어 더욱 바람직하게는 약 0%의 박리 백분율을 가질 것이다. 박리시험은 첫째로, 경화된 층 두께(서로 다른 다섯 지점에서의 평균)를 결정한다. 이것은 최초 필름 두께의 평균이다. 다음으로, 용매(예를 들면, 에틸 락테이트)가 약 10초 동안 상기 경화된 필름 위에 적셔지고, 그 후 상기 용매를 제거하기 위해 약 20-30초 동안 2,000-3,500 rpm의 스핀 건조단계가 후속된다. 타원해석법(ellipsometry)을 사용하여 웨이퍼 상의 서로 다른 다섯 지점에서 두께가 다시 측정되며, 상기 측정의 평균이 결정된다. 이것은 최후 필름 두께의 평균이다.
박리 양은 최초 및 최후 평균 필름 두께 사이에서 서로 상이하다. 박리 백분율은 다음과 같다:
Figure pat00004
가교결합된 층은 우수한 빛 흡수성을 갖는다. 사용된 파장(예를 들면, 157 ㎚, 193 ㎚, 248 ㎚, 365 ㎚)에서, 상기 경화된 무반사 층 또는 코팅의 n 값은 약 1.3 이상, 바람직하게는 약 1.4-2.0 이 될 것이며, 반면에 k 값은 약 0.1 이상, 바람직하게는 약 0.2-0.8 이 될 것이다. 사용된 파장(예를 들면, 157 ㎚, 193 ㎚, 248 ㎚, 365 ㎚)에서, 경화된 층의 OD는 약 5/㎛ 이상, 바람직하게는 약 5-15/㎛, 심지어 더욱 바람직하게는 약 10-15㎛가 될 것이다.
층이 경화된 이후, 특별한 제조 방법에 필수적인 추가적인 단계가 수행될 수 있다. 예를 들면, 경화된 층에 포토리지스트가 도포 될 수 있으며 그 후에 적절한 파장의 빛에 노출되어 패턴화되고 그 후 노출된 포토리지스트의 현상이 후속한다. 유리하게는 포토리지스트가 빛에 노출되듯이, 본원발명의 코팅은 노출된다. 빛에 노출되자마자, PAG로부터 산이 발생하며, 이러한 산은 층 내 화합물의 가교결합을 분해한다. 즉, 산은 화합물과 가교제 사이에 열 가교결합으로 결합된 결합을 파괴한다. 카르복시산이 폴리머 또는 올리고머상의 산 기일 때, 가교결합 분해는 알코올 및 아세틸알데히드 뿐만 아니라 원천적으로 조성물 내에 존재하는 동일한 폴리머 및 올리고머의 형성을 결과한다. 이러한 반응은 아래 도식에 제시되어 있다(여기서 R은 폴리머 골격 또는 올리고머 코어를 나타내며, R'은 비닐 에테르 가교제의 나머지 부분을 나타낸다).
Figure pat00005
이러한 가교결합 분해가 일어난 이후, 본원발명의 코팅은 습식 현상 될 수 있게 됨을 이해하여야 할 것이다. 즉, 빛에 노출되었던 경화된 조성물은 실질적으로(그리고 바람직하게 완전히) 수산화 테트라메틸 암모늄 및 KOH 현상액과 같은 전통적인 수성 현상액으로 제거될 수 있다. 이와 같은 현상액의 일부는 PD523 AD (JSR Micro사로부터 구입 가능), MF-319 (Massachusetts의 Shipley사로부터 구입 가능), 및 NMD3 (일본의 TOK사로부터 구입 가능) 현상액이라는 이름으로 상품화되어 있다. 본원발명의 코팅의 약 95% 이상, 바람직하게는 약 99% 이상, 심지어 더욱 바람직하게는 100%가 수산화 테트라메틸 암모늄 및/또는 KOH 현상액과 같은 염기성 현상액에 의해 제거될 것이다. 상품화되어 활용가능한 현상액에 있어서 빛 노출 이후의 이와 같은 높은 백분율의 용해도는 제조 공정을 단축하게 하고 비용을 절감하는 면에서 우수한 장점이다.
바람직한 실시예의 상세한 설명
다음의 실시예는 본원발명에 따르는 바람직한 방법을 개시한다. 그렇지만, 본 실시예는 예시적인 것이며 본원발명의 범위를 제한하는 것은 아니다.
물질 및 방법
1. 실험실 내에서의 테트라작용기 비닐 에테르 가교제의 제조
Figure pat00006
반응은 250㎖, 3-목, 둥근 바닥 플라스크 내에서 N2 하에 수행되었다. 사용하기 이전에 미네랄 오일을 제거하기 위해 Na 입방체를 헥산으로 헹구었으며, 즉시 바이알에 넣어 무게를 측정하였으며, 그 후 50㎖ THF을 함유한 플라스크로 옮겨졌다. THF 내 알코올 용액(20 ㎖)이 첨가 깔때기를 통하여 방울방울 첨가되었으며(약 15분 동안), 그 후 가열되어 모든 Na가 용해될 때까지 환류되었다(약 30분 동안). 용액은 노란색을 띠었으며 균질 하였다. THF(15㎖)에 용해된 테트라브로모 두렌이 반응 플라스크에 방울방울 첨가되었으며(약 30분 동안), 하룻밤동안 환류되었다. 첨가하자마자, 혼합물은 불균질 하게 되었다(NaBr 침전).
냉각 이후, 염은 여과되었으며 THF로 헹구어졌다. THF는 회전식 증발기 내에서 제거되었으며, 잔류 오일은 CHCl3(25 ㎖)에 재용해되었다. 클로로포름 용액은 물(2x25 ㎖), 그리고 그 후 염류 용액(NaCl로 포화됨, 25 ㎖)으로 세척되었다. 유기 층은 실리카 겔 층을 지나가면서 건조되었다. 용매는 제거되었다. 생성물은 추가적인 건조를 위하여 진공에 놓여졌다.
2. 실험실 내에서의 트리작용기 비닐 에테르 가교제의 제조
Figure pat00007
에틸렌 글리콜 비닐 에테르(6 그램) 및 트리에틸 아민(7.5 ㎖)이 에테르(40 ㎖) 내에서 혼합되었으며, 에테르와 트리메식산 클로라이드(6 그램) 용액(40%)으로 방울방울 처리되었다. 첨가 이후, 혼합물은 가열되어 1.5 시간 동안 환류되었다. 잔류 염은 여과에 의해 제거되었으며, 에테르 용액은 10% NaOH(2 x 25 ㎖), 및 물(25 ㎖)로 세척되었으며, 그 후 무수 마그네슘 술페이트로 건조되었다. 압력하에 용매가 제거된 후, 엷은 노란색 오일이 모아졌다(69% 수득률).
실시예 1
산 민감성 기이 없는 폴리머 조성물
메타크릴오일옥시 에틸 프탈레이트(28.9 mmol, Aldrich사로부터 구입) 및 2,2'-아조비스이소부티로니트릴("AIBN," 0.58 mmol 라디칼 개시제, Aldrich사로부터 구입)의 호모폴리머가 질소 대기 하에서 50 ㎖ 테트라하이드로푸란("THF," Aldrich사로부터 구입) 내에서 혼합되었으며 그 후 가열되어 15시간 동안 환류되었다. 반응은 냉각되어 약 25㎖로 농축되었으며, 그 후 200 ㎖의 헥산으로 침전되었다. 여과 및 건조 이후에, 약 8 그램의 잔류 백색 분말이 모아졌다. 폴리머 분자량("Mw")은 폴리스티렌 표준시료 및 겔 투과 크로마토그래피 ("GPC")에 의하여 측정되었으며 68,400으로 결정되었다.
193-㎚ 바닥 무반사코팅이 다음에 따라 제조되었다: 에틸 락테이트("EL," General Chemical사로부터 구입), 상기에서 제조된 폴리머, 28 중량% Vectomer 5015(Aldrich로부터 구입한 비닐 에테르 가교제), 및 4 중량% 트리페닐 술포늄 노나플레이트(PAG, Aldrich사로부터 구입)를 함유하는 3% 고형제제(solid formulation)가 제조되었으며 0.1-마이크론 엔드포인트 필터를 통해 여과되었다. 가교제 및 PAG의 양은 폴리머 중량에 기초하였다.
상기 제제는 실리콘 기판상에 1,500 rpm으로 스핀 코팅되었으며 그 후 160℃에서 구워졌다. 필름은 EL로 씻겨진 후 리지스트 용매에 대한 리지스턴스가 결정되었으며, 2초 동안 빛에 노출되었으며, 가열되어 130℃에서 노출-후 베이크(post-exposure bake, "PEB") 되었으며, 그 후 현상액(수산화 테트라메틸 암모늄 즉 "TMAH," PD523AD이라는 이름이 고체, JSR Micro사로부터 구입)에 60초 동안 침적되어 가교결합이 분해되고 바닥 무반사코팅이 제거되었다. 아래 표 1은 바닥 무반사코팅이 우수한 용매 리지스턴스를 가짐을 제시하고 있으며, 노출 이후 알칼리성 현상액에 의해 쉽게 제거될 수 있음을 제시하고 있다. 본 실시예는 산-민감성 기을 갖는 폴리머가 가교결합/가교결합 분해 공정에 요구되지 않음을 제시한다.
Figure pat00008
실시예 2
발색단, 산, 및 용해 강화제를 함유하는 바닥 무반사코팅
메타크릴산("MAA," 31.2 mmol, Aldrich사로부터 구입), tert-부틸 메타크릴레이트("tBMA," 26.0 mmol, Aldrich사로부터 구입), 9-안트라센 메틸 메타크릴레이트("9-AMMA," 14.5 mmol, St-Jean Photochemicals Inc.사로부터 구입), 및 ATBN (1.4 mmol)이 질소 대기 하에서 60 ㎖ THF 내에서 혼합되었으며 가열되어 19시간 동안 환류되었다. 반응은 냉각되었으며, 약 35㎖로 응축되었으며, 그 후 150㎖ 헥산으로 침전되었다. 여과 및 건조 이후, 약 10 그램의 엷은 노란색 분말이 모아졌다. 폴리스티렌 표준시료 및 GPC를 사용하여 측정된 폴리머 Mw는 23,800으로 결정되었다.
폴리머, PGME (General Chemical사로부터 구입), PGMEA (General Chemical사로부터 구입), 전술한 바와 같이 실험실 내에서 제조된 10% 테트라작용기 비닐 에테르 가교제, 및 4% 트리페닐 술포늄 트리플레이트 (Aldrich사로부터 구입한 PAG)를 함유하는 3% 고형제제가 제조되었으며 0.1-마이크론 엔드포인트 필터를 통해 여과되었다. 가교제 및 PAG의 양은 폴리머 중량에 기초하였다. 상기 제제는 실리콘 기판상에 1,500 rpm으로 스핀 코팅되었으며 그 후 160℃에서 구워졌다. 248 ㎚에서의 광학 상수가 분광타원해석기(variable angle spectroscopic ellipsometer, "VASE")를 사용하여 측정되었으며 k = 0.42 및 n = 1.4589로 결정되었다. 필름은 EL로 씻겨진 후 리지스트 용매에 대한 리지스턴스가 시험되었다. 씻김 및 스핀 건조 순환 이후, 필름 두께의 변화가 없었다. 경화된 필름은 0.26 N TMAH 용액에 침적되었으며, 두께 손실이 발생하지 않았다. 그렇지만, 필름이 수은-제논 램프로부터 나오는 빛에 2초 동안 노출되고 후속하는 130℃에서 90초 동안의 노출-후 베이크를 겪은 후, 필름이 현상액에 용해 가능하게 되었다.
실시예 3
폴리머 조성물에 의한 광학 특성의 조절
용해 특성은 유지하면서 반면에 바닥 무반사코팅의 광학 특성의 조절을 실증하기 위해 실시예 2의 방법 및 다양한 양의 발색단(9-AMMA)을 사용하여 몇몇 폴리머가 제조되었다. PGME, PGMEA, 전술한 바와 같이 실험실 내에서 제조된 10% 테트라작용기 비닐 에테르 가교제, 및 4% 트리페닐 술포늄 트리플레이트 PAG를 함유하는 3% 고형제제가 제조되었으며 0.1-마이크론 엔드포인트 필터를 통해 여과되었다.
표 2는 폴리머에 부하된 발색단의 증가에 의해, 광학 밀도, 및 기판 반사도가 조절되었다.
Figure pat00009
실시예 4
페놀릭 폴리머의 비교실시예
페놀 수지와 가교결합하는 비닐 에테르는 포토리지스트 용매에 의한 박리를 방지하는 충분한 가교결합 밀도를 제공하지 않음을 실증하게 위한 비교실시예가 제시되었다. 본 방법에 있어서, 폴리하이드록시스티렌("PHS," DuPont사로부터 구입) 0.5그램, 트리아진 PAG (TAZ107, Midori Kagaku Company사로부터 구입) 0.02 그램, EL 8.5 그램, 및 실험실에서 제조된 다양한 양의 트리스카르복시페닐 트리비닐 에테르가 혼합되었으며 0.1-마이크론 엔드포인트 필터를 통해 여과되었다. 두 가지 부가 제제가 또한 제조되었는데 248-㎚ 리소그라피을 위한 바닥 무반사코팅의 형성을 위해 9-안트라센 카르복시산 ("9-ACA," Aldrich사로부터 구입한 발색단)이 조성물에 첨가되었다. 필름은 실리콘 기판상에 스핀 코팅되었으며 그 후 최대 205℃까지 변화하는 온도에서 구워졌다(baked). 표 3은 수득된 결과를 제시한다. 모든 경우에 있어서, EL로 씻겨질 때 바닥 무반사코팅은 완전하게 박리하였다.
Figure pat00010
신규한, 습식 현상가능 무반사코팅 조성물 및 상기 조성물을 이용하는 방법이 제공된다. 상기 조성물은 가교제 및 광산발생제와 함께, 산 작용기를 가지며 용매 시스템에 용해되는 폴리머 및/또는 올리고머를 포함한다. 바람직한 산 작용기는 카르복시산이며, 반면 바람직한 가교제는 비닐 에테르 가교제이다. 사용에 있어서, 상기 조성물은 기판에 도포되고, 열 가교결합 된다. 빛에 노출되면, 상기 경화된 조성물은 가교결합이 분해되고, 전형적인 광 현상 용액(예를 들면, 알칼리성 현상액)에 용해될 것이다.

Claims (35)

  1. 다음 단계를 포함하는 마이크로전자구조체 형성 방법:
    표면을 갖는 기판을 제공하는 단계;
    조성물을 상기 표면에 도포하는 단계, 여기서 상기 조성물은:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고 상기 화합물은 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함함; 및
    상기 화합물을 상기 조성물 내에서 가교 결합시켜 사용되는 파장에서 적어도 약 0.1의 k 값을 갖는 가교결합된 조성물을 수득하는 단계.
  2. 제 1 항에 있어서,
    상기 조성물 상에서 포토리지스트 층을 형성하는 단계;
    상기 조성물을 빛에 노출시켜 상기 조성물의 노출된 부분을 수득하는 단계; 및
    상기 노출된 부분을 상기 표면으로부터 제거하도록 상기 조성물을 현상제와 접촉시키는 단계;
    를 추가로 포함하는 방법.
  3. 제 1 항에 있어서,
    상기 가교결합에 의해 다음 화학식:
    Figure pat00011


    을 갖는 결합을 포함하는 가교결합된 화합물이 얻어지는 방법.
  4. 제 3 항에 있어서, 상기 노출 단계는 다음 화학식:
    Figure pat00012

    을 갖는 연결의 결합(*)의 절단을 유발하는 방법.
  5. 제 1 항에 있어서, 상기 발색단은 상기 화합물과 결합되어 있는 방법.
  6. 제 1 항에 있어서, 상기 발색단은 상기 화합물과 결합되어 있지 않는 방법.
  7. 제 1 항에 있어서, 상기 조성물은 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의 상기 용매 시스템을 포함하는 방법.
  8. 제 1 항에 있어서, 상기 조성물은 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 3중량%의 고형분을 포함하는 방법.
  9. 제 1 항에 있어서, 상기 화합물은 지방족 폴리머, 아크릴레이트, 메타크릴레이트, 폴리에스테르, 폴리카보네이트, 노볼락, 폴리아믹산, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 방법.
  10. 제 1 항에 있어서, 상기 발색단은 나프탈렌, 헤테로사이클릭 발색단, 카르바졸, 안트라센, 치환된 그리고 치환되지 않은 페닐 및 이들의 작용기 부분으로 구성된 그룹으로부터 선택되는 방법.
  11. 제 1 항에 있어서, 상기 발색단은 나프토익산 메타크릴레이트, 3,7-디하이드록시나프토익산, 9-안트라센 메틸 메타크릴레이트, 9-안트라센카르복시산, 푸란 고리 및 티오펜 고리로 구성된 그룹으로부터 선택되는 방법.
  12. 다음을 포함하는 마이크로전자구조체:
    표면을 갖는 기판; 및
    상기 기판 표면에 인접한 가교결합 층, 여기서 상기 층은 사용되는 파장에서 적어도 약 0.1의 k 값을 갖고, 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하는 조성물로부터 형성됨.
  13. 제 12 항에 있어서,
    상기 가교결합 층은 다음 화학식:
    Figure pat00013


    을 갖는 결합을 포함하는 가교결합된 화합물을 포함하는 구조체.
  14. 제 12 항에 있어서, 상기 발색단은 상기 화합물과 결합되어 있지 않는 구조체.
  15. 제 12 항에 있어서, 상기 기판은 마이크로전자 기판인 구조체.
  16. 제 15 항에 있어서, 상기 기판은 실리콘, 알루미늄, 텅스텐, 텅스텐 규소화합물, 갈륨 비화물, 게르마늄, 탄탈, 탄탈 아질산염, SiGe, 이온주입 층, 낮은 k 절연체 층, 및 절연체 층으로 구성된 그룹으로부터 선택되는 구조체.
  17. 제 11 항에 있어서, 상기 층은 포토리지스트 용매에 실질적으로 불용성인 구조체.
  18. 제 11 항에 있어서, 상기 가교결합 층에 인접한 포토리지스트를 추가로 포함하는 구조체.
  19. 제 11 항에 있어서, 상기 조성물은 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의 상기 용매 시스템을 포함하는 구조체.
  20. 마이크로전자 소자의 제조에 유용한 조성물로서, 이 조성물은 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 20 내지 약 40 중량%의 발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하고, 이 조성물은 습식 현상가능인 조성물.
  21. 제 20 항에 있어서, 상기 산 기는 카복실산 기를 포함하는 조성물.
  22. 제 20 항에 있어서, 상기 조성물은 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의 상기 용매 시스템을 포함하는 조성물.
  23. 제 20 항에 있어서, 상기 조성물은 상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 3중량%의 고형분을 포함하는 조성물.
  24. 제 20 항에 있어서, 상기 화합물은 지방족 폴리머, 아크릴레이트, 메타크릴레이트, 폴리에스테르, 폴리카보네이트, 노볼락, 폴리아믹산, 이소시아누레이트, 글리시딜 에테르 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 조성물.
  25. 제 20 항에 있어서, 상기 발색단은 나프탈렌, 헤테로사이클릭 발색단, 카르바졸, 안트라센, 치환된 그리고 치환되지 않은 페닐 및 이들의 작용기 부분으로 구성된 그룹으로부터 선택되는 조성물.
  26. 제 20 항에 있어서, 상기 발색단은 나프토익산 메타크릴레이트, 3,7-디하이드록시나프토익산, 9-안트라센 메틸 메타크릴레이트, 9-안트라센카르복시산, 푸란 고리 및 티오펜 고리로 구성된 그룹으로부터 선택되는 조성물.
  27. 제 20 항에 있어서, 상기 발색단은 상기 화합물과 결합되어 있지 않는 조성물.
  28. 제 20 항에 있어서, 상기 발색단은 상기 화합물과 결합되어 있는 조성물.
  29. 다음 단계를 포함하는 마이크로전자구조체 형성 방법:
    표면을 갖는 기판을 제공하는 단계;
    조성물을 상기 표면에 도포하는 단계, 여기서 상기 조성물은:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함함;
    상기 화합물을 상기 조성물 내에서 가교 결합시키는 단계;
    상기 조성물 상에서 포토리지스트 층을 형성하는 단계;
    상기 조성물을 빛에 노출시켜 상기 조성물의 노출된 부분을 수득하는 단계; 및
    상기 노출된 부분을 상기 표면으로부터 제거하도록 상기 조성물을 현상제와 접촉시키는 단계.
  30. 다음을 포함하는 마이크로전자구조체:
    표면을 갖는 기판; 및
    상기 기판 표면에 인접한 가교결합 층, 여기서 상기 층은 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하는 조성물로부터 형성됨; 및
    상기 가교결합 층과 인접한 포토리지스트.
  31. 다음 단계를 포함하는 마이크로전자구조체 형성 방법:
    표면을 갖는 기판을 제공하는 단계;
    조성물을 상기 표면에 도포하는 단계, 여기서 상기 조성물은:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함함; 및
    상기 화합물을 상기 조성물 내에서 가교 결합시켜 사용되는 파장에서 적어도 약 0.1의 k 값을 갖는 가교결합된 조성물을 수득하는 단계.
  32. 다음을 포함하는 마이크로전자구조체:
    표면을 갖는 기판; 및
    상기 기판 표면에 인접한 가교결합 층, 여기서 상기 층은 사용되는 파장에서 적어도 약 0.1의 k 값을 갖고, 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    발색단;
    비닐 에테르 가교결합제; 및
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의 용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하는 조성물로부터 형성됨.
  33. 마이크로전자 소자의 제조에 유용한 조성물로서, 이 조성물은 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 20 내지 약 40 중량%의 발색단;
    비닐 에테르 가교결합제; 및
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 95-99 중량%의 용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하고, 이 조성물은 습식 현상가능인 조성물.
  34. 다음 단계를 포함하는 마이크로전자구조체 형성 방법:
    표면을 갖는 기판을 제공하는 단계;
    조성물을 상기 표면에 도포하는 단계, 여기서 상기 조성물은:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 20 내지 약 40 중량%의 발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함함; 및
    상기 화합물을 상기 조성물 내에서 가교 결합시키는 단계.
  35. 다음을 포함하는 마이크로전자구조체:
    표면을 갖는 기판; 및
    상기 기판 표면에 인접한 가교결합 층, 여기서 상기 층은 다음:
    폴리머, 올리고머, 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 화합물, 상기 화합물은 페놀 기를 제외한 산 기를 포함하고, 보호된 산 기과 보호되지 않은 산 기의 조합을 포함하고, 보호된 산 기 대 보호되지 않은 산 기의 몰 비율은 약 1 :3 내지 약 3:1임;
    상기 조성물의 전체 중량을 100 중량%로 하였을 때, 약 20 내지 약 40 중량%의 발색단;
    비닐 에테르 가교결합제; 및
    용매 시스템, 여기서 상기 화합물, 발색단 및 가교결합단은 이 용매 시스템에 용해되거나 분산됨;을 포함하는 조성물로부터 형성됨.
KR1020117031664A 2004-04-29 2005-04-15 비닐 에테르 가교제를 사용하는 무반사 코팅 KR101308191B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US56632904P 2004-04-29 2004-04-29
US60/566,329 2004-04-29
US11/105,862 US20050255410A1 (en) 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers
US11/105,862 2005-04-14
PCT/US2005/012851 WO2005111719A2 (en) 2004-04-29 2005-04-15 Anti-reflective coatings using vinyl ether crosslinkers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067022125A Division KR101308281B1 (ko) 2004-04-29 2005-04-15 비닐 에테르 가교제를 사용하는 무반사 코팅

Publications (2)

Publication Number Publication Date
KR20120015360A true KR20120015360A (ko) 2012-02-21
KR101308191B1 KR101308191B1 (ko) 2013-09-13

Family

ID=35309829

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117031664A KR101308191B1 (ko) 2004-04-29 2005-04-15 비닐 에테르 가교제를 사용하는 무반사 코팅
KR1020067022125A KR101308281B1 (ko) 2004-04-29 2005-04-15 비닐 에테르 가교제를 사용하는 무반사 코팅

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067022125A KR101308281B1 (ko) 2004-04-29 2005-04-15 비닐 에테르 가교제를 사용하는 무반사 코팅

Country Status (7)

Country Link
US (4) US20050255410A1 (ko)
EP (1) EP1743219B1 (ko)
JP (2) JP5972510B2 (ko)
KR (2) KR101308191B1 (ko)
CN (2) CN101916051B (ko)
TW (1) TWI387612B (ko)
WO (1) WO2005111719A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190140614A (ko) * 2018-06-12 2019-12-20 주식회사 엘지화학 코팅 조성물 및 이를 이용한 마이크로 전자 소자 제조용 포지티브형 패턴의 제조방법

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP4509106B2 (ja) * 2004-05-14 2010-07-21 日産化学工業株式会社 ビニルエーテル化合物を含む反射防止膜形成組成物
US7816071B2 (en) * 2005-02-10 2010-10-19 Az Electronic Materials Usa Corp. Process of imaging a photoresist with multiple antireflective coatings
KR100662542B1 (ko) * 2005-06-17 2006-12-28 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070117041A1 (en) * 2005-11-22 2007-05-24 Christoph Noelscher Photosensitive coating for enhancing a contrast of a photolithographic exposure
US20070298176A1 (en) * 2006-06-26 2007-12-27 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
CN101558358B (zh) 2006-12-13 2012-06-27 日产化学工业株式会社 含低分子溶解促进剂的形成抗蚀剂下层膜的组合物
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US8206893B2 (en) * 2007-10-30 2012-06-26 Brewer Science Inc. Photoimageable branched polymer
US7976894B1 (en) * 2007-11-13 2011-07-12 Brewer Science Inc. Materials with thermally reversible curing mechanism
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9638999B2 (en) * 2008-02-22 2017-05-02 Brewer Science Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
US7939244B2 (en) * 2008-04-23 2011-05-10 Brewer Science Inc. Photosensitive hardmask for microlithography
US8257910B1 (en) * 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP5218762B2 (ja) * 2008-12-12 2013-06-26 日産化学工業株式会社 レジストパターンの形成方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP5840954B2 (ja) * 2009-02-19 2016-01-06 ブルーワー サイエンス アイ エヌシー. 酸感応性、現像剤可溶性の下層反射防止膜
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
WO2011074433A1 (ja) * 2009-12-16 2011-06-23 日産化学工業株式会社 感光性レジスト下層膜形成組成物
KR20120105545A (ko) * 2010-01-18 2012-09-25 닛산 가가쿠 고교 가부시키 가이샤 감광성 레지스트 하층막 형성 조성물 및 레지스트 패턴의 형성 방법
KR20110112641A (ko) * 2010-04-07 2011-10-13 한국과학기술연구원 광활성 그룹을 측쇄로 가지는 사다리 구조의 폴리실세스퀴옥산 및 이의 제조방법
US8685615B2 (en) 2010-06-17 2014-04-01 Nissan Chemical Industries, Ltd. Photosensitive resist underlayer film forming composition
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP6035017B2 (ja) 2010-10-04 2016-11-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP5820676B2 (ja) * 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
US9960038B2 (en) 2010-12-27 2018-05-01 Brewer Science, Inc. Processes to pattern small features for advanced patterning needs
JP6449145B2 (ja) * 2012-04-23 2019-01-09 ブルーワー サイエンス アイ エヌ シー. 感光性、現像液可溶性の底面反射防止膜材料
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
JP6119669B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6135600B2 (ja) 2013-06-11 2017-05-31 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6119667B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6119668B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
CN111133381B (zh) * 2017-09-29 2024-02-13 富士胶片株式会社 着色感光性组成物及滤光器的制造方法
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Family Cites Families (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ko) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
JPS48891Y1 (ko) 1969-03-10 1973-01-11
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) * 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
EP0098922A3 (en) * 1982-07-13 1986-02-12 International Business Machines Corporation Process for selectively generating positive and negative resist patterns from a single exposure pattern
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
JPS60262150A (ja) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) * 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) * 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
KR910000832A (ko) * 1988-06-28 1991-01-30 랄프 챨스 메더스트 인터레벨 유전체 및 기질 피복물용의 저유전상수 및 저수분흡수율을 갖는 폴리이미드 및 코폴리이미드
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
JPH0414212A (ja) * 1990-05-02 1992-01-20 Dainippon Printing Co Ltd レジストパターン形成方法
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) * 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
DE69226920T2 (de) * 1991-10-07 1999-01-28 Fuji Photo Film Co Ltd Lichtempfindliche Zusammensetzung
JP3014065B2 (ja) * 1991-10-29 2000-02-28 日本ビクター株式会社 光ディスク,ガラスマスタ,ガラススタンパ,ガラス基板,それらの製造方法
EP0580108B1 (en) 1992-07-22 1997-03-12 Asahi Kasei Kogyo Kabushiki Kaisha A photosensitive polyimide composition
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
US5362608A (en) 1992-08-24 1994-11-08 Brewer Science, Inc. Microlithographic substrate cleaning and compositions therefor
JPH06230574A (ja) * 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
JPH06295064A (ja) * 1993-04-09 1994-10-21 Kansai Paint Co Ltd 感光性組成物及びパターンの製造方法
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) * 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
JP3033443B2 (ja) * 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JP3325715B2 (ja) 1994-08-24 2002-09-17 ホーヤ株式会社 反射防止性を有する光学部材の製造方法
JPH08110638A (ja) 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) * 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
EP0753540B1 (en) * 1995-07-12 2003-06-11 Mitsubishi Engineering-Plastics Corporation Polycarbonate resin composition
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR100223329B1 (ko) * 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
EP0824719B1 (en) * 1996-03-06 2001-12-05 Clariant Finance (BVI) Limited A process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
JP3135508B2 (ja) * 1996-10-22 2001-02-19 キヤノン株式会社 パターン形成方法およびデバイス生産方法
JPH10149531A (ja) 1996-11-15 1998-06-02 Sony Corp 磁気記録媒体及びその製造方法
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
JP4350168B2 (ja) 1997-03-07 2009-10-21 コーニング インコーポレイテッド チタニアドープ溶融シリカの製造方法
JPH10307394A (ja) 1997-05-09 1998-11-17 Hitachi Ltd ポジ型感光性樹脂組成物とそれを用いたパターン形成方法並びに電子装置の製法
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US6124077A (en) * 1997-09-05 2000-09-26 Kansai Paint Co., Ltd. Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6361833B1 (en) * 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6114085A (en) 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6127070A (en) 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6162587A (en) * 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6306560B1 (en) 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) * 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) * 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) * 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) * 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
AU6790000A (en) * 1999-08-26 2001-03-19 Brewer Science, Inc. Improved fill material for dual damascene processes
KR100533379B1 (ko) * 1999-09-07 2005-12-06 주식회사 하이닉스반도체 유기 난반사 방지막용 조성물과 이의 제조방법
CN1402840A (zh) * 1999-11-30 2003-03-12 部鲁尔科学公司 用于聚合物防反射涂料的非芳族发色团
US20020009599A1 (en) * 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) * 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
CA2400157A1 (en) * 2000-02-22 2001-08-30 Ram W. Sabnis Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) * 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
TW556047B (en) * 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
JP3948646B2 (ja) * 2000-08-31 2007-07-25 東京応化工業株式会社 ポジ型レジスト組成物及びそれを用いたレジストパターン形成方法
CN1316315C (zh) * 2000-09-19 2007-05-16 希普利公司 抗反射组合物
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
JP3787271B2 (ja) 2000-11-20 2006-06-21 東京応化工業株式会社 微細レジストホールパターン形成方法
US20030054117A1 (en) * 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) * 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6852828B2 (en) 2001-02-16 2005-02-08 Medtronic, Inc. Poly amic acid system for polyimides
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) * 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
AU2002312945A1 (en) * 2001-05-29 2002-12-09 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) * 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) * 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US20040010062A1 (en) * 2001-09-27 2004-01-15 Byeong-In Ahn Polyimide copolymer and methods for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) * 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6846612B2 (en) * 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
KR20030068729A (ko) * 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) * 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) * 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US20040077173A1 (en) * 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
JP4173414B2 (ja) 2003-08-28 2008-10-29 東京応化工業株式会社 反射防止膜形成用組成物およびレジストパターンの形成方法
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
JP5368674B2 (ja) * 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
KR20050045560A (ko) 2003-11-12 2005-05-17 삼성전자주식회사 리세스 게이트 트랜지스터의 채널형성용 이온주입 방법
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP4509106B2 (ja) 2004-05-14 2010-07-21 日産化学工業株式会社 ビニルエーテル化合物を含む反射防止膜形成組成物
KR20060028220A (ko) 2004-09-24 2006-03-29 주식회사 하이닉스반도체 반도체장치의 제조 방법
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190140614A (ko) * 2018-06-12 2019-12-20 주식회사 엘지화학 코팅 조성물 및 이를 이용한 마이크로 전자 소자 제조용 포지티브형 패턴의 제조방법

Also Published As

Publication number Publication date
US7601483B2 (en) 2009-10-13
CN1981240B (zh) 2012-09-26
JP2012188671A (ja) 2012-10-04
CN101916051A (zh) 2010-12-15
US20050255410A1 (en) 2005-11-17
JP5972510B2 (ja) 2016-08-17
TWI387612B (zh) 2013-03-01
KR101308191B1 (ko) 2013-09-13
US20090317747A1 (en) 2009-12-24
CN1981240A (zh) 2007-06-13
JP5511887B2 (ja) 2014-06-04
WO2005111719A2 (en) 2005-11-24
KR20070012812A (ko) 2007-01-29
TW200615312A (en) 2006-05-16
US20070117049A1 (en) 2007-05-24
WO2005111719A3 (en) 2006-11-09
US9110372B2 (en) 2015-08-18
JP2007536389A (ja) 2007-12-13
CN101916051B (zh) 2014-07-23
EP1743219B1 (en) 2015-12-09
KR101308281B1 (ko) 2013-09-13
US20120156613A1 (en) 2012-06-21
EP1743219A2 (en) 2007-01-17
EP1743219A4 (en) 2010-04-28

Similar Documents

Publication Publication Date Title
KR101308191B1 (ko) 비닐 에테르 가교제를 사용하는 무반사 코팅
KR101820263B1 (ko) 반사방지 코팅 조성물 및 이의 공정
KR20100014499A (ko) 비닐 에테르 가교제를 이용한 반사방지 코팅
KR101668505B1 (ko) 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
JP2007536389A5 (ko)
KR101211325B1 (ko) 광화상형성가능한 측쇄 폴리머
KR20100124303A (ko) 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅
WO2014107476A1 (en) Acid-strippable silicon-containing antireflective coating
KR100891046B1 (ko) 하이드록시프로필 셀룰로즈의 아릴 우레탄을 함유하는열경화성 반사방지 코팅
TWI491986B (zh) 硬遮罩用組成物、使用該組成物形成圖案的方法,以及包括該圖案之半導體積體電路元件
JP3848551B2 (ja) 有機反射防止膜の組成物及びその製造方法
KR20140055050A (ko) 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
KR20190078303A (ko) 중합체, 유기막 조성물 및 패턴 형성 방법
KR100687873B1 (ko) 유기 반사 방지막 조성물 및 이를 이용한 패턴 형성 방법
TWI436165B (zh) 可光成像分枝聚合物
US7976894B1 (en) Materials with thermally reversible curing mechanism
CN113589646A (zh) 涂覆组合物和形成电子装置的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170828

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190828

Year of fee payment: 7