KR20100124303A - 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅 - Google Patents

감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅 Download PDF

Info

Publication number
KR20100124303A
KR20100124303A KR1020107021298A KR20107021298A KR20100124303A KR 20100124303 A KR20100124303 A KR 20100124303A KR 1020107021298 A KR1020107021298 A KR 1020107021298A KR 20107021298 A KR20107021298 A KR 20107021298A KR 20100124303 A KR20100124303 A KR 20100124303A
Authority
KR
South Korea
Prior art keywords
reflective coating
coating layer
value
polymer
microelectronic structure
Prior art date
Application number
KR1020107021298A
Other languages
English (en)
Other versions
KR101697789B1 (ko
Inventor
짐 메도르
더글라스 게레로
라밀-마르첼로 메르카도
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20100124303A publication Critical patent/KR20100124303A/ko
Application granted granted Critical
Publication of KR101697789B1 publication Critical patent/KR101697789B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Abstract

본 발명은 이중층의, 감광성 습식-현상가능한 바닥 반사-방지 코팅 스택을 사용하여, 노광하는 동안 기판으로부터 반사를 감소시키기 위한 마이크로일렉트로닉 구조물을 제작하는 방법 및 이러한 방법에 의해 형성된 구조물을 제공한다. 본 발명은 반사-방지 코팅에 사용하기 위한 염료-충진 및 염료-부착 조성물을 제공한다. 반사-방지 코팅은 열 가교성이며 광화학적으로 탈가교가능하다. 바닥 반사-방지 코팅 스택은 점진적인 광학적 성질을 가지며 포토레지스트와 동시에 현상된다. 상기 방법 및 구조물은 특히 고-NA 리소그래피 공정에 적합하다.

Description

감광성이며 현상액-용해성인 193-NM 리소그래피를 위한 이중층 바닥 반사-방지 코팅 {DUAL-LAYER LIGHT-SENSITIVE DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATINGS FOR 193-NM LITHOGRAPHY}
발명의 배경
관련 출원들
본 출원은 본 출원에 참고문헌으로 첨부된, 2008년 2월 22일 출원된 61/030,830호의, 감광성이며 현상액-용해성인, 193-NM 리소그래피용 이중층 바닥 반사-방지 코팅이라는 명칭의 가출원에 대한 우선권의 이익을 주장한다.
발명의 분야
본 발명은 감광성이며 현상액-용해성인 이중층 바닥 반사-방지 코팅, 특히 고-NA 193-nm 리소그래피에 특히 적합한, 감광성이며 현상액-용해성인 바닥 반사-방지 코팅을 사용한 마이크로일렉트로닉 구조물의 제작 방법에 일반적으로 관련되어 있다.
선행 기술의 설명
집적 회로 제조업자들은 수율을 향상시키고 온-칩 컴퓨팅 파워를 증가시키기 위하여, 소자 특징부 치수를 최소화하고 기판 웨이퍼 크기를 최대화하기 위해 지속적으로 노력하고 있다. 높은 밀도 그리고 작은 특징부 치수에 대한 수요에 따라 포토리소그래피 기술은 점점더 한계를 보이고 있다. 비-광학 리소그래피 기술의 지연은 현존하는 기술 및 장비들의 확대를 필요로 하여 왔다.
광학 리소그래피 공정을 사용하여 패턴화될 수 있는 최소 특징부는 다음 식에 의해 결정된다 (레일레이의 이론):
Figure pct00001
여기서 W는 분해능이고, k1은 분해능 인자(resolution factor) (상수)이고, λ는 노광 방사선의 파장이며, NA는 노광 툴(tool)의 개구수이다. 레일레이의 이론은 짧은 파장 및 많은 개구수를 가진 노광 툴이 보다 우수한 패턴 분해능을 생성하게 될 것임을 나타낸다. 현재의 193 nm 포토리소그래피 공정들을 향상시키기 위한 더욱 최근의 경향들에는 다음이 포함된다: 1) 이미징 렌즈의 실제 개구수 (NA)를 > 0.9까지 증가시켜, 분해능을 증가시키는 것; 및 2) 유효 NA를 ≥ 1.0까지 증가시키기 위하여 침윤 리소그래피를 사용하여, 분해능 및 초점의 깊이를 증가시키는 것. 65 nm 하프-피치 노드에서의 고-NA 리소그래피 및 침윤 리소그래피의 사용은 k1을 0.3 이상으로 유지할 수 있게 한다. 자체적으로 또는 침윤시켜 높은-NA 성능 (>1.0)을 가지는 이미징 툴(imaging tools)을 사용하는 것은 보다 작은 임계 치수 및 보다 높은 밀도를 가진 보다 높은 패턴 분해능을 달성하기 위한 방법을 제공한다.
그러나, 고-NA 리소그래피는 자체적인 문제점들을 가진다. 예를 들면, 높은 개구수는 높은 각도에서 빛의 회절을 유발한다. 또한 고-NA 렌즈, 특히 침윤 리소그래피에서 고-NA 렌즈의 사용은 광범위한 각도의 입사를 야기한다. 수직 입사와의 이러한 편차(deviation)는 레지스트-대기(air) 및 레지스트-기판 경계면에서의 반사의 증가를 야기한다. 증가된 반사는 차례로 정상파 및 CD 스윙(CD swing) 모두의 증가를 야기한다. 이러한 문제점에 대한 한가지 접근방법은 기판 반사를 감소시키기 위하여 기판에 도포되는 바닥 반사-방지 코팅을 포토레지스트층 바로 아래에 사용하는 것이었다. 또한 포토레지스트층의 상부에 도포되는 상부 반사-방지 코팅도 포토레지스트의 상부 표면에서 반사를 감소시키기 위하여 사용되어왔다. 단일층의 바닥 반사-방지 코팅 및 상부 반사-방지 코팅은 고-NA 리소그래피, 특히 기판이 토포그래피를 포함할 때 고-NA 리소그래피에서 적절한 반사 제어를 제공하지 않음이 발견되었다.
노광 관용도, 초점의 깊이, 및 라인 에지 조도(line edge roughness)를 개선시킬 뿐만 아니라 CD 스윙을 효과적으로 감소시키는, 고-NA 리소그래피, 특히 토포그래피 위에 침윤 리소그래피를 포함하는 고-NA 리소그래피에 대한 증가된 반사 제어를 제공하는 바닥 반사-방지 코팅 전략들에 대한 수요가 해당 기술분야에 존재한다. 또한 고-NA 리소그래피에 적합하지만, 포토레지스트 현상액을 사용하여 제거가능한 바닥 반사-방지 코팅에 대한 수요도 존재한다.
발명의 개요
넓게는, 마이크로일렉트로닉 구조물을 형성하는 방법이 제공된다. 이 방법은 표면을 보유하는 기판을 제공하는 단계를 포함한다. 제 1 반사-방지 코팅층은 기판의 표면 위에 형성되며, 제 2 반사-방지 코팅층은 제 1 반사-방지 코팅층 위에 형성된다. 유리하게는, 제 1 및 제 2 반사-방지 코팅층은 감광성이면서도 습식-현상가능하다(wet-developable).
본 발명은 또한 새로운 마이크로일렉트로닉 구조물을 제공한다. 본 마이크로일렉트로닉 구조물은 표면을 보유하는 기판, 기판 표면에 인접한 제 1 반사-방지 코팅층, 및 제 1 반사-방지 코팅층에 인접한 제 2 반사-방지 코팅층을 포함한다. 특히, 상기 구조물에서 제 1 및 제 2 반사-방지 코팅층들은 감광성이면서도 습식-현상가능하다.
본 발명은 또한 마이크로일렉트로닉 구조물을 형성하는 또다른 방법을 제공한다. 이 방법은 표면을 보유하는 기판을 제공하는 단계를 포함한다. 기판의 표면 위에 제 1 반사-방지 코팅층이 형성되고, 제 1 반사-방지 코팅층 위에 제 2 반사-방지 코팅층이 형성된다. 유리하게는, 제 1 및 제 2 반사-방지 코팅층들은 습식 현상가능하며 각각의 k 값들을 가지는데, 여기서 제 2 반사-방지 코팅층의 k 값은 제 1 반사-방지 코팅층의 k 값과 적어도 약 0.05 만큼 차이가 난다.
또한 새로운 마이크로일렉트로닉 구조물도 제공된다. 이러한 마이크로일렉트로닉 구조물은 표면을 보유하는 기판, 기판 표면에 인접한 제 1 반사-방지 코팅층, 및 제 1 반사-방지 코팅층에 인접한 제 2 반사-방지 코팅층을 포함한다. 중요하게는, 상기 구조물에서 제 1 및 제 2 반사-방지 코팅층들은 습식 현상가능하며 각각의 k 값을 가지는데, 여기서 제 2 반사-방지 코팅층의 k 값은 제 1 반사-방지 코팅층의 k 값과 적어도 약 0.05 만큼 차이가 난다.
바람직한 구체예들의 상세한 설명
본 발명의 방법
더욱 상세하게, 본 발명은 침윤 리소그래피를 비롯한 고-NA 리소그래피에 특히 적합한 마이크로일렉트로닉 구조물을 형성하는 방법을 제공한다. 도 1에 도시된 바와 같이, 본 발명의 방법에서 표면을 보유하는 기판(10)이 제공된다. 임의의 종래 마이크로일렉트로닉 기판이 사용될 수 있다. 바람직한 기판은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 비소, 게르마늄, 탄탈륨, 탄탈륨 질화물, 산호, 블랙 다이아몬드, 그리고, 인 또는 붕소 도프된 유리 중 하나 이상으로 구성된 그룹에서 선택된 것을 포함한다. 기판(10)은 평면 표면을 보유할 수 있거나, 토포그래피 특징부들 (비아 홀, 트렌치, 컨택 홀, 돌출된 특징부, 라인 등)을 포함할 수 있다. 본 명세서에서 사용되는, "토포그래피"는 기판 표면에서의 또는 기판 표면 위에서의 구조물의 높이 또는 깊이를 말한다.
감광성이며 습식-현상가능한 반사-방지 코팅 조성물은 그 후 기판(10)에 도포되어, 기판(10) 위에 제 1 반사-방지 코팅층(12)을 형성한다 (도 1(A)). 이 조성물은 임의의 공지된 도포 방법으로 도포될 수 있는데, 바람직한 하나의 방법은 약 350 rpm 내지 약 4000 rpm (바람직하게는 약 1000 rpm 내지 약 2500 rpm)의 속도로 약 20초 내지 약 90초 (바람직하게는 약 30초 내지 약 60초)의 시간 주기 동안 조성물을 스핀-코팅하는 것이다. 반사-방지 코팅층(12)은 이후 조성물의 열 가교를 유도하여 경화층을 형성하기 위해 베이킹된다. 바람직한 베이킹 조건들에는 약 145℃ 이상, 바람직하게는 약 15O℃ 내지 약 175℃, 및 더욱 바람직하게는 약 155℃ 내지 약 165℃의 온도 그리고 약 30초 내지 약 120초 (바람직하게는 약 45초 내지 약 90초)동안의 시간 주기가 포함된다.
베이킹 후 제 1 반사-방지 코팅층(12)의 두께는 바람직하게는 약 10 nm 내지 약 50 nm, 더욱 바람직하게는 약 12 nm 내지 약 50 nm, 그리고 가장 바람직하게는 약 15 nm 내지 약 45 nm이다. 기판(10) 표면이 토포그래피를 포함하는 경우, 제 1 반사-방지 코팅(12)은 바람직하게는 기판 토포그래피를 실질적으로 커버하기에 충분한 두께로 도포된다. 바람직하게는, 제 1 반사-방지 코팅층(12)은 또한 사용 파장 (예컨대, 365 nm, 248 nm, 193 nm, 157 nm, 또는 13.5 nm, 이 중에서 193 nm가 가장 바람직하다)에서 약 1.4 내지 약 1.8, 더욱 바람직하게는 약 1.42 내지 약 1.75, 더더욱 바람직하게는 약 1.45 내지 약 1.65의 굴절율 (n 값)을 가진다. 제 1 반사-방지 코팅층(12)은 또한 바람직하게는 사용 파장 (예컨대, 365 nm, 248 nm, 193 nm, 157 nm, 또는 13.5 nm, 이 중에서 193 nm가 가장 바람직하다)에서 약 0.2 내지 약 0.65, 더욱 바람직하게는 약 0.25 내지 약 0.6, 더더욱 바람직하게는 약 0.35 내지 약 0.55의 흡광 계수 (k 값)를 가진다.
이후 감광성이며 습식-현상가능한 제 2 반사-방지 코팅 조성물이 기판(10) 위의 제 1 반사-방지 코팅층(12)에 도포되어, 제 2 반사-방지 코팅층(14)을 형성한다 (도 1(B)). 제 2 반사-방지 코팅 조성물은 임의의 공지된 도포 방법으로 도포될 수 있으며, 바람직한 하나의 방법은 조성물을 약 20초 내지 약 90초 (바람직하게는 약 30초 내지 약 60초)의 시간 주기 동안 약 350 rpm 내지 약 4000 rpm (바람직하게는 약 1000 rpm 내지 약 2500 rpm)의 속도로 스핀-코팅하는 것이다. 그 후 상기 조성물의 열 가교를 유도하여 제 1 반사-방지 코팅층(12) 위에 제 2 경화층을 형성하기 위해 제 2 반사-방지 코팅층(14)이 베이킹된다. 바람직한 베이킹 조건들에는 약 145℃ 이상, 바람직하게는 약 150℃ 내지 약 175℃, 더욱 바람직하게는 약 155℃ 내지 약 165℃의 온도 및 약 30초 내지 약 120초 (바람직하게는 약 45초 내지 약 90초)동안의 시간 주기가 포함된다.
베이킹 후 제 2 반사-방지 코팅층(14)의 두께는 바람직하게는 약 10 nm 내지 약 50 nm, 더욱 바람직하게는 약 12 nm 내지 약 50 nm, 가장 바람직하게는 약 15 nm 내지 약 45 nm이다. 바람직하게는, 제 2 반사-방지 코팅층(14)은 사용 파장 (예컨대, 365 nm, 248 nm, 193 nm, 157 nm, 또는 13.5 nm, 이 중에서 193 nm이 가장 바람직하다)에서 약 1.4 내지 약 1.8, 더욱 바람직하게는 약 1.42 내지 약 1.75, 더더욱 바람직하게는 약 1.45 내지 약 1.65의 굴절율 (n 값)을 가진다. 제 2 반사-방지 코팅층(14)은 또한 바람직하게는 사용 파장 (예컨대, 365 nm. 248 nm, 193 nm, 157 nm, 또는 13.5 nm, 이 중에서 193 nm이 가장 바람직하다)에서 약 0.1 내지 약 0.45, 더욱 바람직하게는 약 0.15 내지 약 0.4, 더더욱 바람직하게는 약 0.17 내지 약 0.23의 흡광 계수 (k 값)를 가진다.
제 2 반사-방지 코팅층(14)의 광학 지수(optical indices) (n 값, k 값)는 제 1 반사-방지 코팅층(12)의 광학 지수와 상이한 것이 특히 바람직하다. 더욱 바람직하게는, 제 2 반사-방지 코팅층(14)의 k 값은 제 1 반사-방지 코팅층(12)의 k 값과 약 0.05 이상, 더욱 바람직하게는 약 0.10 이상, 가장 바람직하게는 약 0.12 이상만큼 상이하다. 제 1 반사-방지 코팅층(12) 및 제 2 반사-방지 코팅층(14) 모두의 총 두께는 바람직하게는 약 20 nm 내지 약 100 nm, 더욱 바람직하게는 약 30 nm 내지 약 70 nm, 더더욱 바람직하게는 약 35 nm 내지 약 55 nm이어야 한다. 기판이 토포그래피를 포함하는 경우, 두 개 층들의 총 평균 두께는 바람직하게는 약 70 nm 미만, 더욱 바람직하게는 약 65 nm 미만, 더더욱 바람직하게는 약 55 nm이다,
유리하게도, 일단 가교된 반사-방지층들(12, 14)은 각각 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 락테이트 (PGMEA), 프로필렌 글리콜 메틸 에테르 (PGME), 프로필렌 글리콜 n-프로필에테르 (PnP), 시클로헥산온, 아세톤 및 감마 부티로락톤 (GBL) 중 하나 이상과 같은 전형적인 유기 용매들에서 실질적으로 불용성일 것이다. 그러므로, 박리 시험(stripping test) 하였을 때, 가교된 층들은 약 5% 미만, 바람직하게는 약 1% 미만, 더더욱 바람직하게는 약 0%의 박리 백분율을 가질 것이다. 이러한 박리 시험은 먼저 경화층들의 두께를 결정하는 단계 (5개의 상이한 위치에서 측정치들의 다이 평균을 취함으로써 결정)를 포함한다. 이것은 초기 평균 막 두께이다. 다음으로, 용매 (예컨대, 에틸 락테이트)를 경화된 막 위에 약 20초간 고이게 한 후, 약 3,000 rpm에서 약 30초 동안 스핀 건조되어 용매를 제거한다. 웨이퍼 위의 5개의 상이한 지점들에서 타원계를 사용하여 두께를 다시 측정하고, 이들 측정치들의 평균을 결정한다. 이것이 평균 최종 막 두께이다.
박리량은 초기 및 최종 평균 막 두께들 간의 차이이다. 박리 백분율은 다음과 같다:
Figure pct00002
×100
제 1 및 제 2 코팅층들 (12, 14)이 경화된 후, 필요에 따라 특정 제조 공정에 대한 추가 단계들이 생성된 이중층 반사-방지 코팅스택에 수행될 수 있다. 예를 들면, 도 1(C)에 도시된 바와 같이, 포토레지스트와 같은 이미징층(imaging layer)(16)이 이중층 반사-방지 코팅 스택(30)에 도포될 수 있다. 그 후 이미징 층(16)은 약 90℃ 이상, 바람직하게는 약 100℃ 내지 약 140℃, 더욱 바람직하게는 약 105℃ 내지 약 130℃의 온도에서, 약 30초 내지 약 120초의 시간 주기 동안 도포-후 베이킹("PAB") 된다. 베이킹 후 이미징층(16)의 두께는 전형적으로 약 120 nm 내지 약 250 nm, 더욱 바람직하게는 약 130 nm 내지 약 225 nm가 될 것이다.
이미징층(16)은 후속적으로 적절한 파장의 방사선에 노광됨으로써 패턴화된 후 (도 1(D) 참조), 노광된 이미징층은 현상된다. 더욱 구체적으로는, 이미징층(16)은 이미징층(16)의 표면 위에 배치되는 마스크(18)를 사용하여 노광된다. 마스크(18)는 방사선 (hv)이 마스크(18)를 통과할 수 있도록 그리고 이미징층(16)의 표면을 접촉하도록 고안된 개방 영역들을 가진다. 마스크(18) 중 남아있는 솔리드(solid) 부분들은 특정 영역들에서 방사선이 이미징층(16)의 표면에 접촉하지 않도록 고안되었다. 해당 업계의 종사자들은 개방 영역들과 솔리드 부분들의 배열이 원하는 패턴에 기초하여 이미징층(16)에 그리고 궁극적으로는 기판(10)에 형성되도록 고안됨을 용이하게 이해할 것이다.
종래의 리소그래피 공정에서, 노광하는 동안 방사선 공급원으로부터 이미징층(16)까지 방사선이 통과하는 매질은 대기이다. 전술한 바와 같이, 본 발명의 방법은 NA 〉1.0인 침윤 리소그래피에 특히 적합하다. 바람직하게는, 본 발명의 방법에서 약 1 이상의 NA가 구현되며, 더욱 바람직하게는 NA는 약 1 내지 약 1.35, 더더욱 바람직하게는 약 1.2 내지 약 1.35이다.
바람직한 구체예에서, 본 발명의 방법에서 노광동안 방사선이 통과하는 매질은 바람직하게는 액체이다. 더욱 바람직하게는, 이미징층(16)은 리소그래피 시스템의 광 투사 요소 (즉, 렌즈)를 통해 방사선에 노광되며, 침윤액은 적어도 리소그래피 시스템의 광학 요소 부분 및 본 발명의 마이크로일렉트로닉 구조물 부분 (즉, 이미징층 및 이중층 반사-방지 코팅 스택(30))의 광학 요소를 접촉한다. 더더욱 바람직하게는, 액체는 시스템에 있는 마지막 광학 요소와 이미징층 및 이중층 반사-방지 코팅 스택 (30) 사이의 공간을 채워서, 광학 요소는 액체에 침윤된다. 적절한 침윤액은 바람직하게는 1 보다 큰 (바람직하게는 약 1 내지 약 2, 더욱 바람직하게는 약 1.3 내지 약 1.4인) 굴절율을 가지며, 물 (바람직하게는 정제수)과 유기 용매 중 하나 이상으로 구성된 그룹에서 선택된다. 침윤 리소그래피 시스템은 해당 분야에서 공지이며, Amphibian™ Systems사 (로체스터, NY)의 Amphibian 간섭계를 포함한다.
유리하게는, 이미징층(16)이 광에 노광될 때, 감광성(photosensitive)이면서 습식-현상가능한 제 1 및 제 2 반사-방지 코팅층 (12, 14)도 노광된다. 즉, 방사선에 노광될 때, 제 1 및 제 2 반사-방지 코팅층 (12, 14)은 노광 공정에 의해 각각 탈가교되고, 이에 의해 제 1 및 제 2 반사-방지 코팅층들 (12, 14) 각각은 현상액-용해성이 된다. 이것은 이미징층으로부터 기저층들로 패턴을 전달하기 위해 선행기술의 공정들에서 요구되었던 건조 식각 단계를 제거한다. 본 출원에서 사용되는 "현상액 용해성" 또는 "습식-현상가능한"이란 광에 노광되었던 제 1 및 제 2 반사-방지 코팅층 (12, 14)의 일부분들이 테트라메틸 암모늄 하이드록사이드 (TMAH) 현상액들과 같은 종래의 수성 현상액을 사용하여 실질적으로 제거될 수 있음을 의미한다. 광 노광 후, 이미징층을 가진 이중층 반사-방지 코팅 스택(30)은 바람직하게는 약 140℃ 미만, 바람직하게는 약 100℃ 내지 약 135℃, 더욱 바람직하게는 약 105℃ 내지 약 130℃의 온도에서, 약 30초 내지 약 120초 (바람직하게는 약 45초 내지 약 90초)의 시간 주기 동안 노광-후 베이킹된다.
상기 단계들을 통해 현상액에 용해성이게 된, 제 1 및 제 2 반사-방지 코팅층(12, 14) 및 이미징층(16)의 노광된 부분들은 그 후 노광된 부분들을 제거하기 위해 현상액과 접촉된다. 이미징층(16)이 제거될 때, 이미징층(16)의 노광된 부분들 바로 아래에 있는 제 1 및 제 2 반사-방지 코팅층들(12, 14)의 노광된 부분들은 현상액에 의해 제거되어 이미징층(16) 및 제 1 및 제 2 반사-방지 코팅층들(12, 14) 모두에 원하는 패턴(20)을 형성한다. 패턴(20)은 비아 홀, 트렌치, 라인, 스페이스 등일 수 있으며, 패턴은 식각 또는 이온 주입 공정을 사용하여 궁극적으로 기판에 전달될 것이다. 바람직하게는, 이미징층(16) 및 반사-방지 코팅층(12, 14)의 노광된 부분들 중 약 95% 이상이 현상액에 의해 제거될 것이며, 더욱 바람직하게는 약 99% 이상, 그리고 더더욱 바람직하게는 약 100%가 제거될 것이다. 적절한 현상액은 테트라메틸암모늄 하이드록사이드 (TMAH)와 같은 유기 또는 무기 알칼리 용액이며, 바람직하게는 0.26N 이하의 농도의 TMAH 수용액을 포함한다. 바람직하게는, 0.26N TMAH 현상액에서 제 1 및 제 2 반사-방지 코팅층 각각의 용해 속도는 약 100 nm/초 내지 약 1000 nm/초, 더더욱 바람직하게는 500 nm/초 내지 약 1000 nm/초가 될 것이다. 그 후 소자 제작을 완료하기 위하여 패턴화된 스택(40) 위에 전통적인 식각, 금속배선 등이 실시될 수 있다.
본 발명의 방법의 개선된 반사 제어의 이점은 약 150 nm 미만의 매우 작은 특징부 크기들에서조차도 보다 우수한 임계 치수 제어, 그리고 이에 따라 원하는 특징부 크기를 원하는 크기의 적어도 약 15% 이내, 바람직하게는 원하는 크기의 적어도 약 12% 이내, 더더욱 바람직하게는 원하는 크기의 적어도 약 10% 이내로 프린트하는 능력이다. 예를 들면, 원하는 특징부 크기가 100 nm 라인인 경우, 특징부는 본 발명의 방법을 사용하여 "적어도 약 10% 이내"로 프린트되어, 라인의 크기는 90 nm 내지 110 nm 범위가 된다.
본 발명의 방법에서 사용하기 위한 조성물
상기 언급한 바와 같이, 본 발명의 공정에서 사용되는 조성물은 열경화성이면서도 감광성 (즉, 광화학적 탈가교성)이어야 한다. 더욱 상세하게는, 조성물은 바람직하게는 용매계에 용해되거나 분산된 가교성 폴리머를 포함한다. 조성물은 또한 바람직하게는 폴리머와 함께 용매계에 분산되거나 용해된 염료 (광 감쇄 부분 또는 화합물 또는 발색단), 광산 발생제 (PAG). 및 가교제 (상호호환적으로 가교결합제로도 언급됨)를 포함한다.
바람직한 폴리머는 산성 작용기를 포함할 것이다. 산성 작용기는 바람직하게는 폴리머의 총 중량을 100 중량%로 하여 폴리머에 약 7 중량% 이상, 바람직하게는 약 10% 내지 약 22 중량%, 더더욱 바람직하게는 약 11 중량% 내지 약 17 중량%의 수준으로 존재한다. 바람직한 산성 작용기들은 페놀류 및 카르복시산 (-COOH) 중 하나 이상으로 구성된 그룹에서 선택된다. 선행 기술의 조성물과 달리, 산성 작용기는 보호 작용기에 의해 보호될 필요가 없으며, 대안의 구체예에서 보호 작용기가 없는 것이 바람직하다. 특히, 이러한 구체예에서 산성 작용기의 약 95% 이상, 바람직하게는 약 98% 이상, 바람직하게는 약 100%가 보호 작용기가 없다. 보호 작용기는 산이 반응성이 되는 것을 저해하는 작용기이다.
보호 작용기는 본 발명에 필요하지 않기 때문에, 폴리머는 산-감응성일 필요가 없으며, 선택적으로 산-감응성이 아니다. 산-감응성 폴리머는 산의 존재하에서 제거되거나, 분해되거나 다른 방식으로 전환되는 보호 작용기를 함유하는 폴리머이다.
폴리머의 중량 평균 분자량(Mw)은 바람직하게는 약 8,000 내지 약 75,000 달톤, 더욱 바람직하게는 약 12,000 내지 약 50,000 달톤이다. 바람직한 폴리머들에는 아크릴레이트. 메트아크릴레이트, 아크릴산, 스티렌 및 비닐 중 하나 이상으로 구성된 그룹에서 선택된 폴리머들이 포함된다. 폴리머는 조성물 내 모든 성분들의 총 중량을 100 중량%로 보았을 때, 바람직하게는 약 0.6 중량% 내지 약 5 중량%, 바람직하게는 약 0.9 중량% 내지 약 4.5 중량%, 더더욱 바람직하게는 약 1.2 중량% 내지 약 4 중량% 수준으로 조성물에 존재한다.
염료는 조성물에 물리적으로 혼합될 수 있거나 ("염료-충진" 조성물로 언급됨), 폴리머와 결합될 수 있다 (폴리머 상의 작용기에 결합되거나 바람직하게는 폴리머 골격에 직접 부착됨) ("염료-부착" 조성물로 언급됨). 본 발명의 마이크로일렉트로닉 구조물은 이중층 스택의 각각의 반사-방지 코팅층에 있어서 두 개의 염료-충진 바닥 반사-방지 코팅 조성물 또는 두 개의 염료-부착 바닥 반사-방지 코팅 조성물을 사용하여 형성될 수 있다. 대안적으로, 각 유형의 조성물 중 하나가 이중층 스택에서 사용될 수도 있다. 즉, 바닥 반사-방지 코팅층들 중 하나는 염료-충진 반사-방지 코팅 조성물로부터, 그리고 다른 하나의 바닥 반사-방지 코팅층은 염료-부착 반사-방지 코팅 조성물로부터 형성될 수 있다.
염료가 물리적으로 폴리머와 함께 조성물로 혼합되어 염료-충진 조성물을 형성할 경우, 염료는 바람직하게는 산성 작용기를 가지는 폴리머 염료이다. 염료-충진 반사-방지 코팅에서 사용하기에 적합한 폴리머 염료는 가지형 폴리(4-하이드록시스티렌)과 같은 폴리(하이드록시스티렌) 및 폴리(비닐벤조산) 중 하나 이상으로 구성된 그룹에서 선택된다. 폴리머 염료 중 염료 부분은 폴리머 염료의 총 중량을 100 중량%로 보았을 때, 약 50 중량% 내지 약 92 중량%, 바람직하게는 약 65 중량% 내지 약 90 중량%, 더욱 바람직하게는 약 75 중량% 내지 약 85 중량%의 수준으로 조성물에 존재하여야 한다. 또한 이러한 구체예에서, 주된 폴리머 자체가 곁가지 카르복시산 작용기를 포함하는 것이 바람직하다. 더욱 바람직하게는, 염료-충진 반사-방지 코팅 조성물에서 사용되는 주된 폴리머는 투명하다. 본 발명의 염료-충진 조성물에서 사용하기에 특히 바람직한 폴리머들은 아크릴레이트, 메트아크릴레이트. 아크릴산, 스티렌 및 비닐 중 하나 이상으로 구성된 그룹에서 선택된다. 이러한 구체예에서 주된 폴리머에 대한 폴리머 염료의 중량비는 바람직하게는 약 0.05:1 내지 약 0.7:1, 더욱 바람직하게는 약 0.5:1이다. 염료-충진 조성물의 열 가교 동안, 가교제는 폴리머 염료 상의 산성 작용기(acidic function) 및 폴리머 상의 카르복시산 모두와 반응하여 가교된 (즉, 경화된) 층을 생성한다. 노광 및 노광-후 베이킹은 부산물을 형성하면서 염료 및 폴리머 모두에서 산성 작용기를 재생성한다. 이 공정의 화학은 아래 반응 도식에 도시되어 있다.
[반응 도식 A]
Figure pct00003
대안의 구체예에서, 염료는 폴리머에, 바람직하게는 폴리머 골격에 직접적으로 부착된다. 염료-부착 조성물에서 사용하기에 적합한 염료는 바람직하게는 스티렌 모노머 및 비닐벤조산 중 하나 이상으로 구성된 그룹에서 선택된다. 염료는 폴리머의 총 중량을 100 중량%로 보았을 때, 약 10 중량% 내지 약 50 중량%, 바람직하게는 약 15 중량% 내지 약 45 중량%, 더욱 바람직하게는 약 18 중량% 내지 약 35 중량%의 수준으로 조성물에 존재하여야 한다. 이러한 구체예에서 폴리머는 바람직하게는 곁가지 산성 작용기 (-OH, -COOH 등)를 가진다. 본 발명의 염료-부착 조성물에 사용하기에 특히 바람직한 폴리머들에는 아크릴레이트, 메트아크릴레이트, 아크릴산, 스티렌 및 비닐 중 하나 이상으로 구성된 그룹에서 선택된다. 이러한 구체예에서 폴리머에 대한 염료의 중량비는 바람직하게는 약 0.2:1 내지 약 0.33:1, 더욱 바람직하게는 약 0.5:1이다. 염료-부착 조성물의 열 가교 동안, 가교제는 폴리머 상의 산성 작용기와 반응하여, 가교된 (즉, 경화된 층) 층을 생성한다. 염료-충진 조성물과 달리, 염료는 바람직하게는 염료-부착 조성물에서 가교결합에 참여하지 않는다. 노광 및 노광-후 베이킹은 가교제로부터의 부산물을 형성하면서 폴리머 상에 산성 작용기를 재생성한다. 이러한 공정의 화학은 아래 반응 도식에 도시되어 있으나, 염료는 도시되어 있지 않다.
[반응 도식 B]
Figure pct00004
염료-충진 또는 염료-부착 반사-방지 조성물에 사용하기에 바람직한 PAG는 다음으로 구성된 그룹에서 선택된다: 오늄 염 (예컨대, 트리페닐 설포늄 퍼플루오로설포네이트, 예를 들면, TPS 노나플레이트, TPS 트리플레이트, 및 이들의 치환형, 예를 들면, 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트 (알킬-치환된 TPS 노나플레이트), 모두 Sigma-Aldrich사로부터 구입가능); 옥심-설포네이트 (예컨대, CIBA사에 의해 상표명 CGI®으로 판매되는 것들); 및 트리아진 (예컨대, Midori Kagaku Company사로부터 구입가능한 TAZ108®) 중 하나 이상. PAG는 조성물의 총 중량을 100 중량%로 보았을 때, 약 0.01 중량% 내지 약 0.1 중량%, 바람직하게는 약 0.015 중량% 내지 약 0.08 중량%, 더욱 바람직하게는 약 0.02 중량% 내지 약 0.06 중량%의 수준으로 조성물에 존재하여야 한다.
염료-충진 또는 염료-부착 바닥 반사-방지 코팅 조성물들에 사용하기에 바람직한 가교제는 비닐 에테르 가교제 및 에폭시 중 하나 이상으로 구성된 그룹에서 선택된다. 상업적으로 구입가능한 비닐 에테르의 예에는 상표명 VECTomer™ (Aldrich; 세인트 루이스, MO)으로 판매되는 에테르들이 포함된다. 적절한 에폭시의 예에는 Huntsman Advanced Materials사로부터 상표명 Araldite® (예컨대, M Y720 4작용기성 에폭시 수지)로 구입가능한 에폭시들이 포함된다. 가교제는 다중-작용기성 (2-, 3-, 및 4-작용기성)인 것이 특히 바람직하다. 가교제는 조성물의 총 중량을 100 중량%로 보았을 때, 바람직하게는 약 0.1 중량% 내지 약 1.2 중량%, 바람직하게는 약 0.15 중량% 내지 약 1 중량%, 더더욱 바람직하게는 약 0.2 중량% 내지 약 0.7 중량%의 수준으로 조성물에 존재한다.
더욱 바람직하게는, 조성물에 사용하기 위한 비닐 에테르 가교제는 다음 구조식을 가진다
R' (X-O-CH=CH2)n,
여기서 R'은 아릴 (바람직하게는 C6-C14) 및 알킬 (바람직하게는 C1-C18, 및 더욱 바람직하게는 C1-C10)로 구성된 그룹에서 선택되며, 각각의 X는 개별적으로 알킬 (바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 알콕시 (바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 카르보닐, 및 전술한 것들의 둘 이상으로 구성된 그룹에서 선택되며, n은 2 이상, 바람직하게는 2-6이다. 가장 바람직한 비닐 에테르에는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르 및 1,4-시클로헥산 디메탄올 디비닐 에테르 중 하나 이상으로 구성된 그룹에서 선택된 비닐 에테르들이 포함된다. 또다른 바람직한 비닐 에테르는
Figure pct00005
Figure pct00006
Figure pct00007
Figure pct00008
으로 구성된 그룹에서 선택된 구조식을 가진다.
바람직한 용매계는 PGMEA, PGME. PnP 및 에틸 락테이트 중 하나 이상으로 구성된 그룹에서 선택된 용매를 포함한다. 바람직하게는, 용매계는 약 118-160℃, 더욱 바람직하게는 약 118-146℃의 끓는점을 가진다. 용매계는 조성물의 총 중량을 100 중량%로 하여, 약 97 중량% 내지 약 99.1 중량%, 바람직하게는 약 98 중량% 내지 약 98.9 중량%, 더더욱 바람직하게는 약 98.1 중량% 내지 약 98.8 중량%의 수준으로 사용되어야 한다. 반사-방지 코팅 조성물은 조성물의 총 중량을 100 중량%로 하여, 바람직하게는 약 0.9 중량% 내지 약 3 중량%, 바람직하게는 약 1.1 중량% 내지 약 2 중량%, 더더욱 바람직하게는 약 1.2 중량% 내지 약 1.9 중량%의 고체 함량을 포함할 것이다.
또한 바람직하게는 임의의 추가 성분들이 폴리머와 함께 용매계에 분산된다. 예를 들면, 조성물은 또한 바람직하게는 광분해성 염기(photodecomposable bases) 및 퀀처(quencher)를 포함한 반응 정지제를 포함한다. 적절한 광분해성 염기의 예에는 설포늄 하이드록사이드 (예컨대, 트리페닐설포늄 하이드록사이드), 요오도늄 하이드록사이드 (예컨대. 디페닐요오도늄 하이드록사이드) 및 이들의 짝염 (conjugated salts), 예를 들면, 트리페닐설포늄 아세테이트, 트리페닐설포늄 캄포네이트, 및 트리페닐설포늄 캄포레이트가 포함된다. 광분해성 염기가 존재하는 경우, 반사-방지 코팅 조성물은 바람직하게는 조성물의 총 중량을 100 중량%로 하여, 약 0.0015 중량% 내지 약 0.1 중량%, 바람직하게는 약 0.002 중량% 내지 약 0.08 중량%, 및 더더욱 바람직하게는 약 0.003 중량% 내지 약 0.07 중량%의 광분해성 염기를 포함할 것이다. 바람직한 퀀처는 트리에탄올아민, 트리에틸아민, 트리메탄올아민, 트리메틸아민, 트리이소프로판올아민, 트리이소프로필아민, 트리-t-부탄올아민, 트리-t-부틸아민, 트리-n-부탄올아민, 트리-n-부틸아민, 디에탄올아민, 디에틸아민, 디메탄올아민, 디메틸아민, 디이소프로판올아민, 디이소프로필아민, 디-t-부탄올아민, 디-t-부틸아민, 디-n-부탄올아민, 디-n-부틸아민, 에탄올아민, 에틸아민, 메탄올아민, 메틸아민, 이소프로판올아민, 이소프로필아민, t-부탄올아민, t-부틸아민, n-부탄올아민, 및 n-부틸아민 중 하나 이상으로 구성된 그룹에서 선택된다. 퀀처가 존재하는 경우, 반사-방지 코팅 조성물은 바람직하게는 조성물의 총 중량을 100 중량%로 하여, 약 0.002 중량% 내지 약 0.05 중량%의 퀀처, 바람직하게는 약 0.003 중량% 내지 약 0.04 중량%, 더더욱 바람직하게는 약 0.004 중량% 내지 약 0.02 중량%의 퀀처를 포함할 것이다.
조성물에 선택적으로 포함될 수 있는 추가 성분들에는 계면활성제, 부착 촉진제, 항산화제 및 광개시제 중 하나 이상이 포함된다.
본 발명의 방법에 사용하기에 적합한 그 외 다른 습식-현상가능한 조성물은 본 명세서에 참고문헌으로 첨부된, U.S. 공개 특허 출원 제 2005/0255410호에 개시되어 있다.
도면의 간단한 설명
도 1은 본 발명의 방법 및 구조물의 한 구체예를 도시한다;
도 2(a)-2(c)는 각각 1.6초, 1.7초, 및 1.8초의 노광 시간에서 150-nm의 라인과 스페이스들로 패턴화된, 실시예 4로부터의 포토레지스트 이중층 염료-충진 바닥 반사-방지 코팅 스택의 SEM 사진이며:
도 3(a)-3(c)는 각각 1.7초, 1 .8초, 및 1.9초의 노광 시간에서 150-nm의 라인과 스페이스들로 패턴화된, 실시예 12로부터의 포토레지스트 이중층 염료-부착 바닥 반사-방지 코팅 스택의 SEM 사진이다.
실시예
다음의 실시예들은 본 발명에 따른 바람직한 방법들을 설명한다. 그러나, 이들 실시예들은 예시적인 설명으로 제공되며 실시예에 기재된 어떤 것도 본 발명의 전체 범위에 대한 제한이 되지는 않음을 이해하여야 한다.
실시예 1
염료-충진, 바닥 반사-방지 코팅을 위한,
곁가지 카르복시산 작용기를 가진 "투명한" 터폴리머의 합성
이 실시예에서, 500-ml의 삼목 플라스크에 18.40 그램 (213.7 mmol)의 메트아크릴산, 25.06 그램 (149.0 mmol)의 시클로헥실 메트아크릴레이트, 19.1 그램 (134 mmol)의 t-부틸 메트아크릴레이트, 및 156.41 그램의 PGME를 채웠다. 플라스크에 자기 교반 막대, 질소 유입구가 구비된 적하 깔때기, 질소 배출구가 구비된 콘덴서, 및 온도계를 설치하였다. 다음으로, 적하 깔때기에 6.88 그램 (25,4 mmol)의 디큐밀 퍼옥사이드, 및 67.14 그램의 PGME를 함유하는 용액을 채웠다. 시스템을 약 15분간 질소로 씻어낸 후, 122℃의 오일조에 침윤시켰다. 119℃의 반응 용액 및 질소하에 교반하면서, 디큐밀 퍼옥사이드 용액을 플라스크에 2.8분에 걸쳐 서서히 첨가하였다. 생성된 용액을 질소하에 약 118℃-123℃에서 24시간 동안 교반하였다.
이후 용액을 대기중에서 대략 주변 조건이 될 때까지 냉각시켰다. 다음으로, 73 mg의 4-메톡시페놀을 냉각된 용액에 첨가한 후, 균질해 질 때까지 교반하였다. 생성물의 수율은 289.6 그램이었으며, 이론적인 수율은 292.3 그램 이었다 (99.1% 회수율). 폴리머 고체 백분율 (이론상)은 23.49%였다. 겔 투과 크로마토그래피(GPC)에 의해 측정된 생성된 폴리머의 중량-평균 분자량(Mw)은 36,700 달톤이었다. 반응물에 관한 유리 모노머(free monomer)의 중량%는 다음과 같았다:
메트아크릴산: 0%
시클로헥실 메트아크릴레이트: 0.22%
t-부틸 메트아크릴레이트: 0.02%
실시예 2
실험계획법( DOE ) 소프트웨어를 사용하여 최적화된, O.5의 k값을 가지는 염료- 충진 감광성 바닥 반사-방지 코팅의 제조
이 실시예에서, 실시예 1의 폴리머를 사용하여 0.5의 k값을 가지는 바닥 반사-방지 코팅을 제조하였다. 250-ml 갈색 Nalgene® 병에 1.541 -1.542 그램의 가지형 폴리(4-하이드록시스티렌), 133.084 그램의 PGME, 및 33.842-33.843 그램의 PGMEA를 채웠다. 혼합물을 주변 조건에서 2.0 시간 동안 흔들었다. 다음으로, 내부 제조된 0.502 그램 (정량적으로 첨가됨)의 비닐 에테르 가교제 (실시예 13에 제조 방법이 기재되어 있음) 및 실시예 1의 3.094 그램의 폴리머 용액을 상기 병에 첨가하였다. 생성된 혼합물을 주변 조건에서 15.6 시간 동안 흔들었다. 이 혼합물에 0.1470 그램의 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트 (Sigma-Aldrich사; 세인트 루이스, MO)를 첨가한 후, 주변 조건에서 3.2 시간 동안 흔들었다. 다음으로, 0.0205 그램의 수용성 트리페닐설포늄 하이드록사이드 (TPS-OH; 21.9 중량% 고체; Charkit Chemical사; 노르워크, CT)를 상기 병에 정량적으로 첨가하였다. 생성된 혼합물을 주변 조건에서 45시간 동안 흔들었다. 그 후 생성된 바닥 반사-방지 코팅을 0.1-μm 종말점 필터를 통해 60-ml의 갈색 Nalgene® 병으로 여과시켰다.
그 후 반사-방지 코팅 조성물(fomulation)의 필름 성질을 시험하였다. 바닥 반사-방지 코팅 조성물을 실리콘 기판 위에, 1,500 rpm에서 60초간 스핀 코팅한 후, 160℃에서 60초 동안 베이킹하였다. 생성된 필름의 초기 두께를 측정하고 Gaertner 타원계를 사용하여 기록하였다. 그 후 층의 용매 내성을 시험하였다. 용매 (에틸 락테이트)를 필름 위에 20초간 고이게 한 후, 용매를 제거하기 위해 약 30초간 약 3,000 rpm에서 스핀 건조하였다. 타원계를 사용하여 다시 두께를 측정하고, % 박리(stripping) 또는 부풀음(swelling)을 측정하였다.
다음으로, 노광 전과 후에 포토레지스트 현상액에서의 층의 용해도를 시험하였다. 먼저, 노광되지 않은 층을 13O℃에서 90초간 베이킹하였다. 그 후 포토레지스트 현상액 (0.26 N TMAH)을 필름 위에 60초간 고이게 한 후, 300 rpm으로 회전시키면서 5초간 탈이온수로 헹군 다음, 현상액을 제거하기 위해 약 3,000 rpm에서 약 30초간 스핀 건조하였다. 타원계를 사용하여 층 두께를 측정하고, % 현상을 계산하였다. 다음으로, 상기 층을 Oriel™ DUV 광대역 노광 유닛 상의 광대역의 빛으로 20 mJ/cm2에서 노광시켰다. 노광된 층을 130℃에서 90초간 노광-후 베이킹(PEB) 하였다. 그 후 포토레지스트 현상액 (0.26 N TMAH)을 필름 위에 60초간 고이게 한 후, 300 rpm에서 회전시키면서 5초간 탈이온수로 헹구고, 그 다음 현상액을 제거하기 위하여 약 3,000 rpm에서 약 30초 동안 스핀 건조시켰다. 상기 층의 두께를 다시 측정하고, % 현상을 계산하였다. 필름의 n 및 k값을 J. A. Woollam Co.. Inc.사의 VASE®를 사용하여 측정하였다. 필름 성질들은 표 1에 열거되어 있다.
표 1. k ~ 0 5인 반사-방지 코팅의 필름 성질들
필름 두께
(nm)
EL 박리 또는 부풀음 노광되지 않은 필름 현상 노광된 필름 현상 193 nm에서
n 값
193 nm에서
k 값
54.6 -0.92% -7.25% -100% 1.47 0.49
실시예 3
0.2의 k-값을 가지는 DOE -최적화된
염료- 충진 , 광- 감응성 바닥 반사-방지 코팅의 제조.
본 실시예에서, 실시예 1의 폴리머를 사용하여 0.2의 k값을 가지는 바닥 반사-방지 코팅을 제조하였다. 250-ml의 갈색 Nalgene® 병에 0.467 그램의 가지형 폴리(4-하이드록시스티렌), 130.3616 그램의 PGME, 및 33.853 그램의 PGMEA를 채웠다. 혼합물을 주변 조건에서 16.9 시간 동안 흔들었다. 다음으로, 0.759 그램의 내부 제조 비닐 에테르 가교제 및 6.578 그램의 실시예 1의 폴리머 용액을 상기 병에 첨가하였다. 혼합물을 주변 조건에서 1.4 시간 동안 흔들었다. 이 혼합물에, 0.085 그램의 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트를 첨가하고, 생성된 혼합물을 주변 조건에서 1.0 시간 동안 흔들었다. 다음으로, 0.0206-0.021 그램의 수용성 TPS-OH (21.9 중량% 고체)를 정량적으로 첨가하고, 혼합물을 주변 조건에서 4.1 시간 동안 흔들었다. 생성된 바닥 반사-방지 코팅을 0.1-μm 종말점 필터를 통해 60-ml의 갈색 Nalgene® 병으로 여과시켰다. 그 후 반사-방지 코팅 조성물의 필름 성질을 시험하였다. 바닥 반사-방지 코팅 조성물을 실리콘 기판 위에 1,500 rpm에서 60초간 스핀 코팅한 후, 160℃에서 60초간 베이킹하였다. 생성된 필름의 초기 두께를 측정하여 기록하였다. 그 후 층의 용매 내성을 시험하였다. 용매 (에틸 락테이트)를 필름 위에 20초동안 고이게 한 후, 용매를 제거하기 위하여 약 3,000 rpm에서 약 30초간 스핀 건조하였다. 두께를 다시 측정하고, % 박리 또는 부풀음을 계산하였다.
다음으로, 노광 전과 후, 포토레지스트 현상액에서의 상기 층의 용해성을 시험하였다. 먼저, 노광되지 않은 층을 130℃에서 90초간 베이킹하였다. 그 후 포토레지스트 현상액 (0.26 N TMAH)을 필름 위에 60초간 고이게 하고, 300 rpm에서 회전시키면서 5초간 탈이온수로 헹군 후, 현상액을 제거하기 위하여, 약 3,000 rpm에서 약 30초간 스핀 건조하였다. 층의 두께를 측정하고, % 현상을 계산하였다. 다음으로, 층을 Oriel 노광 유닛 상에서 광대역의 빛으로 40 mJ/cm2에서 노광시켰다. 노광된 층을 130℃에서 90초 동안 PEB 하였다. 그 후 포토레지스트 현상액 (0.26 N TMAH)을 필름 위에 60초간 고이게 한 후, 300 rpm에서 회전시키면서 5초간 탈이온수로 헹구고, 현상액을 제거하기 위해 약 3,000 rpm에서 약 30초간 스핀 건조하였다. 층의 두께를 다시 측정하고, % 현상을 계산하였다. 필름의 n 및 k 값들을 J. A. Woollam Co.. Inc.사의 VASE® 상에서 측정하였다. 필름 성질은 표 II에 열거되어 있다.
표 2 - k = 0.2인 반사-방지 코팅의 필름 성질
필름 두께
(nm)
EL 박리 또는 부풀음 노광되지 않은 필름 현상 노광된 필름 현상 193 nm에서
n 값
193 nm에서
k 값
54.8 -0.64% -1.05% -100% 1.55 0.20
실시예 4
바닥 반사-방지 코팅 및 포토레지스트 이중층을 사용한 193- nm 리소그래피
본 실시예에서, 193 nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅 스택을 형성하기 위해 실시예 2 (k 값= 0.5) 및 3 (k 값 = 0.2)에서 제조된 반사-방지 코팅 조성물이 사용되었다. 먼저, 0.5 k-값의 바닥 반사-방지 코팅을 1,350 rpm에서 60초간 실리콘 웨이퍼 위에 스핀-코팅한 후, 16O℃에서 60초 동안 베이킹하였다. 상기 층은 28 nm의 두께를 가졌다. 다음으로, 0.5 k 값의 반사-방지 코팅층 위에 0.2 k 값의 바닥 반사-방지 코팅을 2,000 rpm에서 60초간 스핀-코팅한 후, 160℃에서 60초 동안 베이킹하였다. 제 2 층은 20 nm의 두께를 가졌다. 다음으로, 포토레지스트 조성물 (AR 1682J: JSR Micro. Inc.사) 층을 3,200 rpm에서 30초간 이중층 바닥 반사-방지 코팅 위에 스핀-코팅하였다. 그 후 포토레지스트를 110℃에서 60초간 도포-후 베이킹(PAB) 하였다. 포토레지스트 층은 130 nm의 두께를 가졌다.
그 후 생성된 스택을 AmphibianTM Systems (로체스터, NY)사의 Amphibian 간섭계를 사용하여 노광시킨 후, 110℃에서 60초간 PEB 하였다. 그 후 웨이퍼 스택을 0.26 N TMAH 현상액에서 45초간 침윤시켜 현상하고, 탈이온수로 헹구어 스핀 건조시켰다. 이후 Carl Zeiss SMT Inc.사의 LEO 1560으로 횡방향으로 절단된 웨이퍼의 SEM 사진들을 찍었다. 도 3은 1.6 초(도 3 (a)), 1.7 초(도 3(b)), 및 1.8 초 (도 3(c))의 노광 시간에서 150 nm의 라인과 스페이스 (1:1)로 패턴화된 포토레지스트 및 이중층 반사-방지 코팅의 SEM 사진들을 보여준다.
실시예 5
0.4 k 값의 바닥 반사-방지 코팅을 위한 염료-부착 폴리머의 합성
본 실시예에서는, 자기 교반 막대와 온도계가 구비된 500-ml 삼목 플라스크에 6.93 그램 (80.5 mmol)의 메트아크릴산, 5.85 그램 (56.2 mmol)의 스티렌, 171.19 그램의 PGME, 및 12.59 그램 (50.7 mmol)의 2-에틸-2-아다만틸 메트아크릴레이트 (AD-EM)를 채웠다. 혼합물을 주변 조건에서 교반하여 반응 용액을 생성하였다. 그 후 질소 배출구를 구비한 콘덴서와 질소 유입구를 구비한 적하 깔때기를 플라스크에 부착하였다. 다음으로, 적하 깔때기를 498 mg (3.03 mmol)의 2,2'-아조비스이소부티로니트릴 (AIBN) 및 56.11 그램의 PGME로부터 제조한 용액으로 채웠다. 이 시스템을 15분 동안 질소로 부드럽게 씻어낸 후, 플라스크를 100℃의 오일조에 침윤시켰다. 반응 용액을 103.5℃의 온도로 두고 질소하에 교반하면서, AIBN 용액을 1.2분에 걸쳐 플라스크에 서서히 첨가하였다. 생성된 혼합물을 99℃-105℃에서 24시간 동안 질소하에 교반하였다.
이후 혼합물을 대기에서 대략 주변 조건까지 냉각시켰다. 다음으로, 0.06 그램의 4-메톡시페놀을 냉각시킨 혼합물에 첨가하고, 혼합물을 균질해 질때까지 교반하였다. 폴리머 용액의 수율은 251.1 그램 (99.2% 회수율)이었다. 이론적인 폴리머 고체의 백분율은 10.2%였다. GPC를 사용하여 측정한 중량 평균 분자량 (Mw)은 11,000 달톤이었다.
폴리머에 포함되지 않았던 모노머의 백분율은 다음과 같다:
메트아크릴산: 30.73%
스티렌: 9.22%
AD-EM: 9.55%
실시예 6
0 4 k 값의 염료-부착 바닥 반사-방지 코팅을 위한
실시예 5에서 얻은 폴리머의 침전
본 실시예에서, 오버헤드 교반기와 적하 깔때기가 구비된 4-리터의 비이커에 1,500 ml의 헥산을 채웠다. 다음으로, 실시예 5의 폴리머 용액 149.8 그램을 적하 깔때기에 첨가하였다. 주변 조건하에서 헥산을 교반하면서, 실시예 5의 폴리머 용액을 21분에 걸쳐 비이커에 방울방울 첨가하였다. 그 후 생성된 혼합물을 주변 조건에서 13분 동안 추가로 교반하였다. 다음으로, 용매를 진공 여과하여 폴리머로부터 분리하였다. 그 후 약 200 ml의 새로운 헥산을 폴리머 침전물에 첨가하고, 혼합물을 5.5분간 교반하였다. 진공 여과를 하여 용매를 다시 제거하였다. 주변 조건에서 약 3일 동안 폴리머를 건조되게 한 후, 막자와 막자사발에서 흰색 분말로 분쇄하였다. 그 후 폴리머를 진공하에 24시간 동안 50℃에서 추가로 건조시켰다. 총 수율은 8.77 그램 (폴리머 모액으로부터 57.5% 수율)이었다.
실시예 7
염료-부착 바닥 반사-방지 코팅을 위한 전구물질의 제조
250-ml 갈색 Nalgene® 병에 실시예 6의 폴리머 1.8654 그램, 120.4998 그램의 PGME, 30.1249 그램의 PGMEA, 0.5413 그램의 내부 제조된 비닐 에테르 가교제, 0.0262 그램의 TPS 노나플레이트 (Sigma-Aldrich사의 트리페닐설포늄 염), 0.0278 그램의 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트, 및 0.1376 그램의 트리에탄올아민 (PGME에서 10 중량%)을 채웠다. 혼합물을 주변 조건에서 2시간 동안 균질해 질때까지 흔들었다. 그 후 생성물을 0.1-μm 종말점의 필터를 통해 세 개의 60 ml 갈색 Nalgene® 병으로 두 번 여과시켰다.
실시예 8
염료-부착 바닥 반사-방지 코팅의 제조
본 실시예에서, 실시예 7에서 준비한 전구물질 15.003 그램을 9.902 그램의 80.0/20.0 PGME/PGMEA와 함께 갈색 Nalgene® 병에 첨가하였다. 혼합물을 실온에서 32분동안 흔들었다. 그 후 생성된 용액을 0.1-μm 종말점의 필터를 통해 60-ml의 갈색 Nalgene® 병으로 두 번 여과시켰다.
바닥 반사-방지 코팅 조성물을 실리콘 웨이퍼 위에 스핀 코팅한 후, 160℃에서 60초 동안 베이킹하였다. 이 필름은 J.A. Woollam Co., Inc.사의 VASE®에서 측정하여 193 nm에서 1.63의 n 값 및 0.39의 k 값을 가졌다.
실시예 9
0.2의 k 값을 가지는 바닥 반사-방지 코팅용 염료-부착 폴리머의 합성
모액의 제조
염료-부착 폴리머를 합성하기 위하여, 500-ml의 삼목 플라스크에 6.91 그램 (80.3 mmol)의 메트아크릴산, 11.03 그램 (77.57 mmol)의 t-부틸 메트아크릴레이트, 2.00 그램 (19.2 mmol)의 스티렌, 및 134.71 그램의 PGME를 채웠다. 이 플라스크에 자기 교반 막대, 온도계, 질소 배출구를 구비한 콘덴서, 및 질소 유입구를 구비한 적하 깔때기를 설치하였다. 0.4714 그램 (2.87 mmol)의 AIBN 및 44.67 그램의 PGME로부터 별도의 용액을 제조하였다. 이 용액을 적하 깔때기에 첨가하였다. 반응 시스템 플라스크를 10분 이상동안 질소로 씻어낸 후, 플라스크를 104℃의 오일조에 침윤시켰다. 반응 용액을 107.5℃ 온도에서 그리고 질소하에 교반하면서, AIBN 용액을 2.2분에 걸쳐 플라스크 용액에 서서히 첨가하였다. 그 후 생성된 용액을 98.5℃-108.5℃에서 24시간 동안 질소하에 교반하였다. 다음으로, 49.8 mg의 4-메톡시페놀을 폴리머 용액에 첨가하였으며, 그 후 이 용액을 실온에서 균질해질 때까지 교반하였다. 수율은 197.7 그램 (98.9% 회수율)이었다. 이론적인 폴리머 고체 백분율은 10.2%였다. GPC로 측정한 중량 평균 분자량 (Mw)은 15,234 달톤이었다.
실시예 10
실시예 9의 모액으로부터 폴리머의 침전
본 실시예에서, 오버헤드 교반기와 적하 깔때기를 구비한 4-리터의 비이커에 1,500 ml의 헥산을 채웠다. 다음으로, 실시예 9의 폴리머 모액 137.9 그램을 적하 깔때기에 첨가하였다. 헥산을 주변 조건하에서 교반하면서, 폴리머 용액을 20분간 방울방울 첨가하였다. 그 후 생성된 혼합물을 주변 조건에서 추가로 10분간 교반하였다.
다음으로, 용매를 진공 여과함으로써 폴리머 침전물로부터 제거하였다. 그 후 약 150 ml의 새로운 헥산을 폴리머에 첨가하고, 혼합물을 실온에서 5분간 교반하였다. 용매를 다시 진공 여과하여 제거하였다. 5분간 85 ml의 헥산을 추가하면서 폴리머를 교반한 후, 진공 여과하여 용매를 제거하였다. 다음으로, 50℃ 진공 오븐에서 51분 동안 비이커 내의 폴리머를 건조시킨 후, 막자와 막자사발에서 분말로 분쇄하였다. 그 후 분말을 추가로 50℃ 진공 오븐에서 24시간 동안 건조시켰다. 모액으로부터의 수율은 75%였다.
실시예 11
염료-부착 바닥 반사-방지 코팅의 제조
본 실시예에서, 염료-부착 바닥 반사-방지 코팅을 제조하였다. 먼저, 250-ml의 갈색 Nalgene® 병을 다음 성분들을 열거된 순서대로 채워 전구물질을 제조하였다: 내부 제조된 비닐 에테르 가교제 0.5349 그램, 에틸 락테이트 147.472 그램, 실시예 10의 분말 폴리머 침전물 1.814 그램, 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트 0.0279 그램, 및 TPS 노나플레이트 PAG 0.026 그램. 이 혼합물을 실온에서 16시간 동안 흔들었다.
다음으로, 0.139 그램의 트리에탄올아민 (PGME에서 10 중량%)을 상기 병에 첨가하였다 (정량적으로 옮겨짐). 트리에탄올아민 용액의 첨가시, 혼합물에서 침전이 형성되었다. 이 혼합물을 실온에서 약 4일간 흔들어, 전구물질 용액을 생성하였다. 이 후 전구물질 용액을 0.1-μm 종말점의 필터를 통해 세 개의 60-ml 갈색 Nalgene® 병으로 두 번 여과시켰다.
그 후 22.504 그램의 전구물질 용액 및 7.512 그램의 에틸 락테이트를 갈색 Nalgene® 병에서 혼합함으로써 바닥 반사-방지 코팅 조성물을 제조하였다. 이 용액을 실온에서 77분간 흔들었다. 그 후 용액을 0.1-μm 종말점의 필터를 통해 60-ml의 갈색 Nalgene® 병으로 두 번 여과시켰다.
생성된 바닥 반사-방지 코팅 조성물을 1400 rpm에서 60초간 실리콘 웨이퍼 위에 스핀 코팅한 후, 16O℃에서 60초간 베이킹하였다. J. A. Woollam Co., Inc. VASE®을 사용하여 측정하였을 때 이 필름은 193 nm에서 1.60의 n 값 및 0.19의 k 값을 가졌다.
실시예 12
염료-부착 바닥 반사-방지 코팅 및 포토레지스트를 사용한
193- nm 리소그래피
본 실시예에서, 실시예 8 (k 값 = 0.39) 및 11 (k 값 = 0.19)에서 제조한 염료-부착 반사-방지 코팅 조성물들을 사용하여 193-nm 리소그래피용 이중층 바닥 반사-방지 코팅 스택을 형성하였다. 먼저, 0.39 k-값의 바닥 반사-방지 코팅을 3750 rpm에서 60초간 실리콘 웨이퍼 위에 스핀-코팅한 후, 16O℃에서 60초간 베이킹하였다. 상기 층은 22 nm의 두께를 가졌다. 다음으로, 1290 rpm에서 60초간 0.19 k 값의 바닥 반사-방지 코팅을 0.39 k 값의 반사-방지 코팅층 위에 스핀-코팅한 후, 160℃에서 60초간 베이킹하였다. 제 2 층은 31 nm의 두께를 가졌다. 그 후, 포토레지스트 (AR1682J)를 3,200 rpm에서 30초간 이중층 바닥 반사-방지 코팅 스택 위에 스핀-코팅한 후, 11O℃에서 60초간 PAB하였다. 상기 포토레지스트 층은 130 nm의 두께를 가졌다.
그 후 생성된 스택을 AmphibianTM 시스템 (로체스터, NY)의 Amphibian 간섭계를 사용하여 노광시킨 후, 11O℃에서 60초간 PEB 하였다. 그 후 웨이퍼 스택을 0.26 N의 TMAH 현상액에서 45초간 침윤시켜 현상하였다. 도 4는 1.7초 (도 4(a)), 1.8 초(도 4(b)), 및 1.9 초 (도 4(c))의 노광시간에서 150 nm의 라인과 스페이스 (1:1)로 패턴화된, 포토레지스트 및 이중층 반사-방지 코팅의 SEM 사진을 보여준다.
다음으로, 동일한 포토레지스트 및 이중층 바닥 반사-방지 코팅 스택을 90 nm의 라인과 스페이스 (1:1)로 패턴화하였으나, 리프트-오프(lift-off)하기 전에는 패턴은 명확하지 않았다.
실시예 13
비닐 에테르 가교제 제조
본 실시예에서, 25.15 그램의 테트라메틸렌 글리콜 모노비닐 에테르 (Aldrich사, 세인트 루이스, MO), 22.91 그램의 트리에틸아민 (Aldrich사, 세인트 루이스, MO), 및 250 ml의 테트라하이드로퓨란 ("THF"; Aldrich사, 세인트 루이스, MO)을 500-ml의 2목 플라스크에 첨가함으로써 실시예 2, 3, 7, 및 11에서 사용되었던 내부 제조된 비닐 에테르 가교제를 제조하였다. 플라스크에 교반 막대, 추가 깔때기, 콘덴서, 질소 유입구 및 배출구를 설치하였다. 이 플라스크를 얼음물 조에 침윤시키고 용액을 질소의 흐름 하에서 교반시켰다.
다음으로, 20.00 그램의 1,3,5-벤젠트리카르보닐 트리클로라이드 (Aldrich사, 세인트 루이스, MO)를 250-ml의 엘렌마이어 플라스크에 있는 50 ml THF에 용해시켰다. 이 용액을 500-ml의 2목 플라스크 위에 있는 또다른 깔때기로 옮기고, 교반하고 있는 테트라메틸렌 글리콜 모노비닐 에테르/트리에틸아민/THF 용액에 약 15분간 첨가가 완료될 때까지 방울방울 첨가하였다. 접촉시 흰색 침전물이 형성되었다. 그 후 플라스크를 얼음조에서 제거하고 실온에 이르기까지 플라스크내에 슬러리가 생성되게 하였으며, 이 시간은 대략 16시간이 걸렸다. 그 후 슬러리를 4시간 동안 가열하여 환류시켰다. 열에서 플라스크를 제거하고, 실온까지 냉각되게 하였다. 그 후 슬러리를 흡입 여과 장치를 사용하여 여과하고, 회전 농축장치를 사용하여 농축시켜 점성의 노란색 액체가 생성되었다.
이 액체를 100 ml의 디에틸에테르 (Aldrich사, 세인트 루이스, MO)에 용해시키고 25-ml의 수성 12.5% 테트라메틸암모늄 하이드록사이드 (TMAH: Aldrich사, 세인트 루이스, MO)로 두 번 헹구었다. 분별 깔때기를 사용하여 에테르 층을 추출한 후, 50-ml의 탈이온수를 사용하여 두 번 헹구었다. 에테르층을 가라앉게 하여 수집하였다. 5.0 g의 염기성 활성 알루미나를 혼합하여 에테르층을 건조시켰다. 혼합물을 1시간 동안 교반하고 중력 여과하였다. 맑은 노란색 액체를 회전 농축기에서 농축시켜 노란색 점성의 오일이 생성되었다. 총 수율은 대략 29.28 그램 (77% 수율)이었다.
실시예 14
추가적인 가교제 제조
본 실시예에는, 또다른 가교제의 제조가 설명되어 있다. 가교제는 24.70 그램의 2-(비닐옥시)에탄올, 27.44 그램의 트리에틸아민, 및 300 ml의 THF를 500-ml의 2목 플라스크에 첨가함으로써 제조될 수 있다. 상기 용액을 얼음수조에 침윤시켜 질소 흐름하에서 교반할 수 있다.
다음으로, 24.01 그램의 1,3,5-벤젠트리카르보닐 트리클로라이드를 250-ml 엘렌마이어 플라스크에 있는 100 ml의 THF에 용해시킬 수 있다. 그 후 이 용액을 2-(비닐옥시)에탄올/트리에틸아민/THF 용액에 첨가가 완료될 때까지 방울방울 첨가할 것이다. 그 후 슬러리를 실온이 되게 한 후 약 4시간 동안 가열하여 환류시킬 수 있다. 슬러리를 실온으로 냉각시킨 후 흡입 여과 장치를 사용하여 여과시킬 수 있다. 그 후 용액을 회전 농축기를 사용하여 농축시켜 점성의 노란색 액체를 생성할 것이다.
다음으로, 상기 액체를 100 ml의 에테르에 용해시키고 50-ml의 수성 TMAH로 두 번 헹굴 것이다. 그 후 에테르 층을 추출하고 50-ml의 탈이온수를 사용하여 두 번 헹굴 수 있다. 그 후 에테르 층을 무수 마그네슘 설페이트를 통해 건조시킬 것이다. 마지막으로, 용매를 압력하에 제거할 수 있다.

Claims (45)

  1. 다음을 포함하는, 마이크로일렉트로닉 구조물(microelectronic structure)의 형성 방법:
    (a) 표면을 보유하는 기판을 제공하는 단계;
    (b) 상기 표면 위에 제 1 반사-방지 코팅층을 형성하는 단계; 및
    (c) 상기 제 1 반사-방지 코팅층 위에 제 2 반사-방지 코팅층을 형성하는 단계, 여기서 상기 제 1 및 제 2 반사-방지 코팅층은 감광성이면서 습식-현상가능함(wet-developable).
  2. 제 1항에 있어서, 상기 방법은 상기 형성단계 (b) 이후 상기 제 1 반사-방지 코팅층을 가교시키는 단계를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  3. 제 2항에 있어서, 상기 가교단계는 포토레지스트 용매들에 실질적으로 불용성인 제 1 반사-방지 코팅층을 생성함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  4. 제 1항에 있어서, 상기 방법은 상기 형성단계 (c) 이후 제 2 반사-방지 코팅층을 가교시키는 단계를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  5. 제 4항에 있어서, 상기 가교단계는 유기 용매들에 실질적으로 불용성인 제 2 반사-방지 코팅층을 생성함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  6. 제 1항에 있어서, 상기 방법은 다음을 추가로 포함함을 특징으로 하는 마이크로일렉트로닉 구조물의 형성 방법:
    (d) 상기 제 1 및 제 2 반사-방지 코팅층들을 방사선에 노광시켜, 제 1 및 제 2 반사-방지 코팅층들의 노광된 부분들을 생성하는 단계.
  7. 제 6항에 있어서, 상기 방법은 다음을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법:
    (e) 상기 제 1 및 제 2 반사-방지 코팅층들을 현상액과 접촉시켜 상기 표면으로부터 상기 노광된 부분들을 제거하는 단계.
  8. 제 6항에 있어서, (b)에서의 상기 제 1 반사-방지 코팅층 및 (c)에서 상기 제 2 반사-방지 코팅층은 염기성 현상액에서 각각의 초기 용해도를 가지며, 상기 노광단계 (d) 이후, 상기 제 1 반사-방지 코팅층 및 제 2 반사-방지 코팅층의 노광된 부분들은 각각 염기성 현상액에서 최종 용해도를 가지고, 상기 최종 용해도는 상기 초기 용해도보다 큼을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  9. 제 6항에 있어서, 상기 노광 단계 (d)는 상기 제 1 및 제 2 반사-방지 코팅층들을 광학 투사 요소(optical projection element)로부터의 방사선에 노광시키는 단계를 포함하며, 여기서 침윤액은 적어도 상기 광학 투사 요소 중 일부 및 적어도 상기 반사-방지 코팅층들의 일부와 접촉됨을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  10. 제 1항에 있어서, 상기 방법은 포토레지스트를 상기 제 2 반사-방지 코팅층에 도포하여, 상기 제 2 반사-방지 코팅층 위에 이미징층(imaging layer)을 형성하는 단계를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  11. 제 1항에 있어서, 상기 제 1 반사-방지 코팅층은 약 0.20 내지 약 0.65의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  12. 제 1항에 있어서, 상기 제 2 반사-방지 코팅층은 약 0.1 내지 약 0.45의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  13. 제 1항에 있어서, 상기 제 1 및 제 2 반사-방지 코팅층은 각각의 k 값을 가지며, 상기 제 2 반사-방지 코팅층은 제 1 반사-방지 코팅층의 k 값과 상이한 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  14. 제 1항에 있어서, 상기 제 1 반사-방지 코팅층은 용매계에 분산되거나 용해된 폴리머를 포함하는 조성물로부터 형성되며, 상기 폴리머는 산성 작용기를 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  15. 제 14항에 있어서, 상기 조성물은 상기 폴리머와 함께 상기 조성물로 물리적으로 혼합되는 염료를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  16. 제 14항에 있어서, 상기 폴리머는 상기 폴리머에 결합되는 염료를 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  17. 제 14항에 있어서, 상기 제 1 반사-방지 코팅 조성물은 폴리머와 함께 용매계에 분산되거나 용해된 가교제 및 광산 발생제 중 하나 이상으로 구성된 그룹에서 선택된 성분을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  18. 제 1항에 있어서,
    상기 기판 표면은 복수의 토포그래피 특징부들을 포함하며;
    상기 형성단계 (b)는 상기 기판의 토포그래피 특징부들을 실질적으로 커버하기 위해 상기 제 1 반사-방지 코팅층을 도포하는 단계를 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  19. 다음을 포함하는 마이크로일렉트로닉 구조물:
    표면을 보유하는 기판;
    상기 기판 표면에 인접한 제 1 반사-방지 코팅층; 및
    상기 제 1 반사-방지 코팅층에 인접한 제 2 반사-방지 코팅층, 여기서 상기 제 1 및 제 2 반사-방지 코팅층들은 감광성이면서 습식-현상가능함.
  20. 제 19항에 있어서, 상기 제 1 반사-방지 코팅층은 약 0.2 내지 약 0.65의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  21. 제 19항에 있어서, 상기 제 2 반사-방지 코팅층은 약 0.1 내지 약 0.45의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  22. 제 19항에 있어서, 상기 제 1 및 제 2 반사-방지 코팅층들은 각각의 k 값을 가지며, 상기 제 2 반사-방지 코팅층은 제 1 반사-방지 코팅층의 k 값과 상이한 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  23. 제 19항에 있어서, 상기 제 1 반사-방지 코팅층은 약 10 nm 내지 약 50 nm의 두께를 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  24. 제 19항에 있어서, 상기 제 2 반사-방지 코팅층은 약 10 nm 내지 약 50 nm의 두께를 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  25. 제 19항에 있어서, 상기 기판은 복수의 토포그래피 특징부들을 포함하며, 상기 제 1 반사-방지 코팅층은 실질적으로 상기 특징부들을 커버함을 특징으로 하는, 마이크로일렉트로닉 구조물.
  26. 제 19항에 있어서, 상기 제 2 반사-방지 코팅층에 인접한 이미징층을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물.
  27. 다음을 포함하는, 마이크로일렉트로닉 구조물의 형성 방법:
    (a) 표면을 보유하는 기판을 제공하는 단계;
    (b) 상기 표면 위에 제 1 반사-방지 코팅층을 형성하는 단계: 및
    (c) 상기 제 1 반사-방지 코팅층 위에 제 2 반사-방지 코팅층을 형성하는 단계, 상기 제 1 및 제 2 반사-방지 코팅층들은 습식 현상가능하고, 각각의 k 값을 가지며, 상기 제 2 반사-방지 코팅층의 k 값은 제 1 반사-방지 코팅층의 k 값과 적어도 약 0.05 만큼 상이함.
  28. 제 27항에 있어서, 상기 제 1 및 제 2 반사-방지 코팅층들은 감광성임을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  29. 재 27항에 있어서, 상기 제 1 반사-방지 코팅층은 약 0.2 내지 약 0.65의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  30. 제 27항에 있어서, 상기 제 2 반사-방지 코팅층은 약 0.1 내지 약 0.45의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  31. 제 27항에 있어서, 상기 방법은 상기 형성단계 (b) 이후 상기 제 1 반사-방지 코팅층을 가교시키는 단계를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  32. 제 27항에 있엇, 상기 방법은 상기 형성단계 (c) 이후 상기 제 2 반사-방지 코팅층을 가교시키는 단계를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  33. 제 27항에 있어서, 상기 방법은 다음을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법 :
    (d) 상기 제 1 및 제 2 반사-방지 코팅층을 방사선에 노광시켜, 상기 제 1 및 제 2 반사-방지 코팅층들의 노광된 부분들을 생성하는 단계.
  34. 제 33항에 있어서, 상기 방법은 다음을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법:
    (e) 상기 제 1 및 제 2 반사-방지 코팅층들을 현상액과 접촉시켜, 상기 표면으로부터 노광된 부분들을 제거하는 단계.
  35. 제 33항에 있어서, 상기 노광단계 (d)는 상기 제 1 및 제 2 반사-방지 코팅층들을 광학 투사 요소로부터의 방사선에 노광시키는 단계를 포함하며, 여기서 침윤액은 상기 광학 투사 요소 중 적어도 일부 및 상기 반사-방지 코팅층들 중 적어도 일부와 접촉됨을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  36. 제 27항에 있어서, 상기 제 1 반사-방지 코팅층은 용매계에 분산되거나 용해된 폴리머를 포함하는 조성물로부터 형성되며, 상기 폴리머는 산성 작용기를 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  37. 제 36항에 있어서, 상기 조성물은 상기 폴리머와 함께 상기 조성물로 물리적으로 혼합되는 염료를 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법.
  38. 제 36항에 있어서, 상기 폴리머는 상기 폴리머에 결합되는 염료를 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물의 형성 방법. .
  39. 다음을 포함하는 마이크로일렉트로닉 구조물:
    표면을 보유하는 기판;
    상기 기판 표면에 인접한 제 1 반사-방지 코팅층; 및
    상기 제 1 반사-방지 코팅층에 인접한 제 2 반사-방지 코팅층, 상기 제 1 및 제 2 반사-방지 코팅층은 습식-현상가능하며, 각각의 k 값을 가지는데, 여기서 상기 제 2 반사-방지 코팅층의 k 값은 상기 제 1 반사-방지 코팅층의 k 값과 적어도 약 0.05 만큼 상이함을 특징으로 하는, 마이크로일렉트로닉 구조물.
  40. 제 39항에 있어서, 상기 제 1 및 제 2 반사-방지 코팅층들은 감광성임을 특징으로 하는, 마이크로일렉트로닉 구조물.
  41. 제 39항에 있어서, 상기 제 1 반사-방지 코팅층은 약 0.2 내지 약 0.65의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  42. 제 39항에 있어서, 상기 제 2 반사-방지 코팅층은 약 0.1 내지 약 0.45의 k 값을 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  43. 제 39항에 있어서, 상기 제 1 반사-방지 코팅층은 약 10 nm 내지 약 50 nm의 두께를 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  44. 제 39항에 있어서, 상기 제 2 반사-방지 코팅층은 약 10 nm 내지 약 50 nm의 두께를 가짐을 특징으로 하는, 마이크로일렉트로닉 구조물.
  45. 제 39항에 있어서, 상기 제 2 반사-방지 코팅층에 인접한 이미징층을 추가로 포함함을 특징으로 하는, 마이크로일렉트로닉 구조물.
KR1020107021298A 2008-02-22 2009-02-19 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법 KR101697789B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3083008P 2008-02-22 2008-02-22
US61/030,830 2008-02-22
PCT/US2009/034540 WO2009105556A2 (en) 2008-02-22 2009-02-19 Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography

Publications (2)

Publication Number Publication Date
KR20100124303A true KR20100124303A (ko) 2010-11-26
KR101697789B1 KR101697789B1 (ko) 2017-01-18

Family

ID=40986181

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021298A KR101697789B1 (ko) 2008-02-22 2009-02-19 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법

Country Status (7)

Country Link
US (1) US9638999B2 (ko)
EP (1) EP2255377B1 (ko)
JP (1) JP4918162B2 (ko)
KR (1) KR101697789B1 (ko)
CN (1) CN101952936B (ko)
TW (1) TWI430051B (ko)
WO (1) WO2009105556A2 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
CN102395925B (zh) 2009-02-19 2015-06-03 布鲁尔科技公司 可溶于显影剂的酸敏性底部减反射涂料
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP5278406B2 (ja) * 2010-11-02 2013-09-04 信越化学工業株式会社 パターン形成方法
JP6035887B2 (ja) 2011-06-21 2016-11-30 セントラル硝子株式会社 ポジ型レジスト組成物
JP5751173B2 (ja) * 2012-01-05 2015-07-22 信越化学工業株式会社 パターン形成方法
JP6062878B2 (ja) * 2014-03-07 2017-01-18 信越化学工業株式会社 化学増幅型ポジ型レジスト組成物及びレジストパターン形成方法
US9229326B2 (en) * 2014-03-14 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102222818B1 (ko) 2014-10-06 2021-03-04 삼성전자주식회사 반도체 장치의 제조 방법
JP6641687B2 (ja) * 2014-12-01 2020-02-05 大日本印刷株式会社 カラーフィルタの製造方法およびブラックマトリクス基板の製造方法
US10551165B2 (en) * 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US9768022B2 (en) * 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990066776A (ko) * 1998-01-09 1999-08-16 다니구찌 이찌로오, 기타오카 다카시 반도체 장치 및 그의 제조 방법
KR20000067806A (ko) * 1999-04-21 2000-11-25 윤종용 레지스트 조성물과 이를 이용한 미세패턴 형성방법
KR20010059379A (ko) * 1999-12-30 2001-07-06 이계안 엔진의 고압 연료 분사 장치의 소음 저감 브라켓트
KR20060089683A (ko) * 2005-02-05 2006-08-09 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 상층 코팅된 포토레지스트와 함께 사용하기 위한 코팅조성물
KR20070012512A (ko) * 2004-05-14 2007-01-25 닛산 가가쿠 고교 가부시키 가이샤 비닐에테르 화합물을 포함하는 반사방지막 형성 조성물

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06230574A (ja) 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
JPH09205057A (ja) * 1996-01-25 1997-08-05 Hitachi Ltd 半導体装置の製造方法
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
WO2006059452A1 (ja) * 2004-12-03 2006-06-08 Nissan Chemical Industries, Ltd. 二層型反射防止膜を用いたフォトレジストパターンの形成方法
US20060177772A1 (en) 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US7816071B2 (en) * 2005-02-10 2010-10-19 Az Electronic Materials Usa Corp. Process of imaging a photoresist with multiple antireflective coatings
US7816069B2 (en) 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990066776A (ko) * 1998-01-09 1999-08-16 다니구찌 이찌로오, 기타오카 다카시 반도체 장치 및 그의 제조 방법
KR20000067806A (ko) * 1999-04-21 2000-11-25 윤종용 레지스트 조성물과 이를 이용한 미세패턴 형성방법
KR20010059379A (ko) * 1999-12-30 2001-07-06 이계안 엔진의 고압 연료 분사 장치의 소음 저감 브라켓트
KR20070012512A (ko) * 2004-05-14 2007-01-25 닛산 가가쿠 고교 가부시키 가이샤 비닐에테르 화합물을 포함하는 반사방지막 형성 조성물
KR20060089683A (ko) * 2005-02-05 2006-08-09 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 상층 코팅된 포토레지스트와 함께 사용하기 위한 코팅조성물

Also Published As

Publication number Publication date
EP2255377A4 (en) 2011-11-09
TW200949460A (en) 2009-12-01
CN101952936A (zh) 2011-01-19
WO2009105556A3 (en) 2009-10-15
US9638999B2 (en) 2017-05-02
EP2255377A2 (en) 2010-12-01
KR101697789B1 (ko) 2017-01-18
US20090226672A1 (en) 2009-09-10
JP2011513772A (ja) 2011-04-28
JP4918162B2 (ja) 2012-04-18
TWI430051B (zh) 2014-03-11
EP2255377B1 (en) 2013-12-04
WO2009105556A2 (en) 2009-08-27
WO2009105556A4 (en) 2010-01-28
CN101952936B (zh) 2013-09-18

Similar Documents

Publication Publication Date Title
KR101697789B1 (ko) 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법
US8415083B2 (en) On-track process for patterning hardmask by multiple dark field exposures
US7601483B2 (en) Anti-reflective coatings using vinyl ether crosslinkers
KR101668505B1 (ko) 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
WO2008039705A1 (en) Method of creating photolithographic structures with developer-trimmed hard mask
JP2015524573A (ja) 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法
WO2004034435A2 (en) Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
JP2014529754A (ja) ネガ型レジスト用の現像可能な底部反射防止コーティング組成物
KR100959190B1 (ko) 현상액에 용해 가능한 근자외선 바닥 반사방지막 조성물 및이를 이용한 패턴화된 재료 형성 방법
US20050175928A1 (en) Negative photoresist composition involving non-crosslinking chemistry
US20070231736A1 (en) Bottom antireflective coating composition and method for use thereof
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant