KR20100002523A - 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치 - Google Patents

기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치 Download PDF

Info

Publication number
KR20100002523A
KR20100002523A KR1020080062444A KR20080062444A KR20100002523A KR 20100002523 A KR20100002523 A KR 20100002523A KR 1020080062444 A KR1020080062444 A KR 1020080062444A KR 20080062444 A KR20080062444 A KR 20080062444A KR 20100002523 A KR20100002523 A KR 20100002523A
Authority
KR
South Korea
Prior art keywords
substrate
support
plate
vacuum
vacuum plate
Prior art date
Application number
KR1020080062444A
Other languages
English (en)
Other versions
KR101036605B1 (ko
Inventor
최중봉
최기훈
구교욱
조중근
윤창로
손덕현
구세훈
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020080062444A priority Critical patent/KR101036605B1/ko
Priority to CN2008101765518A priority patent/CN101618520B/zh
Priority to US12/273,829 priority patent/US8113918B2/en
Priority to JP2008295492A priority patent/JP5004059B2/ja
Priority to TW097144989A priority patent/TWI404166B/zh
Publication of KR20100002523A publication Critical patent/KR20100002523A/ko
Application granted granted Critical
Publication of KR101036605B1 publication Critical patent/KR101036605B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

본 발명은 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치를 개시한 것으로서, 기판 지지 유닛이 연마 공정 시에는 기판의 하면을 진공 흡착하고, 후-세정 공정 시에는 기판의 하면 세정을 위해 기판을 상향 이격된 상태로 지지하는 것을 구성상의 특징으로 가진다.
이러한 구성에 의하면, 기판이 매엽 방식의 기판 지지 유닛에 지지된 상태에서 기판의 상면에 대한 연마 공정과 이에 따르는 기판의 상하면에 대한 후-세정 공정을 순차적으로 진행할 수 있는 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치를 제공할 수 있다.
Figure P1020080062444
기판, 연마, 세정

Description

기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치{SUBSTRATE SUPPORTING UNIT AND SINGLE TYPE SUBSTRATE POLISHING APPARATUS USING THE SAME}
본 발명은 반도체 제조 장치 및 방법에 관한 것으로서, 보다 상세하게는 반도체 기판을 매엽 처리 방식으로 지지하는 기판 지지 유닛과 이를 이용하여 기판을 연마 및 세정하는 기판 연마 장치에 관한 것이다.
일반적으로 반도체 소자의 제조 공정은 박막의 형성 및 적층을 위해 증착 공정, 사진 공정, 식각 공정 등 다수의 단위 공정들을 반복 수행해야만 한다. 웨이퍼 상에 요구되는 소정의 회로 패턴이 형성될 때까지 이들 공정은 반복되며, 회로 패턴이 형성된 후 웨이퍼의 표면에는 많은 굴곡이 생기게 된다. 최근 반도체 소자는 고집적화에 따라 그 구조가 다층화되며, 웨이퍼 표면의 굴곡의 수와 이들 사이의 단차가 증가하고 있다. 웨이퍼 표면의 비평탄화는 사진 공정에서 디포커스(Defocus) 등의 문제를 발생시키므로 웨이퍼의 표면을 평탄화하기 위해 주기적으로 웨이퍼 표면을 연마하여야 한다.
웨이퍼의 표면을 평탄화하기 위해 다양한 표면 평탄화 기술이 있으나 이 중 좁은 영역뿐만 아니라 넓은 영역의 평탄화에 있어서도 우수한 평탄도를 얻을 수 있 는 화학적 기계적 연마(Chemical Mechanical Polishing : CMP) 장치가 주로 사용된다. 화학적 기계적 연마 장치는 텅스텐이나 산화물 등이 입혀진 웨이퍼의 표면을 기계적 마찰에 의해 연마시킴과 동시에 화학적 연마재에 의해 연마시키는 장치로서, 아주 미세한 연마를 가능하게 한다.
본 발명은 기판의 연마 공정과 후세정 공정을 매엽 방식으로 순차적으로 진행할 수 있는 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치를 제공하기 위한 것이다.
본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기한 과제를 달성하기 위하여 본 발명에 의한 기판 지지 유닛은 기판을 진공 흡착하는 진공 플레이트; 상기 진공 플레이트의 하부에 이격 배치되며, 상기 기판을 클램핑할 척 부재들이 설치된 지지 플레이트; 및 상기 척 부재들이 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 진공 플레이트 또는 상기 지지 플레이트를 상하 방향으로 이동시키는 구동 부재를 포함하는 것을 특징으로 한다.
상술한 바와 같은 구성을 가지는 본 발명에 의한 기판 지지 유닛에 있어서, 상기 구동 부재는 상기 지지 플레이트의 아래에 상하 방향을 따라 서로 마주보도록 배치되며, 서로 간에 자기적 반발력이 작용하도록 자극이 배열된 상부 자석 부재 및 하부 자석 부재; 일단이 상기 진공 플레이트에 연결되고 타단이 상기 상부 자석 부재에 연결되는 연결 부재들; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 상부 자석 부재의 자극들과 상기 하부 자석 부재의 자극들은 환형 구조로 배열될 수 있다.
상기 연결 부재들은 상기 지지 플레이트에 관통 형성된 홀에 삽입 설치되는 봉 형상일 수 있다.
상기 지지 플레이트와 상기 상부 자석 부재 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함할 수 있다.
상기 하부 자석 부재의 기설정된 높이로의 상하 이동 여부를 검출하는 감지 부재를 더 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하고, 상기 진공 플레이트에는 상기 진공 플레이트의 상하 이동시 상기 지지 핀들과 상기 척킹 핀들이 삽입되도록 홀들이 관통 형성될 수 있다.
진공 흡착된 상기 기판의 이탈을 방지하도록 상기 진공 플레이트의 가장자리에 이탈 방지 턱이 돌출 형성될 수 있다.
진공 흡착된 상기 기판과 상기 진공 플레이트 사이의 이물질에 의해 상기 기판이 변형되는 것을 방지하도록 완충 작용을 하는 탄성 재질의 완충 부재가 상기 진공 플레이트의 상면에 설치될 수 있다.
상기 구동 부재는 상기 지지 플레이트에 설치된 상부 자석 부재; 상기 상부 자석 부재의 아래에 상기 상부 자석 부재와 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과, 상기 지지 핀들의 외 측에 회전 가능하게 결합하여 상기 기판의 측면을 지지하는 L자 형상의 척킹 로드들을 포함하고, 상기 진공 플레이트에는 상기 지지 플레이트의 상하 이동시 상기 지지 핀들이 삽입 되도록 홀들이 관통 형성될 수 있다.
상기 진공 플레이트의 하면에는 가압 부재가 돌출 형성되며, 상기 가압 부재는 상기 지지 플레이트의 승강시 L자 형상의 상기 척킹 로드들의 수평부를 가압하여 상기 척킹 로드들을 회전시키고, 회전하는 상기 척킹 로드들의 수직부는 상기 기판의 가장자리 측면을 지지할 수 있다.
상기 진공 플레이트의 하면에 연결된 회전 축에 설치되며, 상기 지지 플레이트의 상하 방향 이동을 안내하는 가이드 부재를 더 포함할 수 있다.
상기 진공 플레이트와 상기 지지 플레이트 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함할 수 있다.
상기한 과제를 달성하기 위하여 본 발명에 의한 매엽식 기판 연마 장치는, 기판을 연마하는 장치에 있어서, 처리실; 상기 처리실 내에 설치되며, 기판을 지지하는 기판 지지 유닛; 상기 기판을 연마하는 연마 유닛; 및 연마된 상기 기판을 세정하는 세정 유닛을 포함하되, 상기 기판 지지 유닛은 상기 기판의 연마 공정 진행시 상기 기판을 진공 흡착하는 진공 플레이트; 상기 진공 플레이트의 하부에 이격 배치되며, 상기 기판을 클램핑할 척 부재들이 설치된 지지 플레이트; 및 연마된 상기 기판의 세정 공정 진행시 상기 척 부재들이 상기 진공 플레이트에 놓인 상기 기 판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 진공 플레이트 또는 상기 지지 플레이트를 승하강시키는 구동 부재를 포함하는 것을 특징으로 한다.
상술한 바와 같은 구성을 가지는 본 발명에 의한 매엽식 기판 연마 장치에 있어서, 상기 구동 부재는 상기 지지 플레이트의 아래에 상하 방향을 따라 서로 마주보도록 배치되며, 서로 간에 자기적 반발력이 작용하도록 자극이 배열된 상부 자석 부재 및 하부 자석 부재; 일단이 상기 진공 플레이트에 연결되고 타단이 상기 상부 자석 부재에 연결되는 연결 부재들; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하고, 상기 진공 플레이트에는 상기 진공 플레이트의 상하 이동시 상기 지지 핀들과 상기 척킹 핀들이 삽입되도록 홀들이 관통 형성될 수 있다.
상기 연결 부재들은 상기 지지 플레이트에 관통 형성된 홀에 삽입 설치되는 봉 형상이고, 상기 봉 형상의 연결 부재를 감싸는 코일 형상의 탄성 부재가 상기 지지 플레이트와 상기 상부 자석 부재 사이에 설치될 수 있다.
상기 하부 자석 부재의 기설정된 높이로의 상하 이동 여부를 검출하는 감지 부재를 더 포함할 수 있다.
상기 진공 플레이트의 가장자리에는 진공 흡착된 상기 기판의 이탈을 방지하도록 이탈 방지 턱이 돌출 형성되고, 상기 진공 플레이트의 상면에는 진공 흡착된 상기 기판과 상기 진공 플레이트 사이의 이물질에 의해 상기 기판이 변형되는 것을 방지하도록 완충 작용을 하는 탄성 재질의 완충 부재가 설치될 수 있다.
상기 세정 유닛은 상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면을 세정하는 제 1 세정 유닛; 및 상기 지지 플레이트의 상면 중심부에 설치되며, 상기 기판의 하면으로 세정액을 공급하는 제 2 세정 유닛을 포함하되, 상기 진공 플레이트의 중심부에는 상기 세정액이 상기 제 2 세정 유닛으로부터 상기 기판의 하면으로 공급되도록 개구부가 형성될 수 있다.
상기 구동 부재는 상기 지지 플레이트에 설치된 상부 자석 부재; 상기 상부 자석 부재의 아래에 상기 상부 자석 부재와 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과, 상기 지지 핀들의 외 측에 회전 가능하게 결합하여 상기 기판의 측면을 지지하는 L자 형상의 척킹 로드들을 포함하고, 상기 진공 플레이트에는 상기 지지 플레이트의 상하 이동시 상기 지지 핀들이 삽입 되도록 홀들이 관통 형성될 수 있다.
상기 진공 플레이트의 하면에는 가압 부재가 돌출 형성되며, 상기 가압 부재는 상기 지지 플레이트의 승강시 L자 형상의 상기 척킹 로드들의 수평부를 가압하여 상기 척킹 로드들을 회전시키고, 상기 척킹 로드들의 수직부는 상기 기판의 가장자리 측면을 지지할 수 있다.
상기 진공 플레이트를 지지하는 지지 축에 설치되며, 상기 지지 플레이트의 상하 방향 이동을 안내하는 가이드 부재를 더 포함할 수 있다.
상기 진공 플레이트와 상기 지지 플레이트 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함할 수 있다.
상기 세정 유닛은 상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면을 세정하는 제 1 세정 유닛; 및 상기 진공 플레이트에 설치되며, 상기 기판의 하면으로 세정액을 공급하는 제 2 세정 유닛을 포함할 수 있다.
본 발명에 의하면, 기판이 매엽 방식의 기판 지지 유닛에 지지된 상태에서 기판의 상면에 대한 연마 공정과 이에 따르는 기판의 상하면에 대한 후세정 공정을 순차적으로 진행할 수 있다.
이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시 예에 따른 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치를 상세히 설명하기로 한다. 우선 각 도면의 구성 요소들에 참조 부호를 부가함에 있어서, 동일한 구성 요소들에 대해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 부호를 가지도록 하고 있음에 유의해야 한다. 또한, 본 발명을 설명함에 있어, 관련된 공지 구성 또는 기능에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략한다.
( 실시 예 )
도 1은 본 발명에 따른 매엽식 기판 연마 장치(1)의 사시도이고, 도 2는 도 1의 처리 용기(100)와 기판 지지 유닛(200)의 측단면도이다.
본 발명에 따른 매엽식 기판 연마 장치(1)는 기판(W)의 상면에 대한 연마 공정과 이에 따르는 기판(W)의 상하면에 대한 후-세정 공정을 하나의 처리실(10) 내에서 순차적으로 진행할 수 있다.
도 1 및 도 2를 참조하면, 본 발명에 따른 매엽식 기판 연마 장치(1)는 처리 용기(100), 기판 지지 유닛(200), 세정 유닛(310,320,330), 연마 유닛(400), 그리고 패드 컨디셔닝 유닛(500)을 포함한다. 처리 용기(100)는 기판(W)을 처리하기 위한 공간을 제공한다. 처리 용기(100)의 내측에는 기판 지지 유닛(200)이 수용되고, 기판 지지 유닛(200)은 기판(W)의 연마 공정과 후-세정 공정의 진행 중 처리 용기(100) 안으로 반입된 기판(W)을 고정한다. 처리 용기(100)의 일 측에는 기판의 상면을 세정하는 세정 유닛(310, 320)이 구비되고, 기판 지지 유닛(200)에는 기판의 하면을 세정하는 세정 유닛(330)이 구비된다. 세정 유닛(310,320,330)은 연마 유닛(400)에 의해 연마된 기판을 세정 처리한다. 세정 유닛(310)은 기판(W) 상면에 세정액을 공급하는 세정액 공급 부재이고, 세정 유닛(320)은 기판(W) 상면에 공급된 세정액에 초음파를 인가하여 세정 효율을 증대시키기 위한 초음파 세정 부재이며, 세정 유닛(330)은 기판(W) 하면에 세정액을 공급하는 세정액 공급 부재이다. 처리 용기(100)의 다른 일 측에는 연마 유닛(400)과 패드 컨디셔닝 유닛(500)이 구비된다. 연마 유닛(400)은 기판(W)의 상면을 화학적 기계적 방법으로 연마하고, 패드 컨디셔닝 유닛(500)은 연마 유닛(400)의 연마 패드(미도시)를 연마하여 연마 패 드(미도시)의 표면 조도를 조절한다.
처리 용기(100)는 원통 형상을 갖는 제 1, 제 2 및 제 3 회수통(110, 120, 130)을 포함한다. 본 실시 예에 있어서, 처리 용기(100)는 세 개의 회수통(110, 120, 130)을 가지나, 회수통(110, 120, 130)의 개수는 증가하거나 감소할 수도 있다. 제 1 내지 제 3 회수통(110, 120, 130)은 기판(W) 처리 공정의 진행시 기판(W)으로 공급되는 세정액을 회수한다. 기판 처리 장치(1)는 기판(W)을 기판 지지 유닛(200)에 의해 회전시키면서 기판(W)을 세정 처리한다. 이 때문에, 기판(W)으로 공급된 세정액이 비산될 수 있으며, 제 1 내지 제 3 회수통(110, 120, 130)은 기판(W)으로부터 비산된 세정액을 회수한다.
제 1 내지 제 3 회수통(110, 120, 130)은 기판(W)으로부터 비산된 세정액이 유입되는 제 1 내지 제 3 회수 공간(S1, S2, S3)을 형성한다. 제 1 회수 공간(S1)은 제1 회수통(110)에 의해 정의되고, 기판(W)을 1차적으로 처리하는 제 1 세정액을 회수한다. 제 2 회수 공간(S2)은 제 1 회수통(110)과 제 2 회수통(120) 간의 이격 공간에 의해 정의되고, 기판(W)을 2차적으로 처리하는 제 2 세정액을 회수한다. 제 3 회수 공간(S3)은 제 2 회수통(120)과 제 3 회수통(130) 간의 이격 공간에 의해 정의되고, 기판(W)을 3차적으로 처리하는 제 3 세정액을 회수한다.
제 1 회수통(110)은 제 1 회수라인(141)과 연결된다. 제 1 회수 공간(S1)에 유입된 제 1 세정액은 제 1 회수 라인(141)을 통해 외부로 배출된다. 제 2 회수통(120)은 제 2 회수 라인(143)과 연결된다. 제 2 회수 공간(S2)에 유입된 제 2 세 정액은 제 2 회수 라인(143)을 통해 외부로 배출된다. 제 3 회수통(130)은 제 3 회수 라인(145)과 연결된다. 제 3 회수 공간(S3)에 유입된 제 3 세정액은 제 3 회수 라인(145)을 통해 외부로 배출된다.
한편, 처리 용기(100)에는 처리 용기(100)의 수직 위치를 변경시키는 수직 이동부(150)가 결합될 수 있다. 수직 이동부(150)는 제 3 회수통(130)의 외 측벽에 구비되고, 기판 지지 유닛(200)의 수직 위치가 고정된 상태에서 처리 용기(100)를 상/하로 이동시킨다. 이에 따라, 처리 용기(100)와 기판(W) 간의 상대적인 수직 위치가 변경된다. 따라서, 처리 용기(100)는 각 회수 공간(S1, S2, S3) 별로 회수되는 세정액의 종류를 다르게 할 수 있다.
도 3은 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면이고, 도 4는 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면이다.
도 2, 도 3 및 도 4를 참조하면, 기판 지지 유닛(200)은 지지 플레이트(210), 회전 축(220), 회전 구동부(230), 진공 플레이트(240) 그리고 구동 부재(250)를 포함한다. 기판 지지 유닛(200)은 처리 용기(100)의 내측에 설치되며, 공정 진행 중 기판(W)을 지지하고 회전시킬 수 있다. 진공 플레이트(240)는 도 3에 도시된 바와 같이 기판의 연마 공정 진행시 기판을 지지하고, 지지 플레이트(210)는 도 4에 도시된 바와 같이 기판의 후-세정 공정 진행시 기판을 지지한다. 구동 부재(250)는 기판의 연마 공정 시에는 진공 플레이트(240)를 상측으로 이동시켜 진 공 플레이트(240)가 기판을 지지하도록 하고, 기판의 후-세정 공정 시에는 진공 플레이트(240)를 하측으로 이동시켜 지지 플레이트(210)가 기판을 지지하도록 한다. 회전 축(220)은 지지 플레이트(210)의 하부에 연결되며, 회전 축(220)은 그 하단에 연결된 회전 구동부(230)에 의해 회전한다. 회전 구동부(230)는 모터 등으로 마련될 수 있다.
지지 플레이트(210)의 상부 면에는 기판(W)을 클램핑(Clamping)하는 척 부재들(214,216)이 설치된다. 척 부재들(214,216)은 지지 핀들(214)과 척킹 핀들(216)을 포함한다. 지지 핀들(214)은 지지 플레이트(210)의 상면에 일정 배열로 배치되며, 기판의 하면을 지지한다. 척킹 핀들(216)은 지지 플레이트(210) 상면의 지지 핀들(214)의 외 측에 배치되며, 기판의 측면을 지지한다.
진공 플레이트(240)는 지지 플레이트(210)의 상부에 이격 배치되며, 후술할 구동 부재(250)에 의해 상하 이동한다. 도 5 및 도 6에 도시된 바와 같이, 진공 플레이트(240)는 원판 형상의 하부 벽(241)과, 하부 벽(241)의 가장자리로부터 상측으로 연장된 측벽(242)을 가진다. 하부 벽(241) 및 측벽(242)에는 홀들(245,246)이 관통 형성된다. 홀들(245,246)은 지지 플레이트(210)의 지지 핀들(214)과 척킹 핀들(216)에 대응하는 위치에 형성되며, 진공 플레이트(240)의 상하 이동시 지지 플레이트(210)의 지지 핀들(214)과 척킹 핀들(216)이 삽입된다. 하부 벽(241)의 중앙에는 개구부(243a)가 관통 형성되고, 개구부(243a)의 가장자리로부터 하부 벽(241) 의 하측으로 측벽(243)이 연장된다. 그리고 하부 벽(241)의 상면에는 개구부(243a)를 중심으로 방사상으로 그루브들(244)이 형성되며, 그루브들(244)은 개구부(243a)와 통하도록 형성된다.
진공 플레이트(240)의 하부 벽(241) 상면에는 기판이 놓이고, 기판은 그루브들(244)을 통해 형성된 음압에 의해 진공 플레이트(240)에 진공 흡착된다. 이때, 진공 플레이트(240)의 측벽(242)은 진공 흡착된 기판이 진공 플레이트(240)로부터 이탈하는 것을 방지할 수 있으며, 측벽(242)은 청구항에 따라서는 이탈 방지 턱이라고도 한다.
진공 플레이트(240)의 하부 벽(241) 상면에는 탄성 재질의 완충 부재(247)가 설치된다. 완충 부재는 실리콘 또는 불소 고무 등의 재질로 구비될 수 있다. 진공 플레이트(240)의 하부 벽(242)과 이에 진공 흡착된 기판의 사이에 파티클과 같은 이물질이 존재할 경우, 기판은 이물질에 의해 변형되어 기판의 평탄도가 저해될 수 있다. 기판의 평탄도가 저해된 상태에서 기판의 연마 공정을 진행하면, 기판 평면상의 높이 차에 의해 기판이 고르게 연마될 수 없다. 완충 부재(247)는 이러한 문제점을 해결하기 위한 것으로, 기판과 진공 플레이트(240) 사이에 이물질이 존재하더라도, 연마 공정시 기판에 가해지는 압력에 의해 이물질이 탄성 재질의 완충 부재로 함몰되기 때문에 기판의 평탄도를 고르게 유지할 수 있다.
지지 플레이트(210)의 상면 중심부에는 백 노즐 어셈블리(330)가 설치되며, 지지 플레이트(210)와 백 노즐 어셈블리(330) 사이의 이격 공간으로 진공 플레이 트(240)의 측벽(243)이 상하 이동 가능하게 삽입 설치된다.
백 노즐 어셈블리(330)는 기판(W)의 하면으로 세정액을 공급하거나, 기판을 진공 흡착하기 위한 음압을 제공한다. 백 노즐 어셈블리(330)는 지지 플레이트(210)의 상면에 돌출되도록 설치된 노즐 몸체(331)를 가진다. 노즐 몸체(331)에는, 도 7에 도시된 바와 같이, 세정액 공급 부재(332,333), 린스액 공급 부재(334), 건조 가스 공급 부재(336) 및 배기 부재(335)가 제공된다. 세정액 공급 부재(332,333)는 기판의 하면으로 세정액을 분사하고, 린스액 공급 부재(334)는 기판의 하면으로 린스액을 분사하며, 건조 가스 공급 부재(336)는 기판의 하면으로 건조 가스를 분사한다. 그리고, 배기 부재(335)는 기판 하면의 공기를 흡입하여 기판을 진공 흡착하기 위한 음압을 제공한다. 도 8에 도시된 바와 같이, 세정액 공급 부재(332,333), 린스액 공급 부재(334), 건조 가스 공급 부재(336) 및 배기 부재(335)는 노즐 몸체(331)에 삽입 설치되는 튜브 형상의 노즐로 제공될 수 있다.
구동 부재(250)는 도 3에 도시된 바와 같이 기판의 연마 공정 시에는 진공 플레이트(240)를 상측으로 이동시켜 진공 플레이트(240)가 기판을 지지하도록 하고, 도 4에 도시된 바와 같이 기판의 후-세정 공정 시에는 진공 플레이트(240)를 하측으로 이동시켜 지지 플레이트(210)가 기판을 지지하도록 한다.
구동 부재(250)는 상부 자석 부재(251), 하부 자석 부재(253), 연결 부재(255), 그리고 직선 구동기(257)를 포함한다. 상부 및 하부 자석 부재(251,253)는 링 형상을 가지며, 회전 축(220)을 둘러싸도록 지지 플레이트(210)의 아래에 상 하 방향으로 배치된다. 상부 및 하부 자석 부재(251,253)에는 자석들(252,254)이 각각 설치되며, 자석들(252,254)은 서로 간에 자기적 반발력이 작용하도록 자극이 배열된다.
연결 부재(255)는 봉 형상을 가지며, 지지 플레이트(210)에 상하 방향으로 관통 형성된 홀(213)에 삽입된다. 연결 부재(255)의 일단은 진공 플레이트(240)의 하부 벽(241)에 연결되고, 연결 부재(255)의 타단은 상부 자석 부재(251)에 연결된다. 그리고 하부 자석 부재(253)에는 하부 자석 부재(253)를 상하 방향으로 직선 이동시키는 직선 구동기(257)가 연결된다.
이와 같은 구성에 의해, 직선 구동기(257)가 하부 자석 부재(253)를 상측으로 이동시키면, 하부 자석 부재(253)와의 사이에 자기적 반발력이 작용하는 상부 자석 부재(251)가 상측으로 이동되고, 결과적으로 연결 부재(255)에 의해 상부 자석 부재(251)에 연결된 진공 플레이트(240)가 상측으로 이동된다. 이 상태에서 진공 플레이트(240) 상에 기판이 로딩되고, 백 노즐 어셈블리(330)의 배기 부재(335)에 의해 기판 하부에 음압이 형성됨으로써 기판이 진공 흡착된다. 이후 후술할 연마 헤드(420)를 기판의 상부로 이동시키고, 연마 헤드(420)에 장착된 연마 패드(423)를 이용하여 기판의 연마 공정을 진행한다.
연마 공정이 완료된 후, 기판의 상하면에 대해 후-세정 공정이 진행된다. 직선 구동기(257)는 하부 자석 부재(253)를 하측으로 이동시킨다. 이때, 상부 자석 부재(251)는 지지 플레이트(210)와 상부 자석 부재(251)의 사이에 설치된 탄성 부재의 탄성 반발력에 의해 하측으로 이동되고, 결과적으로 연결 부재(255)에 의해 상부 자석 부재(251)에 연결된 진공 플레이트(240)가 하측으로 이동된다. 진공 플레이트(240)가 하측으로 이동됨에 따라, 기판은 지지 플레이트(210)의 척 부재들(214,216)에 의해 지지된다. 이 상태에서 세정 유닛(310)이 기판(W)의 상면에 세정액을 공급하고, 세정 유닛(320)이 기판(W)의 상면에 공급된 세정액에 초음파를 인가하여 기판의 상면을 세정한다. 또한, 이와 동시에 백 노즐 어셈블리(330)의 세정액 공급 부재(332)가 기판의 하면에 세정액을 공급하여 기판의 하면을 세정한다.
한편, 본 발명에 따른 매엽식 기판 세정 장치에는 하부 자석 부재(253)가 연마 공정 또는 세정 공정에 따라 기설정된 높이로 상하 이동하였는가의 여부를 검출하는 감지 부재(260)가 제공될 수 있다. 감지 부재(260)로는 광 센서 등 다양한 센서가 사용될 수 있다. 감지 부재(260)는 하부 자석 부재(253)의 상승 위치에 대응하는 높이와 하부 자석 부재(253)의 하강 위치에 대응하는 높이에 설치될 수 있다. 그리고 하부 자석 부재(253)의 하부에는 꺾쇠 형상의 로드(262)가 설치될 수 있다. 하부 자석 부재(253)의 상하 이동에 따라 로드(262)의 단부가 하부 자석 부재(253)의 상승 위치에 대응하는 높이 또는 하부 자석 부재(253)의 하강 위치에 대응하는 높이에 위치하고, 이때 감지 부재(260)가 로드(262) 단부의 위치를 검출함으로써, 하부 자석 부재(253)의 상하 이동 여부를 검출할 수 있다.
이상에서는 지지 플레이트가 고정되고, 진공 플레이트가 상하 이동하는 기판 지지 유닛을 예로 들어 설명하였으나, 이와 반대로 진공 플레이트가 고정되고, 지지 플레이트가 상하 이동할 수 있다.
도 9는 본 발명의 다른 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면이고, 도 10은 본 발명의 다른 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면이다.
도 9 및 도 10을 참조하면, 기판 지지 유닛(200)은 진공 플레이트(212'), 회전 축(220'), 회전 구동부(230'), 지지 플레이트(251') 그리고 구동 부재를 포함한다. 진공 플레이트(212')는 도 9에 도시된 바와 같이 기판의 연마 공정 진행시 기판을 지지하고, 지지 플레이트(251')는 도 10에 도시된 바와 같이 기판의 후-세정 공정 진행시 기판을 지지한다. 구동 부재(250')는 기판의 연마 공정 시에는 지지 플레이트(251')를 하측으로 이동시켜 진공 플레이트(212')가 기판을 지지하도록 하고, 기판의 후-세정 공정 시에는 지지 플레이트(251')를 상측으로 이동시켜 지지 플레이트(251')가 기판을 지지하도록 한다.
진공 플레이트(212')는 원판 형상을 가진다. 진공 플레이트(212')의 중심부에는 백 노즐 어셈블리가 설치되고, 진공 플레이트(212')의 상면에는 기판의 진공 흡착을 위한 그루브들(244')이 형성된다. 백 노즐 어셈블리의 배기 부재(335)는 기판의 하면과 그루브들(244')에 의해 형성된 공간 내의 공기를 흡입하여 기판을 진공 흡착하기 위한 음압을 제공한다. 진공 플레이트(212')에는 후술할 지지 플레이트(251')의 지지 핀들(214')이 삽입되는 홀들(213')이 관통 형성된다. 진공 플레이트(212')의 하부에는 회전 축(220')이 연결되고, 회전 축(220')은 그 하단에 연결된 회전 구동부(230')에 의해 회전된다. 회전 구동부(230')는 모터 등으로 마련될 수 있다.
지지 플레이트(251')는 진공 플레이트(212')의 하부에 이격 배치된다. 지지 플레이트(251')의 상부 면에는 기판(W)을 클램핑(Clamping)하는 척 부재들(214',216')이 설치된다. 척 부재들(214',216')은 지지 핀들(214')과 척킹 로드들(216')을 포함한다. 지지 핀들(214')은 지지 플레이트(251')의 상면에 일정 배열로 배치되며, 진공 플레이트(212')에 형성된 홀(213')에 삽입된다. 척킹 로드들(216')은 수직부(216'a)와 수평부(216'b)를 가지는 L자 형상의 로드로 구비될 수 있으며, 지지 핀들(214')의 외 측에 회전 가능하게 힌지 결합된다. 수직부(216'a)를 기준으로 수평부(216'b)의 반대 측에는 카운터 웨이트(216'c)가 설치된다. 카운터 웨이트(216'c)는 척킹 로드들(216')의 수직부(216'a)가 외향 경사진 초기 상태에 놓이도록 척킹 로드들(216')을 회전시킨다. 그리고, 진공 플레이트(212')의 하면에는 지지 플레이트(251')의 승강시 척킹 로드들(216')의 수평부(216'b)를 가압하도록 가압 부재(215')가 돌출 형성된다. 지지 플레이트(251')가 승강하면서 가압 부재(215')가 척킹 로드들(216')의 수평부(216'b)를 가압하면, 척킹 로드들(216')의 수직부(216'a)는 내측으로 회전하여 기판의 측면을 지지하고, 이때 지지 핀들(214')은 기판의 하면을 지지한다.
진공 플레이트(212')에 연결된 회전 축(220')에는 LM 가이드와 같은 가이드 부재(255')가 설치된다. 가이드 부재(255')에는 지지 플레이트(251')가 연결되며, 가이드 부재(255')는 지지 플레이트(251')의 상하 방향 이동을 안내한다. 그리고, 진공 플레이트(212')와 지지 플레이트(251')의 사이에는 상호 간에 탄성 반발력이 작용하도록 탄성 부재(256')가 설치된다.
구동 부재는 지지 플레이트(251)에 설치된 상부 자석(252')과, 상부 자석(252')과 마주보도록 배치된 하부 자석(253')을 포함한다. 상부 자석(252')의 자극과 하부 자석(253')의 자극은 서로 간에 자기적 반발력이 작용하도록 배열된다. 하부 자석(253')은 링 형상의 하부 자석 부재(254')에 의해 지지되며, 하부 자석 부재(254')는 직선 구동기(257')에 의해 상하 방향으로 직선 이동된다.
도 9에 도시된 바와 같이, 직선 구동기(257')가 하부 자석 부재(253')를 하측으로 이동시키면, 진공 플레이트(212')와 지지 플레이트(251')의 사이에 설치된 탄성 부재(256')의 탄성 반발력에 의해 지지 플레이트(251')가 하측으로 이동된다. 이 상태에서 진공 플레이트(251') 상에 기판이 로딩되고, 백 노즐 어셈블리(330)의 배기 부재(335)에 의해 기판 하부에 음압이 형성됨으로써 기판이 진공 흡착된다. 이후 후술할 연마 헤드(420)를 기판의 상부로 이동시키고, 연마 헤드(420)에 장착된 연마 패드(423)를 이용하여 기판의 연마 공정을 진행한다.
연마 공정이 완료된 후, 기판의 상하면에 대해 후-세정 공정이 진행된다. 도 10에 도시된 바와 같이 직선 구동기(257')는 하부 자석 부재(254')를 상측으로 이동시킨다. 이때, 상부 자석(252')과 하부 자석(253') 간에 작용하는 자기적 반발력에 의해 지지 플레이트(251')가 상측으로 이동된다. 지지 플레이트(251')가 상측으로 이동됨에 따라, 가압 부재(215')가 척킹 로드(216')의 수평부(216'b)를 가압하고, 이에 의해 척킹 로드(216')의 수직부(216'a)가 내측으로 회전하여 기판의 측면 을 지지한다. 그리고, 이때 지지 핀들(214')은 기판의 하면을 지지한다. 이 상태에서 세정 유닛(310)이 기판(W)의 상면에 세정액을 공급하고, 세정 유닛(320)이 기판(W)의 상면에 공급된 세정액에 초음파를 인가하여 기판의 상면을 세정한다. 또한, 이와 동시에 백 노즐 어셈블리(330)의 세정액 공급 부재(332)가 기판의 하면에 세정액을 공급하여 기판의 하면을 세정한다.
다음으로, 상기와 같은 구성을 가지는 기판 지지 유닛에 고정된 기판을 연마하는 연마 유닛(400)에 대해 설명한다.
도 11은 도 1의 연마 유닛의 사시도이고, 도 12는 도 11의 연마 유닛의 측단면도이며, 도 13는 도 12의 연마 헤드를 확대하여 보여주는 도면이다.
연마 유닛(400)은 화학적 기계적 방법으로 기판 표면을 평탄화하는 연마 공정을 진행한다. 도 11 내지 도 13를 참조하면, 연마 유닛(400)은 연마 헤드(420)와, 연마 헤드(420)를 동작 모드에 따라 구동시키기 위한 제 1 , 제 2 및 제 3 구동 부재(440,460,480)를 포함한다. 연마 헤드(420)에는 기판을 연마하는 연마 패드(423)가 장착된다. 제 1 구동 부재(440)는 연마 공정의 진행시 연마 패드(423)를 자기 중심 축을 기준으로 회전시킨다. 제 2 구동 부재(460)는 연마 헤드(420)를 스윙 동작시키기 위해 연마 헤드(420)를 수평면상에서 이동시킨다. 제 3 구동 부재(480)는 연마 헤드(420)를 상하 방향으로 이동시킨다.
연마 헤드(420)는 하부가 개방된 원통 형상의 하우징(421)을 가진다. 하우징(421)의 개방된 하부에는 판 형상의 연마 패드 홀더(422)가 설치되며, 연마 패드 홀더(422)의 하면에는 연마 패드(423)가 결합된다. 연마 패드(423)는 금속 재질의 플레이트(424)의 일면에 부착될 수 있으며, 연마 패드 홀더(422)에는 금속 플레이트(424)의 다른 일 면이 연마 패드 홀더(422)에 탈착 가능하게 결합되도록 금속 플레이트(424)에 자력을 작용시키는 자석 부재(422a)가 내장될 수 있다.
연마 패드 홀더(422)의 상부 면에는 벨로우즈(425)가 설치되고, 벨로우즈(425)는 공압 부재(426)에 의해 작용되는 공기 압력에 의해 상하 방향으로 신축될 수 있다. 벨로우즈(425)는 연마 공정의 진행시 연마 패드(423)가 기판(W)에 밀착되도록 신장될 수 있으며, 연마 패드(423)가 기판(W)에 밀착된 상태에서 연마 공정이 진행되면 연마 공정이 균일하게 그리고 보다 효율적으로 진행될 수 있다.
공압 부재(426)는 벨로우즈(425)의 상부에 연결되며, 속이 빈 중공 축 형상의 축 부재로 구비될 수 있다. 공압 부재(426)는 길이 방향이 연직 방향을 향하도록 제공될 수 있으며, 베어링(427a,427b)에 의해 회전 가능하게 지지된다. 공압 부재(426)에는 공기를 공급하는 에어 라인(미도시)이 연결되고, 에어 라인(미도시) 상에는 에어 라인(미도시)을 개폐하는 밸브(미도시)와, 공기의 공급 유량을 조절하는 유량계(미도시)가 설치될 수 있으며, 이들의 구성은 관련 기술 분야의 당업자에게 자명한 사항이므로 이에 대한 상세한 설명은 생략한다.
제 1 구동 부재(440)는 연마 공정의 진행시 연마 패드(423)를 자기 중심 축을 기준으로 회전시킨다. 제 1 구동 부재(440)는 회전력을 제공하는 제 1 구동 모터(441)와, 제 1 구동 모터(441)의 회전력을 연마 패드(423)로 전달하는 제 1 벨트-풀리 어셈블리(443)를 포함한다. 제 1 벨트-풀리 어셈블리(443)는 제 1 구동 풀 리(443-1), 제 1 종동 풀리(443-2) 및 제 1 벨트(443-3)의 조합으로 이루어질 수 있다. 제 1 구동 풀리(443-1)는 제 1 구동 모터(411)의 회전 축(411a)에 설치된다. 제 1 종동 풀리(443-2)는 중공 축 형상의 공압 부재(426)의 외 측면에 설치된다. 제 1 벨트(443-3)는 제 1 구동 풀리(443-1)와 제 1 종동 풀리(443-2)에 감긴다. 여기서, 제 1 구동 풀리(443-1)가 설치된 제 1 구동 모터(441)는 후술할 제 2 구동 부재(460)의 스윙 암(461)의 일단 내부에 설치되고, 제 1 벨트(443-3)는 스윙 암(461)의 길이 방향을 따라 스윙 암(461)의 내부를 통해 제 1 구동 풀리(443-1)와 제 1 종동 풀리(443-2)에 감길 수 있다.
제 1 구동 모터(441)의 회전력은 벨트-풀리 어셈블리(443)에 의해 공압 부재(426)로 전달되고, 공압 부재(426)가 회전함에 따라 공압 부재(426)의 아래에 순차적으로 결합되어 있는 벨로우즈(425), 연마 패드 홀더(422) 및 연마 패드(423)가 회전된다. 이때, 제 1 구동 부재(440)의 제 1 구동 모터(441)는 선택적으로 시계 방향의 회전력 또는 반시계 방향의 회전력을 제공할 수 있으며, 이에 따라 도 14a 및 도 14b에 도시된 바와 같이 연마 패드(423)가 시계 방향 또는 반시계 방향으로 회전할 수 있다. 이와 같이 연마 패드(423)의 회전 방향을 시계 방향 또는 반시계 방향으로 가변시킬 수 있게 됨으로써, 연마 패드(423)를 기판(W)의 회전 방향과 동일한 방향 또는 기판(W)의 회전 방향에 반대 방향으로 회전시키면서 선택적으로 연마 공정을 진행할 수 있다.
제 2 구동 부재(460)는 연마 헤드(420)를 기판상에서 스윙 동작시키기 위해 연마 헤드(420)를 수평면상에서 이동시킨다. 제 2 구동 부재(460)는 스윙 암(461), 수직 암(462), 제 2 구동 모터(463), 그리고 제 2 벨트-풀리 어셈블리(464)를 포함한다. 스윙 암(461)은 연마 헤드(420)의 하우징(421) 일 측에 수평 방향으로 결합되고, 수직 암(462)은 스윙 암(461)의 타단에 수직하게 아래 방향으로 결합된다. 제 2 구동 모터(463)는 제 2 벨트-풀리 어셈블리(464)를 통해 수직 암(462)에 회전력을 제공한다. 제 2 벨트-풀리 어셈블리(464)는 제 2 구동 풀리(464-1), 제 2 종동 풀리(464-2) 및 제 2 벨트(464-3)의 조합으로 이루어질 수 있다. 제 1 구동 풀리(464-1)는 제 2 구동 모터(463)의 회전 축에 설치된다. 제 2 종동 풀리(464-2)는 수직 암(462)의 외 측면에 설치된다. 제 2 벨트(464-3)는 제 2 구동 풀리(464-1)와 제 2 종동 풀리(464-2)에 감긴다.
제 2 구동 모터(463)의 회전력은 제 2 벨트-풀리 어셈블리(464)에 의해 수직 암(462)으로 전달되고, 수직 암(462)이 자기 중심축을 기준으로 회전함에 따라 스윙 암(461)이 수직 암(462)을 중심으로 스윙 동작한다. 이에 따라 연마 패드(423)가 장착된 연마 헤드(420)가 원형의 곡선 궤적을 따라 이동한다.
제 3 구동 부재(480)는 연마 헤드(420)를 상하 방향으로 이동시킨다. 제 3 구동 부재(480)는 지지 블록(482), 가이드 부재(484), 그리고 직선 구동기(486)를 포함한다. 지지 블록(482)은 수직 암(462)을 지지하며, 수직 암(462)은 베어링(482a,482b)에 의해 회전 가능하게 지지된다. 직선 구동기(486)는 지지 블록(482)을 상하 방향으로 직선 이동시키기 위한 구동력을 제공하며, 직선 구동 기(486)로는 실린더 부재 또는 리니어 모터와 같은 직선 구동 부재가 사용될 수 있다. 가이드 부재(484)는 지지 블록(482)의 직선 이동을 안내한다.
직선 구동기(486)의 직선 구동력은 지지 블록(482)에 전달되고, 지지 블록(482)에 지지된 수직 암(462)이 지지 블록(482)과 함께 상하 방향으로 이동함에 따라 연마 패드(423)가 장착된 연마 헤드(420)가 상하 방향으로 이동한다.
연마 패드(423)를 이용하여 기판의 연마 공정을 반복적으로 진행하는 경우, 주기적으로 연마 패드(423)의 표면을 연마하여 연마 패드(423)의 표면 조도를 조절하여야 한다. 이를 위해, 도 1에 도시된 바와 같이, 처리실(10) 내의 연마 유닛(400)에 인접한 위치에 패드 컨디셔닝 유닛(500)이 구비된다.
도 15은 도 1의 패드 컨디셔닝 유닛의 사시도이고, 도 16은 도 15의 패드 컨디셔닝 유닛의 측단면도이다. 그리고 도 17 및 도 18은 패드 컨디셔닝 유닛의 동작 상태를 보여주는 도면들이다.
도 15 내지 도 18을 참조하면, 패드 컨디셔닝 유닛(500)은 연마 패드(423)가 장착된 연마 헤드(420)의 단부가 수용되는 상부가 개방된 통 형상의 처리조(510)를 가진다. 처리조(510)는 바닥 벽(512)과, 바닥 벽(512)의 가장자리로부터 상측으로 연장된 측벽(514)을 가지며, 바닥 벽(512)의 하부에는 지지 프레임(516)이 제공된다. 처리조(510)의 바닥 벽(512)은 제 1 높이에 위치한 제 1 바닥 벽(512a)과, 제 1 바닥 벽(512a)보다 낮은 제 2 높이로 단차진 제 2 바닥 벽(512b)으로 이루어질 수 있다.
처리조(510)의 제 1 바닥 벽(512a)에는 다이아몬드 컨디셔너(520)가 설치된다. 다이아몬드 컨디셔너(520)는 연마 패드(423)와 접촉하여 연마 패드(423)의 표면을 연마하기 위한 것으로, 환형 또는 원형의 다이아몬드 컨디셔너(520)가 제공될 수 있다. 그리고, 다이아몬드 컨디셔너(520)는 처리조(510)의 제 1 바닥 벽(512a)에 대응하는 크기를 가질 수 있으며, 또한 처리조(510)의 제 1 바닥 벽(512a)의 크기보다 작은 크기로 복수 개가 제공될 수도 있다.
그리고, 처리조(510)에는 연마 패드(423)의 연마 진행 중 생성된 이물질을 제거하기 위해 처리조(510)의 제 1 바닥벽(512a)으로 탈이온수를 공급하기 위한 탈이온수 공급 부재(530,540)가 설치된다. 제 1 탈이온수 공급 부재(530)는 제 1 바닥 벽(512a)을 통해 처리조(510) 내로 탈이온수를 공급하도록 제 1 바닥 벽(512a)에 연결되며, 제 2 탈이온수 공급 부재(540)는 제 1 바닥 벽(512a)의 상측에서 제 1 바닥 벽(512a)을 향해 탈이온수를 공급하도록 처리조(510)의 일 측에 설치된다. 제 1 및 제 2 탈이온수 공급 부재(530,540)로부터 처리조(510)로 공급된 탈이온수는 제 1 바닥 벽(512a)을 타고 흐르면서 이물질을 제거하고, 이후 제 1 바닥 벽(512a) 보다 낮은 높이로 단차진 제 2 바닥 벽(512b)으로 이물질이 혼입된 탈이온수가 유입된다. 제 2 바닥 벽(512b)으로 유입된 탈이온수는 제 2 바닥 벽(512b)에 연결된 배수 부재(550)를 통해 외부로 배출된다.
연마 패드(423)의 연마 공정은, 도 17에 도시된 바와 같이, 연마 헤드(420)가 처리조(510)에 수용된 상태에서 진행된다. 이때, 제 3 구동 부재(도 11의 도면 참조 번호 480)는 처리조(510)에 수용된 연마 헤드(420)를 상하 방향으로 이동시켜 연마 패드(423)를 다이아몬드 컨디셔너(520)에 접촉시킨다. 이 상태에서, 도 18에 도시된 바와 같이, 제 1 구동 부재(도 11의 도면 참조 번호 440)는 연마 패드(423)를 회전시키고, 제 2 구동 부재(도 11의 도면 참조 번호 460)는 연마 헤드(420)를 수평면상에서 이동시켜 다이아몬드 컨디셔너(520) 상에서 연마 패드(423)를 스캐닝시킨다. 이때, 제 1 및 제 2 탈이온수 공급 부재(530,540)는 처리조(510) 내로 탈이온수를 공급하고, 탈이온수는 연마 패드(423)의 연마 중 발생하는 이물질을 제거한 후 배수 부재(550)를 통해 외부로 배출된다.
이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.
이하에 설명된 도면들은 단지 예시의 목적을 위한 것이고, 본 발명의 범위를 제한하기 위한 것이 아니다.
도 1은 본 발명에 따른 매엽식 기판 연마 장치의 사시도,
도 2는 도 1의 처리 용기와 기판 지지 유닛의 측단면도,
도 3은 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면,
도 4는 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면,
도 5는 도 3의 진공 플레이트의 평면도,
도 6은 도 5의 A-A' 선에 따른 단면도,
도 7은 도 3의 백 노즐 어셈블리의 평면도,
도 8은 도 7의 B-B' 선에 따른 단면도,
도 9는 본 발명의 다른 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면,
도 10은 본 발명의 다른 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면,
도 11은 도 1의 연마 유닛의 사시도,
도 12는 도 11의 연마 유닛의 측단면도,
도 13는 도 12의 연마 헤드를 확대하여 보여주는 도면,
도 14a 및 도 14b는 연마 패드를 이용한 연마 공정의 예들을 보여주는 도면,
도 15은 도 1의 패드 컨디셔닝 유닛의 사시도,
도 16은 도 15의 패드 컨디셔닝 유닛의 측단면도,
도 17는 패드 컨디셔닝 유닛의 동작 상태를 보여주는 단면도,
도 18은 패드 컨디셔닝 유닛의 동작 상태를 보여주는 평면도이다.
< 도면의 주요 부분에 대한 부호의 설명 >
100 : 처리 용기 200 : 기판 지지 유닛
210 : 지지 플레이트 220 : 회전 축
230 : 회전 구동부 240 : 진공 플레이트
250 : 구동 부재 310,320 : 세정 유닛
400 : 연마 유닛 500 : 패드 컨디셔닝 유닛

Claims (29)

  1. 기판을 진공 흡착하는 진공 플레이트;
    상기 진공 플레이트의 하부에 이격 배치되며, 상기 기판을 클램핑할 척 부재들이 설치된 지지 플레이트; 및
    상기 척 부재들이 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 진공 플레이트 또는 상기 지지 플레이트를 상하 방향으로 이동시키는 구동 부재를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  2. 제 1 항에 있어서,
    상기 구동 부재는,
    상기 지지 플레이트의 아래에 상하 방향을 따라 서로 마주보도록 배치되며, 서로 간에 자기적 반발력이 작용하도록 자극이 배열된 상부 자석 부재 및 하부 자석 부재;
    일단이 상기 진공 플레이트에 연결되고 타단이 상기 상부 자석 부재에 연결되는 연결 부재들; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  3. 제 2 항에 있어서,
    상기 상부 자석 부재와 상기 하부 자석 부재는 각각 환형 구조인 것을 특징으로 하는 기판 지지 유닛.
  4. 제 2 항에 있어서,
    상기 연결 부재들은 상기 지지 플레이트에 관통 형성된 홀에 삽입 설치되는 봉 형상인 것을 특징으로 하는 기판 지지 유닛.
  5. 제 2 항에 있어서,
    상기 지지 플레이트와 상기 상부 자석 부재 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  6. 제 2 항에 있어서,
    상기 하부 자석 부재의 기설정된 높이로의 상하 이동 여부를 검출하는 감지 부재를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  7. 제 2 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하고,
    상기 진공 플레이트에는 상기 진공 플레이트의 상하 이동시 상기 지지 핀들 과 상기 척킹 핀들이 삽입되도록 홀들이 관통 형성되는 것을 특징으로 하는 기판 지지 유닛.
  8. 제 2 항에 있어서,
    진공 흡착된 상기 기판의 이탈을 방지하도록 상기 진공 플레이트의 가장자리에 이탈 방지 턱이 돌출 형성되는 것을 특징으로 하는 기판 지지 유닛.
  9. 제 2 항에 있어서,
    진공 흡착된 상기 기판과 상기 진공 플레이트 사이의 이물질에 의해 상기 기판이 변형되는 것을 방지하도록 완충 작용을 하는 탄성 재질의 완충 부재가 상기 진공 플레이트의 상면에 설치되는 것을 특징으로 하는 기판 지지 유닛.
  10. 제 1 항에 있어서,
    상기 구동 부재는,
    상기 지지 플레이트에 설치된 상부 자석 부재;
    상기 상부 자석 부재의 아래에 상기 상부 자석 부재와 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  11. 제 10 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과, 상기 지지 핀들의 외 측에 회전 가능하게 결합하여 상기 기판의 측면을 지지하는 L자 형상의 척킹 로드들을 포함하고,
    상기 진공 플레이트에는 상기 지지 플레이트의 상하 이동시 상기 지지 핀들이 삽입 되도록 홀들이 관통 형성되는 것을 특징으로 하는 기판 지지 유닛.
  12. 제 11 항에 있어서,
    상기 진공 플레이트의 하면에는 가압 부재가 돌출 형성되며,
    상기 가압 부재는 상기 지지 플레이트의 승강시 L자 형상의 상기 척킹 로드들의 수평부를 가압하여 상기 척킹 로드들을 회전시키고, 회전하는 상기 척킹 로드들의 수직부는 상기 기판의 가장자리 측면을 지지하는 것을 특징으로 하는 기판 지지 유닛.
  13. 제 10 항에 있어서,
    상기 진공 플레이트의 하면에 연결된 회전 축에 설치되며, 상기 지지 플레이트의 상하 방향 이동을 안내하는 가이드 부재를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  14. 제 10 항에 있어서,
    상기 진공 플레이트와 상기 지지 플레이트 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  15. 기판을 연마하는 장치에 있어서,
    처리실;
    상기 처리실 내에 설치되며, 기판을 지지하는 기판 지지 유닛;
    상기 기판을 연마하는 연마 유닛; 및
    연마된 상기 기판을 세정하는 세정 유닛을 포함하되,
    상기 기판 지지 유닛은,
    상기 기판을 진공 흡착하는 진공 플레이트;
    상기 진공 플레이트의 하부에 이격 배치되며, 상기 기판을 클램핑할 척 부재들이 설치된 지지 플레이트; 및
    상기 척 부재들이 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 진공 플레이트 또는 상기 지지 플레이트를 승하강시키는 구동 부재를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  16. 제 15 항에 있어서,
    상기 구동 부재는,
    상기 지지 플레이트의 아래에 상하 방향을 따라 서로 마주보도록 배치되며, 서로 간에 자기적 반발력이 작용하도록 자극이 배열된 상부 자석 부재 및 하부 자석 부재;
    일단이 상기 진공 플레이트에 연결되고 타단이 상기 상부 자석 부재에 연결되는 연결 부재들; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  17. 제 16 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하고,
    상기 진공 플레이트에는 상기 진공 플레이트의 상하 이동시 상기 지지 핀들과 상기 척킹 핀들이 삽입되도록 홀들이 관통 형성되는 것을 특징으로 하는 매엽식 기판 연마 장치.
  18. 제 16 항에 있어서,
    상기 연결 부재들은 상기 지지 플레이트에 관통 형성된 홀에 삽입 설치되는 봉 형상이고,
    상기 봉 형상의 연결 부재를 감싸는 코일 형상의 탄성 부재가 상기 지지 플레이트와 상기 상부 자석 부재 사이에 설치되는 것을 특징으로 하는 매엽식 기판 연마 장치.
  19. 제 16 항에 있어서,
    상기 하부 자석 부재의 기설정된 높이로의 상하 이동 여부를 검출하는 감지 부재를 더 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  20. 제 16 항에 있어서,
    상기 진공 플레이트의 가장자리에는 진공 흡착된 상기 기판의 이탈을 방지하도록 이탈 방지 턱이 돌출 형성되고,
    상기 진공 플레이트의 상면에는 진공 흡착된 상기 기판과 상기 진공 플레이트 사이의 이물질에 의해 상기 기판이 변형되는 것을 방지하도록 완충 작용을 하는 탄성 재질의 완충 부재가 설치되는 것을 특징으로 하는 매엽식 기판 연마 장치.
  21. 제 16 항에 있어서,
    상기 세정 유닛은,
    상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면을 세정하는 제 1 세정 유닛; 및
    상기 지지 플레이트의 상면 중심부에 설치되며, 상기 기판의 하면으로 세정액을 공급하는 제 2 세정 유닛을 포함하되,
    상기 진공 플레이트의 중심부에는 상기 세정액이 상기 제 2 세정 유닛으로부 터 상기 기판의 하면으로 공급되도록 개구부가 형성되는 것을 특징으로 하는 매엽식 기판 연마 장치.
  22. 제 15 항에 있어서,
    상기 구동 부재는,
    상기 지지 플레이트에 설치된 상부 자석 부재;
    상기 상부 자석 부재의 아래에 상기 상부 자석 부재와 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  23. 제 22 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과, 상기 지지 핀들의 외 측에 회전 가능하게 결합하여 상기 기판의 측면을 지지하는 L자 형상의 척킹 로드들을 포함하고,
    상기 진공 플레이트에는 상기 지지 플레이트의 상하 이동시 상기 지지 핀들이 삽입 되도록 홀들이 관통 형성되는 것을 특징으로 하는 매엽식 기판 연마 장치.
  24. 제 23 항에 있어서,
    상기 진공 플레이트의 하면에는 가압 부재가 돌출 형성되며,
    상기 가압 부재는 상기 지지 플레이트의 승강시 L자 형상의 상기 척킹 로드들의 수평부를 가압하여 상기 척킹 로드들을 회전시키고, 상기 척킹 로드들의 수직부는 상기 기판의 가장자리 측면을 지지하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  25. 제 22 항에 있어서,
    상기 진공 플레이트를 지지하는 지지 축에 설치되며, 상기 지지 플레이트의 상하 방향 이동을 안내하는 가이드 부재를 더 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  26. 제 22 항에 있어서,
    상기 진공 플레이트와 상기 지지 플레이트 사이에 탄성 반발력이 작용하도록 설치된 탄성 부재를 더 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  27. 제 22 항에 있어서,
    상기 세정 유닛은,
    상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면을 세정하는 제 1 세정 유닛; 및
    상기 진공 플레이트에 설치되며, 상기 기판의 하면으로 세정액을 공급하는 제 2 세정 유닛을 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  28. 제 15 항의 장치를 이용하여 기판을 연마하는 방법에 있어서,
    진공 플레이트에 기판을 진공 흡착하여 기판의 상면을 연마하고,
    상기 진공 플레이트 또는 지지 플레이트를 승하강시켜 상기 지지 플레이트의 척 부재들이 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하고,
    상기 지지 플레이트의 상기 척 부재들에 의해 지지되는 상기 연마된 기판의 하면을 세정하는 것을 특징으로 하는 기판 연마 방법.
  29. 제 28 항에 있어서,
    상기 연마된 기판의 상면과 하면을 동시에 세정 처리하는 것을 특징으로 하는 기판 연마 방법.
KR1020080062444A 2008-06-30 2008-06-30 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치 KR101036605B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020080062444A KR101036605B1 (ko) 2008-06-30 2008-06-30 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
CN2008101765518A CN101618520B (zh) 2008-06-30 2008-11-19 基片支撑单元、单一类型基片抛光装置和基片抛光方法
US12/273,829 US8113918B2 (en) 2008-06-30 2008-11-19 Substrate supporting unit and single type substrate polishing apparatus using the same
JP2008295492A JP5004059B2 (ja) 2008-06-30 2008-11-19 枚葉式基板研磨装置
TW097144989A TWI404166B (zh) 2008-06-30 2008-11-20 基板支撐單元,使用該單元之單一類型基板拋光裝置及使用該裝置之基板拋光方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080062444A KR101036605B1 (ko) 2008-06-30 2008-06-30 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치

Publications (2)

Publication Number Publication Date
KR20100002523A true KR20100002523A (ko) 2010-01-07
KR101036605B1 KR101036605B1 (ko) 2011-05-24

Family

ID=41448017

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080062444A KR101036605B1 (ko) 2008-06-30 2008-06-30 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치

Country Status (5)

Country Link
US (1) US8113918B2 (ko)
JP (1) JP5004059B2 (ko)
KR (1) KR101036605B1 (ko)
CN (1) CN101618520B (ko)
TW (1) TWI404166B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101381635B1 (ko) * 2012-09-21 2014-04-04 청진테크 주식회사 세정 장치
KR101426999B1 (ko) * 2011-12-19 2014-08-06 다이니폰 스크린 세이조우 가부시키가이샤 기판 유지 회전 장치 및 이것을 구비한 기판 처리 장치, 및 기판 처리 방법
KR20180052538A (ko) * 2016-11-10 2018-05-18 사이언테크 코포레이션 단일기판 처리장치
KR20180133087A (ko) * 2017-06-05 2018-12-13 레셀 주식회사 기판 용기 장착 장치
KR20190112369A (ko) * 2018-03-26 2019-10-07 주식회사 케이씨텍 기판 처리 장치
KR102074222B1 (ko) * 2018-09-10 2020-02-06 (주)신우에이엔티 기판 처리 장치용 바울의 이물질 비산 방지 구조
KR102154996B1 (ko) * 2019-09-18 2020-09-11 주식회사 휴비츠 샘플 고정 장치

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4783405B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 傾斜角調整装置及びワーク装着装置
JP4783404B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 ワーク装着装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101004434B1 (ko) * 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
KR101004435B1 (ko) * 2008-11-28 2010-12-28 세메스 주식회사 기판 연마 장치 및 이를 이용한 기판 연마 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101170760B1 (ko) * 2009-07-24 2012-08-03 세메스 주식회사 기판 연마 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101610832B1 (ko) * 2010-03-03 2016-04-08 삼성전자주식회사 화학적 기계적 연마 설비
CN102528643A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 化学机械研磨设备及其研磨单元
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101387568B1 (ko) * 2012-10-10 2014-04-22 (주)에스티아이 태양전지 제조공정용 로드 카세트
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103252713B (zh) * 2013-05-08 2015-12-02 浙江工业大学 一种磁加载晶片研磨方法及装置
JP6190679B2 (ja) * 2013-09-24 2017-08-30 株式会社テックインテック 基板保持機構およびそれを用いた基板処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6309371B2 (ja) * 2014-07-01 2018-04-11 株式会社ディスコ 板状ワークの搬出方法
JP6400967B2 (ja) * 2014-07-18 2018-10-03 株式会社Screenホールディングス 基板処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9714462B2 (en) * 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
RU2607071C2 (ru) * 2015-03-23 2017-01-10 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки обрабатываемой детали на металлорежущем станке
US10593554B2 (en) 2015-04-14 2020-03-17 Jun Yang Method and apparatus for within-wafer profile localized tuning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6721967B2 (ja) * 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106933045B (zh) * 2015-12-30 2019-06-25 上海微电子装备(集团)股份有限公司 一种辅助支撑装置及带有辅助支撑的光刻机设备
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN105834900B (zh) * 2016-04-28 2019-03-26 浙江工业大学 一种抛光工件真空夹具自动调节装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
RU172335U1 (ru) * 2016-06-28 2017-07-04 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки обрабатываемой детали на металлорежущем станке
SG11201803924XA (en) * 2016-06-30 2018-06-28 Aem Singapore Pte Ltd Automated picking apparatus with magnetic repulsion for picking an object or a set of objects and method thereof
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770886B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置及び基板処理方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106826516B (zh) * 2017-01-18 2018-10-23 浙江金达莱装饰工程有限公司 一种抛光机构
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11056358B2 (en) * 2017-11-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cleaning apparatus and method
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108481185A (zh) * 2018-06-14 2018-09-04 东莞金研精密研磨机械制造有限公司 一种双面研磨机
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102099105B1 (ko) * 2018-07-18 2020-05-15 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
RU187773U1 (ru) * 2018-08-20 2019-03-19 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки деталей на металлорежущем станке
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7220648B2 (ja) * 2019-12-20 2023-02-10 株式会社荏原製作所 基板処理装置および基板処理方法
CN113118966B (zh) * 2019-12-31 2022-08-16 清华大学 一种用于化学机械抛光的承载头及其使用方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
JP7387471B2 (ja) * 2020-02-05 2023-11-28 株式会社荏原製作所 基板処理装置および基板処理方法
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230053957A (ko) * 2021-10-15 2023-04-24 세메스 주식회사 기판 승강 장치 및 기판 처리 장치
CN116021403A (zh) * 2022-10-13 2023-04-28 北京特思迪半导体设备有限公司 用于晶圆批量抛光的柔性气囊结构及下压力精确控制方法
CN115662937B (zh) * 2022-12-29 2023-03-17 四川晶辉半导体有限公司 一种芯片安装设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6138863A (ja) 1984-07-30 1986-02-24 Toshiba Corp 研磨装置
JP3211468B2 (ja) * 1993-04-01 2001-09-25 松下電器産業株式会社 現像装置及び現像方法
JP2807778B2 (ja) * 1995-01-27 1998-10-08 セイコー精機株式会社 真空内上下受渡し装置
JPH10193260A (ja) * 1996-12-27 1998-07-28 Shin Etsu Handotai Co Ltd ウエーハ保持治具
JPH10303110A (ja) * 1997-04-30 1998-11-13 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP3265238B2 (ja) 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US5964646A (en) * 1997-11-17 1999-10-12 Strasbaugh Grinding process and apparatus for planarizing sawed wafers
JP3394181B2 (ja) * 1998-03-30 2003-04-07 日立ソフトウエアエンジニアリング株式会社 試料添加方法及び試料添加装置
JP2000294622A (ja) 1999-04-02 2000-10-20 Speedfam-Ipec Co Ltd ウエーハ保持用チャック
US6558232B1 (en) * 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6750155B2 (en) * 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP4448297B2 (ja) * 2002-12-27 2010-04-07 株式会社荏原製作所 基板研磨装置及び基板研磨方法
US20040206304A1 (en) * 2003-04-15 2004-10-21 Menear John Edgar Pressurized chuck for controlling backside wafer contamination
JP4090416B2 (ja) * 2003-09-30 2008-05-28 日東電工株式会社 粘着テープ付ワークの離脱方法及び離脱装置
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
KR100667598B1 (ko) * 2005-02-25 2007-01-12 주식회사 아이피에스 반도체 처리 장치
KR20070009781A (ko) * 2005-07-14 2007-01-19 삼성전자주식회사 웨이퍼 척
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
KR100809594B1 (ko) * 2006-09-12 2008-03-04 세메스 주식회사 척킹부재 및 이를 포함하는 스핀헤드
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
KR100865941B1 (ko) * 2006-11-28 2008-10-30 세메스 주식회사 스핀헤드 및 상기 스핀헤드의 기판 척킹/언척킹방법,그리고 상기 스핀헤드를 구비하는 기판 처리 장치
KR101004434B1 (ko) * 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101426999B1 (ko) * 2011-12-19 2014-08-06 다이니폰 스크린 세이조우 가부시키가이샤 기판 유지 회전 장치 및 이것을 구비한 기판 처리 장치, 및 기판 처리 방법
US9385020B2 (en) 2011-12-19 2016-07-05 SCREEN Holdings Co., Ltd. Substrate holding and rotating device, substrate treatment apparatus including the device, and substrate treatment method
KR101381635B1 (ko) * 2012-09-21 2014-04-04 청진테크 주식회사 세정 장치
KR20180052538A (ko) * 2016-11-10 2018-05-18 사이언테크 코포레이션 단일기판 처리장치
KR20180133087A (ko) * 2017-06-05 2018-12-13 레셀 주식회사 기판 용기 장착 장치
KR20190112369A (ko) * 2018-03-26 2019-10-07 주식회사 케이씨텍 기판 처리 장치
KR102074222B1 (ko) * 2018-09-10 2020-02-06 (주)신우에이엔티 기판 처리 장치용 바울의 이물질 비산 방지 구조
KR102154996B1 (ko) * 2019-09-18 2020-09-11 주식회사 휴비츠 샘플 고정 장치

Also Published As

Publication number Publication date
TWI404166B (zh) 2013-08-01
US8113918B2 (en) 2012-02-14
JP2010012591A (ja) 2010-01-21
JP5004059B2 (ja) 2012-08-22
TW201001609A (en) 2010-01-01
CN101618520B (zh) 2012-06-27
KR101036605B1 (ko) 2011-05-24
US20090325469A1 (en) 2009-12-31
CN101618520A (zh) 2010-01-06

Similar Documents

Publication Publication Date Title
KR101036605B1 (ko) 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
KR101004434B1 (ko) 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
KR101004432B1 (ko) 매엽식 기판 처리 장치
KR102071727B1 (ko) 연마 세정 기구, 기판 처리 장치 및 기판 처리 방법
KR100964871B1 (ko) 패드 컨디셔닝 유닛 및 이를 구비한 매엽식 기판 연마 장치
KR100578133B1 (ko) 화학적 기계적 연마 장치 및 이에 사용되는 연마 패드
KR101226951B1 (ko) 기판 지지 유닛
KR100634450B1 (ko) 화학적 기계적 연마 장치 및 이에 사용되는 플레이튼
KR101041871B1 (ko) 기판 연마 장치 및 그의 기판 슬립 감지 방법
JP2017157646A (ja) 研磨方法及び研磨装置
KR100957227B1 (ko) 매엽식 기판 처리 장치
KR101042323B1 (ko) 연마 유닛 및 이를 갖는 기판 연마 장치
KR20190054965A (ko) 기판 처리 장치 및 기판 처리 방법
KR101098368B1 (ko) 기판 연마 장치 및 이를 이용한 기판 연마 방법
KR20100002511A (ko) 기판 연마 방법
KR20110016704A (ko) 기판 처리 장치 및 방법
KR20100019545A (ko) 매엽식 기판 처리 장치 및 방법
KR101086786B1 (ko) 기판 지지유닛, 및 이를 갖는 기판 연마 장치
KR20110013897A (ko) 기판 지지부재, 이를 구비하는 기판 연마 장치 및 그의 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140516

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150519

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160509

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170510

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190508

Year of fee payment: 9