CN101618520A - 基片支撑单元、单一类型基片抛光装置和基片抛光方法 - Google Patents

基片支撑单元、单一类型基片抛光装置和基片抛光方法 Download PDF

Info

Publication number
CN101618520A
CN101618520A CN200810176551A CN200810176551A CN101618520A CN 101618520 A CN101618520 A CN 101618520A CN 200810176551 A CN200810176551 A CN 200810176551A CN 200810176551 A CN200810176551 A CN 200810176551A CN 101618520 A CN101618520 A CN 101618520A
Authority
CN
China
Prior art keywords
substrate
evacuated panel
unit
gripper shoe
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200810176551A
Other languages
English (en)
Other versions
CN101618520B (zh
Inventor
具教旭
尹沧老
赵重根
崔基勋
崔重奉
孙德铉
具世薰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semes Co Ltd
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of CN101618520A publication Critical patent/CN101618520A/zh
Application granted granted Critical
Publication of CN101618520B publication Critical patent/CN101618520B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明提供了一种基片支撑单元和使用该基片支撑单元的单一类型基片抛光装置。在抛光处理期间,利用真空吸力,基片的底部表面被吸附于基片支撑单元;在后清洁处理期间,基片由基片支撑单元支撑,置于基片支撑单元上部的空间中,以清洁基片的底部表面。因此,根据基片支撑单元和使用该基片支撑单元的基片抛光装置,在由单一类型基片支撑单元支撑基片的状态下,可以顺序执行用于抛光基片表面的处理和用于清洁基片的顶部和底部表面的后处理。

Description

基片支撑单元、单一类型基片抛光装置和基片抛光方法
相关申请的交叉引用
根据35U.S.C.§119,本美国非临时专利申请要求2008年6月30日申请的、韩国专利申请号为10-2008-0062444的优先权,其整体内容以引用的方式并入文中。
技术领域
这里公开的本发明涉及半导体制造装置和方法,并且更具体地说,本发明涉及利用单一基片处理方法的用于支撑半导体基片的基片支撑单元,和使用该基片支撑单元用于抛光和清洁基片的基片抛光装置。
背景技术
在通常的半导体设备制造过程中,反复执行诸如沉积处理、光学处理和蚀刻处理的多种处理,以在晶片上形成和层叠薄膜。这种处理反复执行,直到在晶片上形成期望的电路图案,并且在形成电路图案后,晶片表面变得不平坦。最近的高度集成半导体设备具有多层结构、大量弯曲表面,在弯曲表面之间的高度差也较大。由于晶片不平坦表面会导致故障,诸如照相过程中散焦,晶片的不平坦表面应被周期地抛光,以使晶片的不平坦表面平坦。
已开发了多种表面平面化技术,用于使晶片的表面平面化,并且化学机械抛光(CMP)技术在这种平面化技术中得到广泛应用,因为通过使用CMP技术,可以使宽表面和窄表面都平坦化并具有良好平坦性。通过使用机械摩擦和化学腐蚀,CMP装置用于抛光覆盖有钨或氧化物的晶片的表面,并使用CMP装置可以实现精抛光。
发明内容
本发明提供了一种基片支撑单元和使用该基片支撑单元的单一类型基片抛光装置及基片抛光方法,能够通过利用逐次处理单个基片的单一基片处理方法,顺序对基片执行抛光和后清洁处理。
本发明的目的并不局限于上述内容,并且通过如下描述,本领域的所属技术人员将显而易见地理解本发明的其它目的。
本发明的实施例提供了基片支撑单元,包括:真空板,基片利用真空吸力吸附到该真空板上;支撑板,设置在真空板下方的预定距离处,并且该支撑板上设置有卡紧部件以夹紧基片;和驱动部件,其被配置以向上和向下运动真空板或支撑板,以使卡紧部件在基片位于支撑板的上部空间中时支撑基片。
在一些实施例中,该驱动部件可包括:在支撑板下方垂直布置并彼此面对的上部和下部磁铁部件,该上部和下部磁铁部件置为相同的磁极彼此面对,以使磁性排斥力作用于上部和下部磁铁部件之间;连接部件,其具有连接到真空板的端部和连接到上部磁铁部件的其它端部;和线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
在其它实施例中,所述上部和下部磁铁部件的磁极都可布置成环形。
在其它实施例中,连接部件可具有杆形状,并可被插入至形成在支撑板的孔。
在其它实施例中,该基片支撑单元还可包括弹性部件,其被配置以在支撑板和上部磁铁部件之间施加弹性排斥力。
在其它实施例中,该基片支撑单元还可包括感应部件,其配置以探测下部磁铁部件是否垂直地运动到预设高度。
在一些实施例中,该卡紧部件可包括:支撑销,其被配置以支撑基片的底部表面;和卡紧销,其被配置以支撑基片的边缘,其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销和卡紧销。
在其它实施例中,分离防止爪可从真空板的边缘部突起,以防止利用真空吸力吸附于真空板的基片的分离。
在其它实施例中,由弹性材料形成的缓冲部件可设置在真空板的顶部表面上,以防止利用真空吸力吸附于真空板的基片由于基片与真空板之间存在的异物而变形。
在一些实施例中,该驱动部件可包括:设置在支撑板上的上部磁铁部件;下部磁铁部件,其设置在所述上部磁铁部件下方并面对所述上部磁铁部件,设置所述下部磁铁部件的磁极,以使磁性排斥力作用在上部和下部磁铁部件之间;和线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
在其它实施例中,该卡紧部件可包括:支撑销,其被配置以支撑基片的底部表面;和L型卡紧杆,设置在所述支撑销的外侧且可旋转地,以支撑基片的边缘,其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销。
在其它实施例中,按压部件可从真空板的底部表面突起,且当支撑板向上运动时,按压部件可按压L型卡紧杆的水平部分以旋转卡紧杆,使得:可旋转的卡紧杆的垂直部分可支撑基片的边缘。
在其它实施例中,该基片支撑单元还可包括引导部件,其设置在连接到真空板的底部表面的旋转轴处,用于引导支撑板的向上和向下运动。
在其它实施例中,该基片支撑单元还可包括弹性部件,其被配置以在真空板与支撑板之间施加弹性排斥力。
在本发明的其它实施例中,单一类型基片抛光装置包括:处理腔;基片支撑单元,其设置在所述处理腔中,用于支撑基片;抛光单元,其被配置以抛光基片;和清洁单元,其被配置以清洁已抛光的基片,其中该基片支撑单元包括:真空板,基片利用真空吸力吸附到该真空板上;支撑板,设置在真空板下方的预定距离处,并且在该支撑板上设置有卡紧部件以夹紧基片;和驱动部件,其被配置以向上和向下运动真空板或支撑板,以使卡紧部件在基片位于支撑板的上部空间中时支撑基片。
在一些实施例中,该驱动部件可包括:在支撑板下方垂直布置并彼此面对的上部和下部磁铁部件,该上部和下部磁铁部件置为相同的磁极彼此面对,以使磁性排斥力作用于上部和下部磁铁部件之间;连接部件,其具有连接到真空板的端部和连接到上部磁铁部件的其它端部;和线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
在其它实施例中,该卡紧部件可包括:支撑销,其被配置以支撑基片的底部表面;和卡紧销,其被配置以支撑基片的边缘;其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销和卡紧销。
在其它实施例中,所述连接部件可具有杆形状,并插入至形成在支撑板的孔中;和螺旋状弹性部件,置于围绕着支撑板与上部磁铁部件之间的杆形状连接部件的位置。
在其它实施例中,该单一类型基片抛光装置还可包括感应部件,其被配置以探测下部磁铁部件是否垂直地运动到预设高度。
在其它实施例中,分离防止爪可从真空板的边缘部突起,以防止利用真空吸力吸附于真空板的基片的分离;并且,由弹性材料形成的缓冲部件设置在真空板的顶部表面上,以防止利用真空吸力吸附于真空板的基片由于基片与真空板之间存在的异物而变形。
在其它实施例中,该清洁单元可包括:第一清洁单元,设置在基片支撑单元的侧面,用于清洁基片的顶部表面;和第二清洁单元,设置在支撑板的顶部中央部处,用于将清洁液体供应到基片的底部表面,其中:在真空板的中央部形成开口,以使清洁液体从所述第二清洁单元供应到基片的底部表面。
在其它实施例中,该驱动部件可包括:设置在支撑板上的上部磁铁部件;下部磁铁部件,其设置在所述上部磁铁部件下方并面对所述上部磁铁部件,设置所述下部磁铁部件的磁极,以使磁性排斥力作用在所述上部和下部磁铁部件之间;和线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
在其它一些实施例中,卡紧部件可包括:支撑销,其被配置以支撑基片的底部表面;和L型卡紧杆,设置在所述支撑销的外侧且可旋转,以支撑基片的边缘,其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销。
在其它实施例中,按压部件可从真空板的底部表面突起,并当支撑板向上运动时,按压部件可按压L型卡紧杆的水平部分以旋转卡紧杆,以使可旋转的卡紧杆的垂直部分可支撑基片的边缘。
在一些实施例中,该单一类型基片抛光装置还可包括引导部件,其设置在支撑真空板的旋转轴处,用于引导支撑板的向上和向下运动。
在其它实施例中,该单一类型基片抛光装置还可包括弹性部件,其被配置以在真空板与支撑板之间施加弹性排斥力。
附图说明
附图用于提供对本发明的进一步理解,作为组成部分并入说明书中。附图显示了本发明的典型实施例,并且与描述一起,用于说明本发明的原理。在附图中:
图1是根据本发明一个实施例提供的单一类型基片抛光装置的透视图;
图2是用于说明图1所示的处理容器和基片支撑单元的侧断面视图;
图3是用于说明本发明一个实施例提供的使用基片支撑单元抛光基片的处理的视图;
图4是用于说明本发明一个实施例提供的使用基片支撑单元清洁基片的过程的视图;
图5是用于说明图3所示的真空板的平面视图;
图6是沿图5中的直线A-A′的断面视图;
图7是用于说明图3所示的后喷嘴组件的平面视图;
图8是沿图7中的直线B-B′的断面视图;
图9是用于说明本发明另一实施例提供的使用基片支撑单元抛光基片的处理的视图;
图10是用于说明本发明另一实施例提供的使用基片支撑单元清洁基片的处理的视图;
图11是用于说明图1所示的抛光单元的透视图;
图12是用于说明图11所示的抛光单元的侧断面视图;
图13是用于说明图12所示的抛光头的放大视图;
图14A和14B是用于说明使用抛光垫的典型抛光处理的视图;
图15是用于说明图1所示的垫调节单元的透视图;
图16是用于说明图15所示的垫调节单元的侧断面视图;
图17是用于说明垫调节单元的操作状态的断面视图;和
图18是用于说明垫调节单元的操作状态的平面视图。
具体实施方式
下面将参照附图更详细地描述本发明的典型实施例中基片支撑单元和使用该基片支撑单元的单一类型基片抛光装置。在图中,标号指示元件,并且利用相同的标号指示相同或类似的元件。在下述描述中,众所周知结构和功能将不具体描述以避免无法对本发明的进行充分说明。
(实施例)
图1是本发明一个实施例提供的单一类型基片抛光装置1的透视图;图2是用于说明图1所示的单一类型基片抛光装置1的处理容器100和基片支撑单元200的侧断面视图。
当前实施例的单一类型基片抛光装置1可用于在相同处理腔10中顺序执行对基片W的顶部表面的抛光处理和基片W的顶部和底部表面的清洁处理。
参照图1和2,当前实施例的单一类型基片抛光装置1包括:处理容器100;基片支撑单元200;清洁单元310,320,和330;抛光单元400;和垫调节单元500。该处理容器100提供了用于处理基片W的内部空间。该基片支撑单元200设置在处理容器100内部,并在基片抛光和后清洁处理期间,该基片支撑单元200固定装入处理容器100内的基片W。清洁单元310和320被设置在处理容器100的预定侧处,用于清洁基片W的顶部表面;并且清洁单元330被设置在基片支撑单元200处,用于清洁基片W的底部表面。在基片W由抛光单元400抛光后,基片W由清洁单元310、320和330清洁。清洁单元310是清洁液体供应部件,配置以将清洁液体供应到基片W的顶部表面,并且该清洁单元320是超声波清洗部件,配置以将超声波应用到供应到基片W的顶部表面的清洁液体,以增加基片W的清洁效率。清洁单元330是清洁液体供应部件,配置以将清洁液体供应到基片W的底部表面。该抛光单元400和垫调节单元500被设置在处理容器100的其它侧面处。该抛光单元400用于采用化学和机械的方法抛光基片W的顶部表面;垫调节单元500用于抛光抛光单元400的抛光垫(图中未显示),以调整抛光垫的表面粗糙度。
该处理容器100包括:圆柱状的第一收集圆筒110、第二收集圆筒120和第三收集圆筒130。在当前实施例中,该处理容器100包括三个收集圆筒;然而,收集圆筒的数目能够增加或减少。第一、第二和第三收集圆筒110、120和130用于在基片处理过程中收集供应到基片W的清洁液体。在基片抛光装置1中,基片W在由基片支撑单元200旋转的同时得到清洁。因此,供应到基片W的清洁液体从基片W扩散。第一、第二和第三收集圆筒110、120和130用于收集扩散的清洁液体。
第一、第二和第三收集圆筒110、120和130在收集从基片W扩散的清洁液体时形成第一、第二和第三收集空间S1、S2和S3。该第一收集圆筒110形成第一收集空间S1,以收集首先用于处理基片W的第一收集液体。该第二收集空间S2形成在第一和第二收集圆筒110和120之间,以收集用于第二次处理基片W的第二清洁液体。该第三收集空间S3形成在第二和第三收集圆筒120和130之间,以收集用于第三次处理基片W的第三清洁液体。
该第一收集圆筒110连接有第一收集管线141。在第一收集空间S1中收集的第一清洁液体经第一收集管线141排放到外部。该第二收集圆筒120被连接到第二收集管线143。在第二收集空间S2中收集的第二清洁液体经第二收集管线143排放到外部。该第三收集圆筒130被连接到第三收集管线145。在第三收集空间S3中收集的第三清洁液体经第三收集管线145排放。
垂直推进器150可被连接到处理容器100以改变处理容器100的垂直位置。该垂直推进器150被设置在第三收集圆筒130的外壁处,以在基片支撑单元200的垂直位置被固定的同时,向上和向下移动处理容器100。因此,可以改变处理容器100和基片W的相对垂直位置。相应的,不同的清洁液体可以收集在处理容器100的收集空间S1、S2和S3中。
图3是用于说明本发明实施例提供的使用基片支撑单元200抛光基片W的处理过程的视图;图4是用于说明本发明实施例提供的使用基片支撑单元200清洁基片W的处理过程的视图。
参照图2、3和4,该基片支撑单元200包括:支撑板210;旋转轴220;旋转驱动单元230;真空板240;和驱动部件250。该基片支撑单元200设置在处理容器100内部使得对基片W进行支撑和旋转的同时对基片W进行处现如图3所示,在抛光处理期间,该真空板240支撑基片W;如图4所示,在后清洁处理期间,该支撑板210支撑基片W。该驱动部件250向上移动真空板240,使得在抛光处理期间,该真空板240能够支撑基片W;并且该驱动部件250向下运动真空板240,使得在后清洁处理期间,该支撑板210能够支撑基片W。该旋转轴220被连接到支撑板210的底侧;该旋转驱动单元230被连接到旋转轴220的底侧以旋转旋转轴220。该旋转驱动单元230可以是电动机。
卡紧部件214和216设置在支撑板210的顶部表面处,用于夹紧基片W。该卡盘部件214和216包括支撑销214和卡紧销216。支撑销214以预定的方式布置在支撑板210的顶部表面上,以支撑基片W的底部表面。该卡紧销216被布置在支撑板210顶部表面上支撑销214的外部,以支撑基片W的边缘。
该真空板240设置在支撑板210上方预定距离处,并由驱动部件250垂直移动(在后面更详细地描述)。如图5和图6所示,该真空板240包括:圆盘形状下壁241;和从下壁241的边缘向上延伸的侧壁242。孔245和246穿透下壁241和侧壁242。该孔245和246位于对应于支撑板210的支撑销214和卡紧销216的位置处,使得当真空板240垂直运动时,支撑板210的支撑销214和卡紧销216能插入孔245和246中。开口243a穿透下壁241的中央部,和另一侧壁243从开口243a的周围部向下延伸。槽244沿从开口243a的径向方向形成在下壁241的顶部表面中。槽244与开口243a连通。
基片W被放置在真空板240的下壁241的顶部表面上,在槽244中形成负压,以将基片W吸附于真空板240(真空吸附)。此时,真空板240的侧壁242阻止基片W从真空板240分离,该侧壁242还可为夹具中的分离防止爪。
由弹性材料形成的缓冲部件247被设置在真空板240的下壁241的顶部表面上。该缓冲部件247可由诸如硅或氟橡胶的弹性材料形成。如果异物,如颗粒,存在于真空板240的下壁241和真空吸附于下壁241的基片W之间,由于异物,基片W的平坦性会由于变形恶化。如果在平坦性恶化的基片W上执行抛光处理,由于基片W的表面上的高度差,该基片W无法均匀地抛光。该缓冲部件247被提供以解决这个问题。更确切地说,虽然在抛光处理期间,异物存在于基片W和真空板240之间并且压力施加于基片W,因为异物进入由弹性材料形成的缓冲部件247,基片W的平坦性能够在抛光处理期间均匀保持。
后喷嘴组件330被设置在支撑板210的中央部,并且真空板240的侧壁243被插入后喷嘴组件330和支撑板210之间的间隙。该侧壁243可在间隙中垂直运动。
该后喷嘴组件330用于将清洁液体供应到基片W的底部表面,或将负压应用于基片W,用于粘附基片W。该后喷嘴组件330包括从支撑板210的顶表面突起的喷嘴体331(参照图7)。如图7所示,清洁液体供应部件332和333、冲洗液体供应部件334、干燥气体供应部件336和排放部件335被设置在喷嘴体331上。清洁液体供应部件332和333用于将清洁液体喷射到基片W的底部表面;冲洗液体供应部件334用于将冲洗液体喷射到基片W的底部表面;干燥气体供应部件336用于将干燥气体喷射到基片W的底部表面。该排放部件335用于从基片W的底侧抽取空气,以形成用于真空吸附基片W的负压。如图8所示,清洁液体供应部件332和333、冲洗液体供应部件334、干燥气体供应部件336和排放部件335可以是插入喷嘴体331中的管状喷嘴。
该驱动部件250向上运动真空板240,使得:如图3所示,在抛光处理期间,该真空片240能够支撑基片W;该驱动部件250向下运动真空板240,使得:如图4所示,在后清洁处理期间,该支撑板210能够支撑基片W。
该驱动部件250包括:上部磁铁部件251;下部磁铁部件253;连接部件255;和线性驱动单元257。上部和下部磁铁部件251和253具有环形,并被垂直布置在支撑板210下,以包围旋转轴220。磁铁252和254被分别设置在上部和下部磁铁部件251和253处。磁铁252和254的磁极被定位以在磁铁252和254之间形成磁排斥力。
连接部件255具有杆形状,并插入穿过支撑板210的垂直孔213中。连接部件255的端部被连接到真空板240的下壁241,并且连接部件255的其它端部被连接到上部磁铁部件251。线性驱动单元257被连接到下部磁铁部件253以沿向上和向下方向线性运动下部磁铁部件253。
在上述结构中,当线性驱动单元257向上运动下部磁铁部件253时,上部磁铁部件251受到来自下部磁铁部件253磁性排斥力并向上运动,并且因此,经连接部件255连接到上部磁铁部件251的真空板240向上运动。在这种状态中,基片W被装配在真空板240上,并且利用后喷嘴组件330的排放部件335,在基片W的底部侧处形成负压,使得:该基片W能够真空吸附于真空板240。此后,抛光头420(后面描述)向基片W的顶部侧运动,并且使用在抛光头420上安装的抛光垫423执行抛光处理。
在抛光处理后,在基片W的顶部和底部表面上执行后清洁处理。为此,线性驱动单元257向下运动下部磁铁部件253。然后,利用由在支撑板210和上部磁铁部件251之间设置的弹性部件256施加的弹性排斥力,上部磁铁部件251向下运动,并且经连接部件255连接到上部磁铁部件251的真空板240向下运动。随着真空板240向下运动,该基片W由支撑板210的卡紧部件214和216支撑。在这种状态中,该清洁单元310将清洁液体供应到基片W的顶部表面,并且该清洁单元320将超声波应用于供应到基片W的顶部表面的清洁液体上,以清洁基片W的顶部表面。此时,该后喷嘴组件330的清洁液体供应部件332将清洁液体供应到基片W的底部表面,以清洁基片W的底部表面。
根据本发明的实施例,感应部件260可被设置在单一类型基片抛光装置1中,以在抛光处理或清洁处理中探测下部磁铁部件253是否被垂直运动到预设高度。诸如光传感器的多种传感器可用作感应部件260。该感应部件260可被设置在对应于下部磁铁部件253的上部位置的高度和对应于下部磁铁部件253的下部位置的高度处。具有弯曲形状的杆262可被设置在下部磁铁部件253的底侧处。当下部磁铁部件253向上或向下运动时,杆262的端部被放置在对应于下部磁铁部件253的上部或下部位置的位置处,使得:通过使用感应部件260探测杆262端部的位置,可以确定下部磁铁部件253是向上或是向下运动。
在上述描述中,该基片支撑单元200的支撑板210被固定,并且基片支撑单元200的真空板240被垂直运动;然而,在其它实施例中,支撑板可被垂直运动,并且真空板可被固定。
图9是用于说明本发明另一实施例提供的使用基片支撑单元200抛光基片的处理的视图;图10是用于说明本发明另一实施例提供的使用基片支撑单元200清洁基片的处理的视图。
参照图9和10,该基片支撑单元200包括:真空板212′;旋转轴220′;旋转驱动单元230′;支撑板251′;和驱动部件250’。在图9所示的抛光处理期间,该真空片212′支撑基片W;在图10所示的后清洁处理期间,该支撑片251′支撑基片W。该驱动部件250’向下运动支撑板251′,使得:在抛光处理期间,该真空板212′能够支撑W;该驱动部件向上运动支撑板251′,使得:在后清洁处理期间,该支撑片251′能够支撑基片W。
该真空板212′具有圆盘形状。后喷嘴组件被设置在支撑板212′的中央部处,并且在真空板212′的顶部表面形成槽244′,用于真空吸附基片W。该后喷嘴组件330的排放部件335用于从槽244′与基片W的底部表面之间的空间抽取空气以形成负压,用于基片W的真空附加。孔213′形成穿过真空板212′,用于容纳支撑板251′的支撑销214′(后面描述)。该旋转轴220′被连接到真空板212′的底部侧;该旋转驱动单元230′被连接到旋转轴220′的底部侧以旋转旋转轴220′。该旋转驱动单元230′可以是电动机。
该支撑板251′设置在真空板212′下方预定距离处。卡盘部件214′和216′被设置在支撑片251′的顶部表面处,用于夹紧基片W。该卡盘部件214′和216′包括支撑销214′和卡紧杆216′。支撑销214′采用预定方式布置在支撑板251′的顶部表面上,并插入穿透真空板212′的孔213′中。该卡紧杆216′可以是包括垂直部分216′a和水平部分216′b的L-型杆。卡紧杆216′被可旋转地铰接在支撑销214′外部。配重216′c被布置在与水平部分216′b相对的垂直部分216′a的侧面。由于配重216′c,卡紧杆216′的垂直部分216a趋向于旋转到其向外倾斜的最初位置。按压部件215′从真空板212′的底部表面突起,使得:当支撑板251′向上和向下运动时,卡紧杆216′的水平部分216′b能够由按压部件215′按压。当支撑板251′向上运动时,按压部件215′按压卡紧杆216′的水平部分216′b以向内旋转卡紧杆216′的垂直部分216′a,使得:基片W的边缘能够由卡紧杆216′的垂直部分216′b支撑。此时,支撑销214′支撑基片W的底部表面。
引导部件255′,如引导线性运动(LM),被设置在连接至真空板212′的旋转轴220′处。该引导部件255′被连接到支撑板251′以引导支撑板251′的垂直运动。弹性部件256′被设置在真空板212′与支撑板251′之间,以将排斥力应用于真空板212′和支撑板251′。
该驱动部件包括在支撑板251′处设置的上部磁铁252′和面对上部磁铁252′的下部磁铁253′。该上部磁铁252′和下部磁铁253′采用相同磁极彼此面对的方式定向,以在上部和下部磁铁252′和253′之间形成磁性排斥力。该下部磁铁253′由环形下部磁铁部件254′支撑,该下部磁铁部件254′由线性驱动单元257′沿向上和向下方向线性运动。
如图9所示,当线性驱动单元257′向下运动下部磁铁部件254′时,该支撑板251′由设置在真空板212′和支撑板251′之间的弹性部件256′施加的弹性排斥力向下运动。在这种状态中,基片W被装载在真空板212′上,并且利用后喷嘴组件330的排放部件335,在基片W的底部侧处形成负压,使得基片W能够被真空吸附。此后,抛光头420(后面详细描述)向基片W的顶部侧运动,并使用在抛光头420上安装的抛光垫423执行抛光处理。
在抛光处理后,在基片W的顶部和底部表面上执行后清洁处理。为此,如图10所示,线性驱动单元257′使下部磁铁部件254′向上运动。然后,利用作用于上部和下部磁铁252′和253′之间的磁铁排斥力,支撑板251′向上运动。随着支撑板251′向上运动时,按压部件215′按压卡紧杆216′的水平部分216′b以向内旋转卡紧杆216′的垂直部分216′a,使得:基片W的边缘能够由卡紧杆216′的垂直部分216′a支撑。此时,支撑销214′支撑基片W的底部表面。在这种状态中,清洁单元310将清洁液体供应到基片W的顶部表面,并且清洁单元320将超声波应用于供应到基片W的顶部表面的清洁液体,以清洁基片W的顶部表面。此时,该后喷嘴组件330的清洁液体供应部件332将清洁液体供应到基片W的底部表面,以清洁基片W的底部表面。
接下来,将对配置的以抛光由上述基片支撑单元固定的基片的抛光单元400进行描述。
图11为图1中所示的抛光单元400的透视图;图12为图11中所示抛光单元400的侧断面视图;图13为图12中所示的抛光单元400的抛光头420的放大视图。
在抛光处理过程中使用的抛光单元400,用于采用化学和机械的方法使基片的表面平面化。参照图11到13,该抛光单元400包括:抛光头420;第一到第三驱动部件440、460和480,用于根据操作模式驱动抛光头420。抛光头420装配有抛光垫423,用于抛光基片。在抛光处理期间,第一驱动部件440在抛光头420的中央旋转抛光头420。该第二驱动部件460在水平平面上运动抛光头420,以摆动抛光头420。该第三驱动部件480向上和向下运动抛光头420。
该抛光头420包括具有底部侧开口的圆柱外壳421。板状抛光垫固定器422被设置在外壳421的底部侧开口处,该抛光垫423被连接到抛光垫固定器422的底部表面。该抛光垫423可附于金属板424的侧面,并且磁铁部件422a可被装配在抛光垫固定器422中,以将磁力应用于金属板424,用于将金属板424的另一侧面可分开地附于抛光垫固定器422。
风箱425被设置在抛光垫固定器422的顶部表面上。风箱425能够由从气动部件426供应的空气压力垂直延伸。在抛光过程期间,风箱425能够延伸以使抛光垫423与基片W紧密接触。如果在抛光垫423与基片W的表面紧密接触的状态下执行抛光处理,能够更均匀和有效地抛光基片W的表面。
气动部件426被连接到风箱425的上部,并可包括中空轴型部件。气动部件426的纵向轴可垂直对准。气动部件426由轴承427a和427b支撑且可旋转。空气供应管线(未显示)被连接到气动部件426,用以将空气供应到气动部件426。阀(未显示)可被安装在空气供应管线以关闭和开启空气供应管线,流速表(未显示)可被安装在空气供应管线,用以控制经空气供应管线供应的空气流速。这种设备的结构对于相关技术领域中的普通技术人员已知,从而将忽略其详细描述。
在抛光处理期间,在抛光头423的中央,第一驱动部件440旋转抛光垫423。该第一驱动部件440包括提供旋转力的第一驱动电机441,和配置的以将来自第一驱动电机441的旋转力传送到抛光垫423的第一皮带轮组件443。该第一皮带轮组件443可包括:第一驱动轮443-1、第一从动轮443-2和第一皮带443-3。该第一驱动轮443-1被设置在第一驱动电机441的旋转轴411a处。该第一从动轮443-2被设置在中空轴型气动部件426的外侧处。该第一皮带443-3围绕第一驱动轮443-1和第一从动轮443-2。设置有第一驱动轮443-1的第一驱动电机441可被设置在第二驱动部件460的摆动臂461(以后描述)的端部;沿摆动臂461的纵向方向,经摆动臂461的内部,该第一皮带443-3可围绕第一驱动轮443-1和第一从动轮443-2。
经第一皮带轮组件443,第一驱动电机441的旋转力被传送到气动部件426以旋转气压部件426,并随着气动部件426的旋转,在气动部件426下方顺序装配的风箱425、抛光垫固定器422和抛光垫423旋转。此时,第一驱动部件440的第一驱动电机441可顺时针或逆时针旋转。更确切地说,如图14A和14B中所示,抛光垫423可以顺时针或逆时针旋转。由于抛光垫423的旋转方向能够在顺时针和逆时针之间改变,在沿与基片W的旋转方向相同的方向或与基片W的旋转方向相反方向旋转抛光垫423的同时,基片W能够被抛光。
该第二驱动部件460用于在水平平面上运动抛光头420,以在基片W上摆动抛光头420。该第二驱动部件460包括:摆臂461;垂直臂462;第二驱动电机463和第二皮带轮组件464。摆臂461的一端被水平联接到抛光头420的外壳421的侧面,该垂直臂462从摆臂461的底部侧垂直连接到摆臂461的另一端部。经第二皮带轮组件464,该第二驱动电机463将旋转力提供给垂直臂462。该第二皮带轮组件464可包括:第二驱动轮464-1;第二从动轮464-2和第二皮带464-3。该第二驱动轮464-1被设置在第二驱动电机463的旋转轴处。该第二从动轮464-2被设置在垂直臂462的外侧处。该第二皮带464-3缠绕第二驱动轮464-1和第二从动轮464-2。
经第二皮带轮组件464,该第二驱动电机463的旋转力被传送到垂直臂462以在垂直臂462的中央部旋转垂直臂462,并随着垂直臂462旋转,摆臂461围绕垂直臂462摆动。因此,装配有抛光垫423的抛光头420沿圆形弯曲通路运动。
该第三驱动部件480用于向上和向下运动抛光头420。该第三驱动部件480包括:支撑块482;引导部件484和线性驱动单元486。该支撑块482支撑垂直臂462,该垂直臂462由轴承482a和482b支撑且可旋转。该线性驱动单元486提供驱动动力,用于向下和向下线性运动支撑块482。诸如圆柱部件的线性驱动部件或线性电机可用作线性驱动单元486。该引导部件484引导支撑块482的线性运动。
该线性驱动单元486的线性驱动力被传送到支撑块482,以沿向上和向下方向运动支撑块482及由支撑块482支撑的垂直臂462。随着垂直臂462向上和向下运动,装配有抛光垫423的抛光头420向上和向下运动。
在使用抛光垫423反复执行抛光处理的情况中,抛光垫423的表面应被周期地抛光以调节抛光垫423的表面粗糙度。为此,如图1所示,该垫调节单元500被设置在处理腔10中接近抛光单元400的位置处。
图15是说明图1中所示的垫调节单元500的透视图;图16是说明图15中所示的垫调节单元500的侧断面视图。图17和18是用于说明垫调节单元500的操作状态的视图。
参照图15到18,该垫调节单元500包括处理槽510,该处理槽510为桶状,其顶部敞开,用于容纳装配有抛光垫423的抛光头420端部。该处理槽510包括底部壁512和从底部壁512的边缘向上延伸的侧壁514;和设置在底部壁512的底部侧处的支撑框架516。该处理槽510的底部壁512可包括:具有第一高度的第一底部壁512a;和具有比第一高度更低的第二高度的第二底部壁512b。
金钢石调节装置520被设置在处理槽510的第一底部壁512a处。该金钢石调节装置520与抛光垫423接触,用于抛光抛光垫423的表面。该金钢石调节装置520可为环行或圆形。该金钢石调节装置520具有的尺寸可对应于第一底部壁512a的尺寸。可选地,可以提供多个金钢石调节装置520,每一个都小于第一底部壁512a。
第一和第二除去离子水供应部件530和540被设置在处理槽510中,以将去离子水供应到处理槽510的第一底部壁512a,用于去除在抛光垫423正被抛光时产生的颗粒。经第一底部壁512a,该第一去离子水供应部件530被连接到第一底部壁512a以将去离子水供应到处理槽510的内部;第二去离子水供应部件540被设置在处理槽510的侧面处,以从第一底部壁512a的上侧将去离子水供应到第一底部壁512a。从第一和第二去离子水供应部件530和540供应的去离子水沿第一底部壁512a流动时去除颗粒,然后,携带有颗粒的去离子水流到比第一底部壁512a更低的第二底部壁512b。经连接到第二底部壁512b的排水部件550,该携带有颗粒的去离子水被从第二底部壁512b排放。
如图17所示,该抛光垫423在抛光头420的端部置于处理槽510中的状态下被抛光。此时,第三驱动部件480(参照图11)向上和向下运动置于处理槽510中的抛光头420,以使抛光垫423接触金钢石调节装置520。在这种状态中,如图18所示,该第一驱动部件440(参照图11)旋转抛光垫423,第二驱动部件460(参照图11)在水平平面上运动抛光垫423,用于在金钢石调节装置520上扫描(运动)抛光垫423。此时,第一和第二去离子水供应部件530和540将去离子水供应给处理槽510以去除抛光垫423被抛光时产生的颗粒,然后去离子水经排水部件550排放到外部。
根据本发明,在基片由单一类型基片支撑单元支撑的状态下,可以顺序执行用于抛光基片的顶部表面的处理和用于清洁基片的顶部和底部表面的后处理。
上述公开的内容目标应被看作是示例性的,而不是限制性的,并且所有在本发明的实质精神和范围内的修改、改进和其它实施例落入所附权利要求所保护的范围中。因此,在法律允许的最大范围,本发明的保护范围将由下述权利要求及其等价物的允许的最宽泛的说明确定,并不由前述的具体实施方式约束或限制。

Claims (29)

1.一种基片支撑单元,包括:
真空板,基片利用真空吸力吸附到该真空板上;
支撑板,设置在真空板下方的预定距离处,并且该支撑板上设置有卡紧部件以夹紧基片;和
驱动部件,其被配置以向上和向下运动真空板或支撑板,以使卡紧部件在基片位于支撑板的上部空间中时支撑基片。
2.根据权利要求1所述的基片支撑单元,其中:所述驱动部件包括:
在支撑板下方垂直布置并彼此面对的上部和下部磁铁部件,所述上部和下部磁铁部件置为相同的磁极彼此面对,以使磁性排斥力作用于上部和下部磁铁部件之间;
连接部件,其具有连接到真空板的端部和连接到上部磁铁部件的其它端部;和
线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
3.根据权利要求2所述的基片支撑单元,其中:所述上部和下部磁铁部件都为环形。
4.根据权利要求2所述的基片支撑单元,其中:所述连接部件具有杆形状,并插入至形成在支撑板的孔中。
5.根据权利要求2所述的基片支撑单元,还包括:弹性部件,其被配置以在支撑板和上部磁铁部件之间施加弹性排斥力。
6.根据权利要求2所述的基片支撑单元,还包括:感应部件,其被配置以探测下部磁铁部件是否垂直地运动到预设高度。
7.根据权利要求2所述的基片支撑单元,其中:所述卡紧部件包括:
支撑销,其被配置以支撑基片的底部表面;和
卡紧销,其被配置以支撑基片的边缘;
其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销和卡紧销。
8.根据权利要求2所述的基片支撑单元,其中:分离防止爪从真空板的边缘部突起,以防止利用真空吸力吸附于真空板的基片的分离。
9.根据权利要求2所述的基片支撑单元,其中:由弹性材料形成的缓冲部件设置在真空板的顶部表面上,以防止利用真空吸力吸附于真空板的基片由于基片与真空板之间存在异物而变形。
10.根据权利要求1所述的基片支撑单元,其中:所述驱动部件包括:
设置在支撑板上的上部磁铁部件;
下部磁铁部件,其设置在所述上部磁铁部件下方并面对所述上部磁铁部件,设置所述下部磁铁部件的磁极,以使磁性排斥力作用在所述上部和下部磁铁部件之间;和
线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
11.根据权利要求10所述的基片支撑单元,其中:所述卡紧部件包括:
支撑销,其被配置以支撑基片的底部表面;和
L型卡紧杆,设置在所述支撑销的外侧且可旋转,以支撑基片的边缘;
其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销。
12.根据权利要求11所述的基片支撑单元,其中:按压部件从真空板的底部表面突起,且
当支撑板向上运动时,按压部件按压L型卡紧杆的水平部分以旋转卡紧杆,以使所述可旋转的卡紧杆的垂直部分支撑基片的边缘。
13.根据权利要求10所述的基片支撑单元,还包括:引导部件,其设置在连接到真空板的底部表面的旋转轴处,用于引导支撑板的向上和向下运动。
14.根据权利要求10所述的基片支撑单元,还包括:弹性部件,其被配置以在真空板与支撑板之间施加弹性排斥力。
15.一种单一类型基片抛光装置,包括:
处理腔;
基片支撑单元,其设置在所述处理腔中,用于支撑基片;
抛光单元,其被配置以抛光基片;和
清洁单元,其被配置以清洁已抛光的基片,
其中:所述基片支撑单元包括:
真空板,基片利用真空吸力吸附到该真空板上;
支撑板,设置在真空板下方的预定距离处,并且该支撑板上设置有卡紧部件以夹紧基片;和
驱动部件,其被配置以向上和向下运动真空板或支撑板,以使卡紧部件在基片位于支撑板的上部空间中时支撑基片。
16.根据权利要求15所述的单一类型基片抛光装置,其中:所述驱动部件包括:
在支撑板下方垂直布置并彼此面对的上部和下部磁铁部件,所述上部和下部磁铁部件置为相反的磁极彼此面对,以使磁性排斥力作用于上部和下部磁铁部件之间;
连接部件,其具有连接到真空板的端部和连接到上部磁铁部件的其它端部;和
线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
17.根据权利要求16所述的单一类型基片抛光装置,其中:所述卡紧部件包括:
支撑销,其被配置以支撑基片的底部表面;和
卡紧销,其被配置以支撑基片的边缘;
其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销和卡紧销。
18.根据权利要求16所述的单一类型基片抛光装置,其中:所述连接部件具有杆形状,并插入至形成在支撑板的孔中,和
螺旋状弹性部件,置于围绕着支撑板与上部磁铁部件之间的杆形状连接部件的位置。
19.根据权利要求16所述的单一类型基片抛光装置,还包括:感应部件,其被配置以探测下部磁铁部件是否垂直地运动到预设高度。
20.根据权利要求16所述的单一类型基片抛光装置,其中:分离防止爪从真空板的边缘部突起,以防止利用真空吸力吸附于真空板的基片的分离,和
由弹性材料形成的缓冲部件设置在真空板的顶部表面上,以防止利用真空吸力吸附于真空板的基片由于基片与真空板之间存在异物而变形。
21.根据权利要求16所述的单一类型基片抛光装置,其中:所述清洁单元包括:
第一清洁单元,设置在基片支撑单元的侧面,用于清洁基片的顶部表面;和
第二清洁单元,设置在支撑板的顶部中央部处,用于将清洁液体供应到基片的底部表面,
其中:在真空板的中央部形成开口,以使清洁液体从所述第二清洁单元供应到基片的底部表面。
22.根据权利要求15所述的单一类型基片抛光装置,其中:所述驱动部件包括:
设置在支撑板上的上部磁铁部件;
下部磁铁部件,其设置在所述上部磁铁部件下方并面对所述上部磁铁部件,设置所述下部磁铁部件的磁极,以使磁性排斥力作用在所述上部和下部磁铁部件之间;和
线性驱动单元,其被配置以向上和向下运动下部磁铁部件。
23.根据权利要求22所述的单一类型基片抛光装置,其中:所述卡紧部件包括:
支撑销,其被配置以支撑基片的底部表面;和
L型卡紧杆,设置在所述支撑销的外侧且可旋转,以支撑基片的边缘;
其中:真空板中形成有孔,用于当支撑板向上和向下运动时,容纳支撑销。
24.根据权利要求23所述的单一类型基片抛光装置,其中:按压部件从真空板的底部表面突起,和
当支撑板向上运动时,按压部件按压L型卡紧杆的水平部分以旋转卡紧杆,以使所述可旋转的卡紧杆的垂直部分支撑基片的边缘。
25.根据权利要求22所述的单一类型基片抛光装置,其中还包括:引导部件,其设置在支撑真空板的旋转轴处,用于引导支撑板的向上和向下运动。
26.根据权利要求22所述的单一类型基片抛光装置,还包括:弹性部件,其被配置以在真空板与支撑板之间施加弹性排斥力。
27.根据权利要求22所述的单一类型基片抛光装置,其中:所述清洁单元包括:
第一清洁单元,设置在基片支撑单元的侧面,用于清洁基片的顶部表面;和
第二清洁单元,设置在真空板处,用于将清洁液体供应到基片的底部表面。
28.一种使用权利要求15的装置基片抛光方法,所述方法包括:
在利用真空吸力将基片吸附于真空板后,抛光基片的顶部表面;
在基片位于真空板的上部空间中时,向上和向下运动真空板或支撑板,以利用支撑板的卡紧部件支撑基片;和,
清洁由支撑板的卡紧部件支撑的抛光基片的底部表面。
29.根据权利要求28所述的方法,其中:所述已抛光基片的顶部和底部表面被同时清洁。
CN2008101765518A 2008-06-30 2008-11-19 基片支撑单元、单一类型基片抛光装置和基片抛光方法 Active CN101618520B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2008-0062444 2008-06-30
KR1020080062444 2008-06-30
KR1020080062444A KR101036605B1 (ko) 2008-06-30 2008-06-30 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치

Publications (2)

Publication Number Publication Date
CN101618520A true CN101618520A (zh) 2010-01-06
CN101618520B CN101618520B (zh) 2012-06-27

Family

ID=41448017

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101765518A Active CN101618520B (zh) 2008-06-30 2008-11-19 基片支撑单元、单一类型基片抛光装置和基片抛光方法

Country Status (5)

Country Link
US (1) US8113918B2 (zh)
JP (1) JP5004059B2 (zh)
KR (1) KR101036605B1 (zh)
CN (1) CN101618520B (zh)
TW (1) TWI404166B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105313008A (zh) * 2014-07-01 2016-02-10 株式会社迪思科 板状工件的搬出方法
CN106933045A (zh) * 2015-12-30 2017-07-07 上海微电子装备有限公司 一种辅助支撑装置及带有辅助支撑的光刻机设备
CN108481185A (zh) * 2018-06-14 2018-09-04 东莞金研精密研磨机械制造有限公司 一种双面研磨机
CN113001394A (zh) * 2019-12-20 2021-06-22 株式会社荏原制作所 基板处理装置、基板处理方法及基板研磨方法
CN113211299A (zh) * 2020-02-05 2021-08-06 株式会社荏原制作所 基板处理装置及基板处理方法
CN117161947A (zh) * 2022-10-13 2023-12-05 北京特思迪半导体设备有限公司 用于晶圆批量抛光设备、下压力控制方法及设备

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4783404B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 ワーク装着装置
JP4783405B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 傾斜角調整装置及びワーク装着装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101004434B1 (ko) 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
KR101004435B1 (ko) * 2008-11-28 2010-12-28 세메스 주식회사 기판 연마 장치 및 이를 이용한 기판 연마 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101170760B1 (ko) * 2009-07-24 2012-08-03 세메스 주식회사 기판 연마 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101610832B1 (ko) * 2010-03-03 2016-04-08 삼성전자주식회사 화학적 기계적 연마 설비
CN102528643A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 化学机械研磨设备及其研磨单元
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9385020B2 (en) * 2011-12-19 2016-07-05 SCREEN Holdings Co., Ltd. Substrate holding and rotating device, substrate treatment apparatus including the device, and substrate treatment method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101381635B1 (ko) * 2012-09-21 2014-04-04 청진테크 주식회사 세정 장치
KR101387568B1 (ko) * 2012-10-10 2014-04-22 (주)에스티아이 태양전지 제조공정용 로드 카세트
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103252713B (zh) * 2013-05-08 2015-12-02 浙江工业大学 一种磁加载晶片研磨方法及装置
JP6190679B2 (ja) * 2013-09-24 2017-08-30 株式会社テックインテック 基板保持機構およびそれを用いた基板処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6400967B2 (ja) * 2014-07-18 2018-10-03 株式会社Screenホールディングス 基板処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9714462B2 (en) * 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
RU2607071C2 (ru) * 2015-03-23 2017-01-10 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки обрабатываемой детали на металлорежущем станке
US10593554B2 (en) 2015-04-14 2020-03-17 Jun Yang Method and apparatus for within-wafer profile localized tuning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6721967B2 (ja) * 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN105834900B (zh) * 2016-04-28 2019-03-26 浙江工业大学 一种抛光工件真空夹具自动调节装置
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
RU172335U1 (ru) * 2016-06-28 2017-07-04 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки обрабатываемой детали на металлорежущем станке
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
WO2018004455A1 (en) * 2016-06-30 2018-01-04 Aem Singapore Pte. Ltd. Automated picking apparatus with magnetic repulsion for picking an object or a set of objects and method thereof
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI645913B (zh) * 2016-11-10 2019-01-01 辛耘企業股份有限公司 液體製程裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770886B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置及び基板処理方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106826516B (zh) * 2017-01-18 2018-10-23 浙江金达莱装饰工程有限公司 一种抛光机构
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101951006B1 (ko) * 2017-06-05 2019-02-27 레셀 주식회사 기판 용기 장착 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11056358B2 (en) 2017-11-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cleaning apparatus and method
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102038508B1 (ko) * 2018-03-26 2019-10-30 주식회사 케이씨텍 기판 처리 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102099105B1 (ko) * 2018-07-18 2020-05-15 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
RU187773U1 (ru) * 2018-08-20 2019-03-19 Акционерное общество "Научно-исследовательское проектно-технологическое бюро "Онега" Устройство для установки деталей на металлорежущем станке
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102074222B1 (ko) * 2018-09-10 2020-02-06 (주)신우에이엔티 기판 처리 장치용 바울의 이물질 비산 방지 구조
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102154996B1 (ko) * 2019-09-18 2020-09-11 주식회사 휴비츠 샘플 고정 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113118966B (zh) * 2019-12-31 2022-08-16 清华大学 一种用于化学机械抛光的承载头及其使用方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230053957A (ko) * 2021-10-15 2023-04-24 세메스 주식회사 기판 승강 장치 및 기판 처리 장치
CN115662937B (zh) * 2022-12-29 2023-03-17 四川晶辉半导体有限公司 一种芯片安装设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6138863A (ja) 1984-07-30 1986-02-24 Toshiba Corp 研磨装置
JP3211468B2 (ja) * 1993-04-01 2001-09-25 松下電器産業株式会社 現像装置及び現像方法
JP2807778B2 (ja) * 1995-01-27 1998-10-08 セイコー精機株式会社 真空内上下受渡し装置
JPH10193260A (ja) * 1996-12-27 1998-07-28 Shin Etsu Handotai Co Ltd ウエーハ保持治具
JPH10303110A (ja) * 1997-04-30 1998-11-13 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP3265238B2 (ja) 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US5964646A (en) * 1997-11-17 1999-10-12 Strasbaugh Grinding process and apparatus for planarizing sawed wafers
JP3394181B2 (ja) * 1998-03-30 2003-04-07 日立ソフトウエアエンジニアリング株式会社 試料添加方法及び試料添加装置
JP2000294622A (ja) 1999-04-02 2000-10-20 Speedfam-Ipec Co Ltd ウエーハ保持用チャック
US6558232B1 (en) * 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6750155B2 (en) * 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP4448297B2 (ja) * 2002-12-27 2010-04-07 株式会社荏原製作所 基板研磨装置及び基板研磨方法
US20040206304A1 (en) * 2003-04-15 2004-10-21 Menear John Edgar Pressurized chuck for controlling backside wafer contamination
JP4090416B2 (ja) * 2003-09-30 2008-05-28 日東電工株式会社 粘着テープ付ワークの離脱方法及び離脱装置
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
KR100667598B1 (ko) * 2005-02-25 2007-01-12 주식회사 아이피에스 반도체 처리 장치
KR20070009781A (ko) * 2005-07-14 2007-01-19 삼성전자주식회사 웨이퍼 척
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
KR100809594B1 (ko) * 2006-09-12 2008-03-04 세메스 주식회사 척킹부재 및 이를 포함하는 스핀헤드
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
KR100865941B1 (ko) * 2006-11-28 2008-10-30 세메스 주식회사 스핀헤드 및 상기 스핀헤드의 기판 척킹/언척킹방법,그리고 상기 스핀헤드를 구비하는 기판 처리 장치
KR101004434B1 (ko) * 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105313008A (zh) * 2014-07-01 2016-02-10 株式会社迪思科 板状工件的搬出方法
CN105313008B (zh) * 2014-07-01 2019-04-19 株式会社迪思科 板状工件的搬出方法
CN106933045A (zh) * 2015-12-30 2017-07-07 上海微电子装备有限公司 一种辅助支撑装置及带有辅助支撑的光刻机设备
CN106933045B (zh) * 2015-12-30 2019-06-25 上海微电子装备(集团)股份有限公司 一种辅助支撑装置及带有辅助支撑的光刻机设备
CN108481185A (zh) * 2018-06-14 2018-09-04 东莞金研精密研磨机械制造有限公司 一种双面研磨机
CN113001394A (zh) * 2019-12-20 2021-06-22 株式会社荏原制作所 基板处理装置、基板处理方法及基板研磨方法
CN113001394B (zh) * 2019-12-20 2024-07-12 株式会社荏原制作所 基板处理装置
CN113211299A (zh) * 2020-02-05 2021-08-06 株式会社荏原制作所 基板处理装置及基板处理方法
CN113211299B (zh) * 2020-02-05 2024-04-16 株式会社荏原制作所 基板处理装置及基板处理方法
CN117161947A (zh) * 2022-10-13 2023-12-05 北京特思迪半导体设备有限公司 用于晶圆批量抛光设备、下压力控制方法及设备
CN117161947B (zh) * 2022-10-13 2024-02-06 北京特思迪半导体设备有限公司 用于晶圆批量抛光设备、下压力控制方法及设备

Also Published As

Publication number Publication date
US8113918B2 (en) 2012-02-14
JP2010012591A (ja) 2010-01-21
KR20100002523A (ko) 2010-01-07
CN101618520B (zh) 2012-06-27
KR101036605B1 (ko) 2011-05-24
TW201001609A (en) 2010-01-01
JP5004059B2 (ja) 2012-08-22
US20090325469A1 (en) 2009-12-31
TWI404166B (zh) 2013-08-01

Similar Documents

Publication Publication Date Title
CN101618520B (zh) 基片支撑单元、单一类型基片抛光装置和基片抛光方法
KR101004434B1 (ko) 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
JP5279463B2 (ja) 枚葉式基板処理装置及び方法
US10493588B2 (en) Polishing apparatus and polishing method
US9711381B2 (en) Methods and apparatus for post-chemical mechanical planarization substrate cleaning
CN101990703B (zh) 高产量化学机械抛光系统
EP2131387A2 (en) Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US7210991B1 (en) Detachable retaining ring
US20130185884A1 (en) Cleaning module and process for particle reduction
US20170040160A1 (en) Design of disk/pad clean with wafer and wafer edge/bevel clean module for chemical mechanical polishing
KR19980064835A (ko) 화학 기계 연마 장치에서 연마 패드를 자동으로 교체하는 방법및 장치
US20130196572A1 (en) Conditioning a pad in a cleaning module
US20080003931A1 (en) System and method for in-situ head rinse
TWI723144B (zh) 局部區域研磨系統及用於研磨系統的研磨墊組件
KR101226951B1 (ko) 기판 지지 유닛
KR100957227B1 (ko) 매엽식 기판 처리 장치
KR20070033798A (ko) 웨이퍼 이송 로봇의 암 블레이드
KR20100019545A (ko) 매엽식 기판 처리 장치 및 방법
KR20100002511A (ko) 기판 연마 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant