KR20080038042A - 워크 유지기구 - Google Patents

워크 유지기구 Download PDF

Info

Publication number
KR20080038042A
KR20080038042A KR1020070107908A KR20070107908A KR20080038042A KR 20080038042 A KR20080038042 A KR 20080038042A KR 1020070107908 A KR1020070107908 A KR 1020070107908A KR 20070107908 A KR20070107908 A KR 20070107908A KR 20080038042 A KR20080038042 A KR 20080038042A
Authority
KR
South Korea
Prior art keywords
base member
work
workpiece
contact
wafer
Prior art date
Application number
KR1020070107908A
Other languages
English (en)
Other versions
KR101404175B1 (ko
Inventor
토시카즈 쿠시다
요헤이 오카
Original Assignee
가부시키가이샤 다이헨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 다이헨 filed Critical 가부시키가이샤 다이헨
Publication of KR20080038042A publication Critical patent/KR20080038042A/ko
Application granted granted Critical
Publication of KR101404175B1 publication Critical patent/KR101404175B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/907Sensor controlled device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

평판형 워크를 수평으로 유지하기 위한 워크 유지기구는 워크 반송장치에 결합된다. 이 유지기구는 워크를 지지하기 위한 베이스 부재와, 이 베이스 부재에 구비된 다수의 가이드 부재를 포함한다. 각 가이드 부재는 축, 접촉부 및 제한부를 구비한다. 상기 축은 상기 베이스 부재에 의해 회동가능하게 지지된다. 상기 워크가 상기 베이스 부재 상에 놓이면, 지렛대로서 축을 중심으로 해서 상기 접촉부는 워크 테두리의 하부면 부분과 접촉하여 아래쪽으로 밀려 내려간다. 상기 제한부는 지렛대로서 축을 중심으로 상승하여, 접촉부가 하강하면 워크 테두리의 측면 부분과 대향하게 된다.
Figure P1020070107908
워크, 유지기구, 가이드 부재, 베이스 부재, 접촉부, 제한부

Description

워크 유지기구{WORK HOLDING MECHANISM}
본 발명은 워크를 수평으로 유지하면서 웨이퍼와 같은 워크를 반송하도록 구성된 소재 취급/반송장치용으로 적합한 워크 유지기구에 관한 것이다.
예를 들면, 종래의 워크 유지기구가 일본국 공개특허 제2006-150538호 공보에 개시되어 있다. 이 공보에 기술된 워크 유지기구는 베이스 부재(반송 핸드)의 선단에 구비된 한쌍의 선단 폴(pawl)과, 베이스 부재 상에 배치되어 웨이퍼를 밀어내기 위한 클램프 폴을 포함한다. 클램프 폴은 공기 실린더, 코일 스프링 등과 같은 작동기구에 의해서 소정의 방향으로 회동할 수 있다. 그러한 유지기구에 따르면, 베이스 부재 상의 웨이퍼는 클램프 폴에 의해서 베이스 부재의 선단쪽으로 밀림으로써, 웨이퍼를 베이스 부재 상에 위치결정 및 유지하여, 클램프 폴과 선단 폴 사이에서 웨이퍼가 반송되는 동안에 웨이퍼가 옆으로 이동되는 것을 방지할 수 있다.
그러나, 종래의 워크 유지기구는 클램프 폴을 이동시키기 위해 공기 실린더를 사용하므로, 진공 환경 하에서는 소재 취급/반송장치를 사용할 수 없는 문제가 있다.
또한, 클램프 폴을 이동시키기 위한 액츄에이터는 다수의 상이한 부품들을 사용하므로, 복잡한 기구적인 구조를 가져오고, 전체 워크 유지기구로서 중량 감소 및 단순화에 어려움을 가져온다.
본 발명은 상술한 배경 하에 제안되었다. 따라서, 본 발명의 목적은 가볍고 간단하지만 진공에서 작동할 수 있고, 반송 동안에 워크가 임의의 다른 위치로 이탈하는 것을 막아 반송속도의 증가에 도움을 줄 수 있는 워크 유지기구를 제공하는 데 있다.
본 발명에 의해 제공되는 워크 유지기구는 워크 반송장치에 결합되며 평판형 워크를 수평으로 유지하는데 사용된다. 이 기구는 워크를 지지하기 위한 베이스 부재와, 이 베이스 부재에 구비된 다수의 가이드 부재를 포함한다. 각 가이드 부재는 축과, 접촉부 및 제한부를 구비한다. 축은 베이스 부재에 의해 회동 가능하게 지지된다. 접촉부는 워크가 베이스 부재 상에 놓이면, 워크 테두리의 하부면 부분과 접촉하면서 축을 중심으로 아래쪽으로 밀려 내려간다. 제한부는 접촉부가 하강하면 축을 중심으로 상승하여 워크 테두리의 측면 부분과 대향하게 된다.
상기 배열에 따라, 워크가 베이스 부재 상에 놓이면, 워크의 테두리 부분, 즉 워크의 하부면 부분은 가이드 부재의 접촉부와 접촉하게 된다. 각 가이드 부재의 접촉부는 워크의 중량을 수용하며, 가이드 부재가 축을 중심으로 회전 또는 회동하도록 한다. 이것은 워크의 측방향 이동을 막는 위치까지 각 가이드 부재의 제한부를 자동으로 이송시킴으로써, 워크의 정확한 위치결정을 달성하게 된다. 본 발명에 의해 제공된 워크 유지기구에 따르면, 가이드 부재는 워크의 중량에 의해 야기된 간단한 운동을 행하고, 진공에서도 적용할 수 있도록 구성된다. 그러한 가이드 부재는 중량이 매우 작고 구조가 간단하다. 본 발명에 따르는 워크 유지기구는 반송되는 워크의 측방향 이동을 확실하게 방지하며, 이는 반송 속도의 향상에 도움을 준다.
바람직하게는, 베이스 부재는 안착면 및 워크의 테두리와 대응하는 직립벽을 포함하는 단차부를 구비할 수 있으며, 가이드 부재의 접촉부와 제한부는 단차부의 일부를 구성한다.
상술한 배열에 의해서, 워크는 가이드 부재의 접촉부 및 제한부뿐만 아니라, 베이스 부재의 단차부에 의해서 원하는 위치에 확실하게 유지된다.
바람직하게는, 워크가 베이스 부재 상에 있지 않으면, 접촉부는 단착부의 안착면보다 높을 수 있다.
상술한 배열에 의해서, 각 가이드 부재의 베이스 부재는 배치될 워크와의 접촉이 확실하게 이루어지도록 한다.
바람직하게는, 워크가 베이스 부재 상에 있으면, 제한부는 단차부의 직립벽보다 워크 테두리의 측면 부분에 보다 가깝게 위치할 수 있다.
상술한 배열에 의해서, 베이스 부재의 단차부에 의한 상대적으로 대략적인 위치결정으로 인해서 워크가 초기에 정확한 위치에서 벗어나 있다 해도, 가이드 부재의 제한부에 의해서 워크는 원하는 장소에 정확하게 위치된다.
바람직하게는, 단차부에는 가이드 부재의 축을 지지하기 위한 홈이 형성될 수 있으며, 각각의 축은 제한부의 하강에 따라, 가이드 부재가 자연스런 상태로 틸 트되도록 하기 위해 가이드 부재 내의 편심된 위치에 제공된다.
상술한 배열에 의해서, 베이스 부재에 대한 가이드 부재의 부착은 각 가이드 부재의 축을 홈 안에 배치함으로써 쉽게 달성된다. 또한, 축의 편심 배열은 가이드 부재로 하여금 자연스런 상태에서 대략적으로 틸트된 위치에 있도록 된다.
바람직하게는, 베이스 부재와 하나 이상의 가이드 부재는, 접촉단자를 갖는 가이드 부재의 자세에 따라서 워크의 전기적인 검출을 위해 협동하는 접촉단자를 구비할 수 있다.
상술한 배열에 의해서, 워크가 베이스 부재 상에 있는지의 여부에 대한 검출이 쉽게 실행된다.
본 발명의 다른 특성 및 이점은 이후에 이루어지는 발명의 실시예에 대한 상세한 설명으로부터 명백해질 것이다.
이상, 본 발명에 의하면, 가이드 부재는 워크의 중량에 의해 야기된 간단한 운동을 행하고, 진공에서도 적용할 수 있으며, 반송되는 워크의 측방향 이동을 확실하게 방지함으로써, 반송 속도의 향상에 도움을 준다. 또한, 워크는 베이스 부재의 단차부 뿐만 아니라, 가이드 부재의 접촉부 및 제한부에 의해 원하는 위치에서 확실하게 유지되며, 베이스 부재의 단차부에 의한 상대적으로 대략적인 위치결정으로 인해서 워크가 초기에 정확한 위치에서 벗어나 있다 해도, 가이드 부재의 제한부에 의해서 워크는 원하는 장소에 정확하게 위치된다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 대해 설명한다.
도 1 내지 도 3은 본 발명에 따르는 워크 유지기구의 실시예를 나타낸다. 도시한 워크 유지기구(A)는 웨이퍼(W)와 같은 평판형 워크를 수평으로 유지하기 위한 기구로서, 도 1에 도시한 바와 같이, 베이스 부재(1)와 다수의 가이드 부재(2)를 포함한다. 웨이퍼(W)는 도 1에 도시되어 있지는 않으나, 그의 테두리에 절결홈(위치결정 평면)이 형성된다. 웨이퍼는 가령, 300±0.2㎜의 직경, 775±25㎛의 두께 및 125g의 중량을 갖는다.
베이스 부재(1)는 예를 들어, 알루미늄, 세라믹 또는 엔지니어링 플라스틱으로 만들어진다. 베이스 부재(1)는 소재 취급/반송장치의 도시하지 않은 암 선단으로의 부착을 위한 베이스단(10), 및 웨이퍼(W)의 배치를 위한 웨이퍼 지지층(11)을 갖는다. 중량 감소를 위해서, 베이스층(11) 상의 베이스단(10)의 폭은 웨이퍼(W)의 직경보다 작다. 베이스층(11)도 그의 선단에는 중량 감소를 위해서 부분적으로 절결한 영역을 갖는다.
도 2와 도 3에 도시한 바와 같이, 베이스층(11)은 웨이퍼를 수평으로 유지하고 웨이퍼(W)를 대략적으로 위치결정하기 위한 단차부(12)와, 핀의 통로가 웨이퍼(W)의 하부면과 접촉하도록 허용하기 위한 개구(13)(도 3에는 도시 생략)를 갖는다. 접촉상태에서, 핀은 개구(13)를 통해 상하로 이동할 수 있다. 단차부(12)는 적소에서 웨이퍼(W)의 테두리와 일치한다. 각각의 단차부(12)는 테두리의 하부면에 알맞는 안착면(12A)과, 테두리의 측면에 대향인 직립벽(12B)을 갖는다. 직립벽(12B)은 웨이퍼(W)가 베이스 부재(1)의 소정 위치에 정확하게 배치될 때, 웨이 퍼(W)의 측면과 적절한 갭을 제공하도록 형성된다. 이 갭은 예를 들어, 약 0.5㎜이다. 단차부(12)는 필요한 수(가령, 본 실시예에서는 4개)의 수용부(12C)와 홈(12D)을 갖는다. 각각의 가이드 부재(2)는 수용부(12C) 중 하나와 대응하여 수용되며, 이 수용부 내에서 회동할 수 있다.
가이드 부재(2)는 예를 들어, 세라믹이나 엔지니어링 플라스틱으로 만들어진 고내열성의 소형 부품이다. 도 2에 도시한 바와 같이, 2개의 가이드 부재가 웨이퍼(W)(도 2에는 도시 생략)의 직경 라인 상에 놓이도록 이들 가이드 부재(2)는 쌍으로 제공된다. 한쌍의 가이드 부재(2) 및 다른 쌍의 가이드 부재(2)는 수평면 상에서 서로에 대해 선대칭을 이룬다.
도 2와 도 3에 도시한 바와 같이, 가이드 부재(2)는 홈(12D) 내에 회동 가능하게 배치되는 축(20)과, 웨이퍼(W) 테두리의 하부면 부분과 접촉하는 접촉부(21)와, 웨이퍼(W)의 측면 부분과 대향하는 제한부(22)를 구비한다. 축(20)은 약 1㎜의 직경을 갖는다. 접촉부(21)와 제한부(22)를 포함한 전체 길이는 약 5㎜이며, 이중에서 제한부(22)는 약 2㎜. 제한부(22)를 포함한 전체 길이는 약 3㎜이다.
가이드 부재(2)의 접촉부(21)와 제한부(22)는 안착면(12A)과 직립벽(12B) 부분을 각각 구성한다. 가이드 부재(2)의 하부면의 끝단은 접촉단자(30)를 구비하며, 이 단자(30)와 대응하는 곳에서, 베이스 부재(1)의 수용부(12C)는 접촉단자(40)를 구비한다. 가이드 부재(2)의 자세에 따라서 2개의 접촉단자(30, 40)는 서로 접촉되거나 접촉되지 않게 된다(도 3A 내지 3c 참조). 이들 접촉단자(30, 40)는 워이퍼(W)가 베이스 부재(1) 상에 존재하는지 아닌지를 전기적으로 검출하기 위 한 것으로, 예를 들어 제어회로를 구비하는 워크 검출부(50)에 접속된다. 워크 검출부(50)는 소재 취급/반송장치의 본체나 장비자체로부터 분리된 제어유닛에 결합될 수 있다. 접촉단자(30, 40)와 워크 검출부(50)는 하나 이상의 가이드 부재(2)에 대해 제공할 필요가 있다. 하나의 변형으로서, 접촉단자(30, 40)와 워크 검출부(50)는 가령, 우측 및 좌측이나 전방 및 후방에 하나씩, 2개의 가이드 부재(2)에 대해 제공할 수 있다. 모든 가이드 부재(2)는 각기 협동하는 2개의 접촉단자와, 이들 단자에 접속된 워크 검출부를 구비하는 별도의 검출 시스템을 구비할 수도 있다.
도 3A에 도시한 바와 같이, 축(20)이 단차부(12)내의 홈(12D)에 안착되는 동안, 축(20)은 접촉부(21)의 선단에 보다 가깝게 위치한다. 즉, 축은 가이드 부재(2)의 중심으로부터 편심되어 있다. 그러므로, 자연스런 상태에서, 중력에 의해서 제한부(22)가 하강함에 따라 가이드 부재(2)는 축(20)을 중심으로 틸트된다. 이러한 배열에 있어서, 어떤 웨이퍼(W)도 베이스 부재(1) 상에 놓이지 않으면, 가이드 부재(2)의 접촉부(21)의 선단은 안착면(12A)보다 높다. 반면에, 웨이퍼(W)가 베이스 부재(1)에 놓이면, 도 3B에 도시한 바와 같이, 접촉부(21)의 선단은 웨이퍼(W)의 테두리 하부면에 의해서 아래로 가압되어, 가이드 부재(2)가 축(20)을 중심으로 (도 3B에 도시한 바와 같이) 시계방향으로 회전하게 된다. 그 결과, 접촉부(21)의 선단과 대향하고 있는 제한부(22)는 웨이퍼(W)의 테두리 측면과 직접 접촉할 때까지 또는 그들 사이에 제공된 갭이 매우 좁아지면서 동일한 측면과 대향할 때까지 위로 상승한다(도 3C 참조). 이 갭은 예를 들어 약 0.1㎜일 수 있으며, 웨 이퍼(W)의 직경을 고려하면 ±0.2㎜의 치수 오차를 갖는다. 도 3C에 도시한 직립 상태에서, 제한부(22)는 베이스 부재(1)의 직립벽(12B) 보다 웨이퍼(W)의 테두리 측면에 보다 가깝게 위치한다. 그러한 배열에 의해서, 웨이퍼(W)는 직립벽(12B) 보다 제한부(22)에 의해서 보다 정확하게 위치될 수 있다.
도 4는 가이드 부재(2)의 다양한 치수를 나타내는 선도이다. 도면에 도시한 치수 H와 L은 축(20)에서 제한부(22)까지의 수직높이와 수평거리를 나타내는 한편, 각도 θ는 접촉부(21)가 틸트된 상태에서 수평 상태까지 움직인 각도를 나타낸다. 치수 x와 y는 제한부(22)의 선단이 이동한 수평거리와 수직거리를 나타낸다. 수평거리 x는 제한부(22)와 직립부(12B) 간의 위치관계를 고려해서 0.5㎜ 이상이 바람직한 한편, 수직거리 y는 웨이퍼(W)의 두께와 직립벽(12B)의 높이를 고려해서 1㎜ 이하가 바람직하다. 도면에 도시한 바와 같이, 제한부(22) 선단의 이동거리인 수평거리 x는: x=Lcosθ+Hsinθ-L의 관계를 만족시킨다. 마찬가지로, 수직거리 y는: y=Lsinθ의 관계를 만족시킨다. 일 예로서, 수직높이 H가 2㎜이고, 수평거리 L이 2㎜이며, 이동각도 θ가 25°라면, 상기 식으로부터 x=0.66㎜이고 y=0.85㎜가 구해진다. 이들 값은 상술한 조건인 x≥0.5㎜ 및 y≤1㎜를 충족시킨다. 이것은 가이드 부재(2)가 매우 작더라도, 적절한 치수로 원하는 운동을 행할 수 있다는 것을 의미한다.
다음에는 워크 유지기구(A)의 동작을 설명한다.
도 3A에 도시한 바와 같이, 베이스 부재(1) 상에 웨이퍼(W)가 놓여있지 않은 자연스런 상태에서, 접촉부(21)의 선단이 안착면(12A) 보다 높아짐에 따라서 가이 드 부재(2)는 틸트된다. 이 상태에서, 가이드 부재(2)의 접촉단자(30)는 베이스 부재(1) 내의 접촉단자(40)와 접촉되어 있어, 워크 검출부(50)는 전기 전도율을 검출하여 베이스 부재(1) 상에 어떤 웨이퍼(W)도 놓여있지 않은지를 결정한다.
다음에, 도 3B에 도시한 바와 같이, 웨이퍼(W)가 베이스 부재(1) 상에 놓이면, 웨이퍼(W) 테두리의 하부면 부분이 접촉부(21)의 선단과 접촉하게 되며, 선단은 웨이퍼(W)의 중량으로 인해서 아래로 가압된다. 한편, 제한부(22)는 상승하여 직립벽(12B)보다 웨이퍼(W)의 측면에 더 가깝게 접근한다. 따라서, 웨이퍼(W)의 측면이 초기에 직립벽(12B)에 매우 근접하거나 그와 접촉한 상태로 위치해 있더라고, 제한부(22)는 상승함에 따라서 웨이퍼(W)의 측면과 접촉하여 웨이퍼의 적절한 위치결정 영역의 중앙쪽으로 웨이퍼(W)를 가압한다.
다음에, 웨이퍼(W)가 도 3C에 도시한 바와 같이 베이스 부재(1)상에 완전히 안착되면, 가이드 부재(2)가 거의 수평으로 유지되면서, 웨이퍼(W) 테두리의 하부면은 접촉부(21) 및 안착면(12A)과 접촉하게 된다. 이 상태에서, 가이드 부재(2)의 모든 제한부(22)가 웨이퍼(W)의 측면에 충분히 근접함으로써, 웨이퍼(W)는 베이스 부재(1) 상의 원하는 곳에 정확하게 위치하게 된다. 이 단계에서, 가이드 부재(2)의 접촉단자(30)는 베이스 부재(1) 내의 접촉단자(40)와 접촉하지 않게 된다. 그러므로, 워크 검출부(50)는 회로의 단속을 검출하여 베이스 부재(1) 상에 웨이퍼(W)가 장전되었는지를 결정한다. 워크 검출부(50)가 웨이퍼(W)의 장전을 검출한 후에, 소재 취급/반송장치의 본체에 구비된 암이 구동되어 필요한 방향(위, 아래, 우측, 좌측, 전방 및 후방)으로 (암의 끝단에 부착되어 있는)워크 유지기구(A)를 작동시킨다. 이 작동 중에, 4개의 가이드 부재(2)에 의해서 고정된 웨이퍼(W)는 베이스 부재(1)에 대해 이동하지 않은 상태로 유지된다. 따라서, 웨이퍼(W)는 높은 작동속도 하에서도 소정의 언로딩 장소까지 정확하게 반송된다.
상술한 워크 유지기구(A)는 소재 취급/반송장치와 함께, 진공이나 고온에서 적절히 작동을 실행할 수 있다.
웨이퍼(W)의 위치적인 이동을 막기 위한 가이드 부재(2)는 웨이퍼(W)의 중량만으로도 작동이 가능하다. 그러한 가이드 부재는 구조가 간단하고 경량이며, 워크 유지기구(A)의 중량감소에 도움이 된다.
본 발명은 상술한 실시예로 한정되지 않는다. 예를 들면, 도 5에 도시한 바와 같이, 접촉부(21)는 운반되는 웨이퍼가 우선적으로 접촉하는 둥근 선단을 가질 수 있다. 그러한 곡선형상은 접촉부(21)가 웨이퍼의 하부면에 손상을 가하는 것을 방지한다. 또한, 베이스 부재의 단차부나 가이드 부재의 제한부는 점점 상방으로 갈수록 지지하고 있는 웨이퍼의 중앙으로부터 멀어지면서 경사지는 비(非)직립벽을 가질 수도 있다.
도 1은 본 발명에 따르는 워크 유지기구의 사시도;
도 2는 도 1에 도시한 워크 유지기구의 평면도;
도 3A 내지 도 3C는 도 2의 선 Ⅲ-Ⅲ을 따라서 취한 단면도로, 워크 검출동작을 설명하기 위한 도면;
도 4는 도 1에 도시한 워크 유지기구의 몇 개의 치수를 나타내는 선도;
도 5는 본 발명에 따르는 워크 유지기구의 다른 예의 주요부를 보이는 단면도.
<도면의 주요부분에 대한 부호의 설명>
1: 베이스 부재 2: 가이드 부재
12: 단차부 12A: 안착면
12B: 직립벽 12C: 수용부
12D: 홈 20: 축
21: 접촉부 22: 제한부
30, 40: 접촉단자 W: 워크

Claims (6)

  1. 워크 반송장치에 대해 평판형 워크를 수평으로 유지하기 위한 워크 유지기구에 있어서,
    워크를 지지하기 위한 베이스 부재; 및
    상기 베이스 부재에 구비된 복수의 가이드 부재를 포함하되,
    상기 각 가이드 부재는 축, 접촉부 및 제한부를 구비하며, 상기 축은 상기 베이스 부재에 의해 회동 가능하게 지지되고, 상기 접촉부는 워크가 베이스 부재 상에 놓이면, 워크 테두리의 하부면 부분과 접촉하면서 축을 중심으로 아래쪽으로 밀려 내려가며, 접촉부가 하강하면 상기 제한부는 축을 중심으로 상승하여 워크 테두리의 측면 부분과 대향하는 것을 특징으로 하는 워크 유지기구.
  2. 제 1항에 있어서, 상기 베이스 부재는 안착면 및 워크의 테두리와 대응하는 직립벽을 포함하는 단차부를 구비하며, 상기 가이드 부재의 접촉부와 제한부는 상기 단차부의 일부를 구성하는 것을 특징으로 하는 워크 유지기구.
  3. 제 2항에 있어서, 상기 워크가 상기 베이스 부재 상에 있지 않은 경우, 상기 접촉부는 상기 단차부의 안착면보다 높게 위치하는 것을 특징으로 하는 워크 유지기구.
  4. 제 2항 또는 제 3항에 있어서, 상기 워크가 상기 베이스 부재 상에 있는 경우, 상기 제한부는 상기 단차부의 직립벽보다 워크 테두리의 측면 부분에 더 가깝게 위치하는 것을 특징으로 하는 워크 유지기구.
  5. 제 2항 또는 제 3항에 있어서, 상기 단차부에는 상기 가이드 부재의 축을 지지하기 위한 홈이 형성되고, 각각의 축은 상기 제한부의 하강에 따라, 상기 가이드 부재가 자연스런 상태로 틸트되도록 하기 위해 해당 가이드 부재 내의 편심된 위치에 제공되는 것을 특징으로 하는 워크 유지기구.
  6. 제 1항 내지 제 3항의 어느 한 항에 있어서, 상기 베이스 부재와 하나 이상의 가이드 부재는, 상기 하나 이상의 가이드 부재의 자세에 따라서 워크를 전기적으로 검출하기 위한 접촉단자를 구비하는 것을 특징으로 하는 워크 유지기구.
KR1020070107908A 2006-10-27 2007-10-25 워크 유지기구 KR101404175B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006291988A JP2008108991A (ja) 2006-10-27 2006-10-27 ワーク保持機構
JPJP-P-2006-00291988 2006-10-27

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020140029254A Division KR101404177B1 (ko) 2006-10-27 2014-03-12 워크 유지기구

Publications (2)

Publication Number Publication Date
KR20080038042A true KR20080038042A (ko) 2008-05-02
KR101404175B1 KR101404175B1 (ko) 2014-06-05

Family

ID=39329175

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070107908A KR101404175B1 (ko) 2006-10-27 2007-10-25 워크 유지기구
KR1020140029254A KR101404177B1 (ko) 2006-10-27 2014-03-12 워크 유지기구

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020140029254A KR101404177B1 (ko) 2006-10-27 2014-03-12 워크 유지기구

Country Status (4)

Country Link
US (1) US7748760B2 (ko)
JP (1) JP2008108991A (ko)
KR (2) KR101404175B1 (ko)
TW (1) TWI403398B (ko)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2095412B1 (en) * 2006-12-14 2016-06-29 Kemet Japan CO., LTD. Disc holding apparatus and defect/foreign material detecting apparatus
US8382180B2 (en) * 2007-10-31 2013-02-26 Applied Material, Inc. Advanced FI blade for high temperature extraction
JP4511605B2 (ja) * 2008-02-27 2010-07-28 タツモ株式会社 搬送ロボット
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8752872B2 (en) 2009-09-14 2014-06-17 Fabworx Solutions, Inc. Edge grip end effector
JP5589790B2 (ja) * 2010-03-31 2014-09-17 株式会社安川電機 基板搬送用ハンドおよび基板搬送ロボット
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
US9859141B2 (en) 2010-04-15 2018-01-02 Suss Microtec Lithography Gmbh Apparatus and method for aligning and centering wafers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013045912A (ja) * 2011-08-25 2013-03-04 Tokyo Electron Ltd 搬送機構
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9013176B2 (en) * 2012-01-27 2015-04-21 Applied Materials, Inc. Methods and apparatus for sensing a substrate in a load cup
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9425076B2 (en) * 2014-07-03 2016-08-23 Applied Materials, Inc. Substrate transfer robot end effector
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN108609376B (zh) * 2018-05-10 2020-05-19 深圳市志凌伟业技术股份有限公司 一种超大尺寸film下料治具
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7335076B2 (ja) * 2019-01-30 2023-08-29 株式会社東京精密 ワーク載置台
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110039530A (zh) * 2019-05-28 2019-07-23 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 机械手
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11578560B2 (en) 2019-10-17 2023-02-14 Weatherford Technology Holdings Llc Setting tool for a liner hanger
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11225851B2 (en) 2020-05-26 2022-01-18 Weatherford Technology Holdings, Llc Debris collection tool
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11519244B2 (en) 2020-04-01 2022-12-06 Weatherford Technology Holdings, Llc Running tool for a liner string
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP7415782B2 (ja) * 2020-05-11 2024-01-17 東京エレクトロン株式会社 基板搬送機構及び基板搬送方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11926039B2 (en) * 2020-12-25 2024-03-12 Kawasaki Jukogyo Kabushiki Kaisha Robot
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113897588B (zh) * 2021-09-24 2023-09-08 北京北方华创微电子装备有限公司 遮蔽装置和半导体工艺设备

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06155213A (ja) 1992-11-19 1994-06-03 Hitachi Ltd 回転機構
JP3138554B2 (ja) * 1992-12-11 2001-02-26 株式会社荏原製作所 ウエハ支持装置
US5775000A (en) * 1996-05-13 1998-07-07 Ebara Corporation Substrate gripper device for spin drying
JPH1074816A (ja) * 1996-08-29 1998-03-17 Hitachi Techno Eng Co Ltd ウェファ搬送装置
JP3831043B2 (ja) * 1997-01-24 2006-10-11 東京エレクトロン株式会社 回転処理装置
US6276731B1 (en) * 1997-07-15 2001-08-21 Kabushiki Kaisha Yaskawa Denki Wafer carrying fork
US6573522B2 (en) * 2001-06-27 2003-06-03 Applied Matrials, Inc. Locator pin integrated with sensor for detecting semiconductor substrate carrier
US6752442B2 (en) * 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP2006150538A (ja) 2004-11-30 2006-06-15 Rorze Corp 把持型搬送装置並びにこれを用いるロボット、円盤状物加工設備及び円盤状物搬送方法。
KR20070082103A (ko) * 2006-02-15 2007-08-21 주성엔지니어링(주) 기판을 자체 정렬하는 기판이송장치

Also Published As

Publication number Publication date
US20080099970A1 (en) 2008-05-01
TWI403398B (zh) 2013-08-01
KR20140042838A (ko) 2014-04-07
KR101404177B1 (ko) 2014-06-09
KR101404175B1 (ko) 2014-06-05
JP2008108991A (ja) 2008-05-08
US7748760B2 (en) 2010-07-06
TW200827112A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
KR20080038042A (ko) 워크 유지기구
CN109415837B (zh) 基板固持器及镀覆装置
JP5750327B2 (ja) めっき装置、めっき処理方法及びめっき装置用基板ホルダの姿勢変換方法
JPH04250648A (ja) ウェハ−保持装置
JP3924714B2 (ja) ウエハカセット
KR20140051044A (ko) 클램프 장치 및 워크 반송 로봇
TW200807610A (en) Conveying device and method
CN110870057B (zh) 基板保持装置
US10340175B2 (en) Substrate transfer teaching method and substrate processing system
EP2437290A1 (en) Workpiece transport method and workpiece transport apparatus
JPH09178809A (ja) Icハンドラ
US6889841B2 (en) Interface apparatus for reception and delivery of an integrated circuit package from one location to another
JP7267058B2 (ja) 検査装置
US7361920B2 (en) Substrate processing apparatus and transfer positioning method thereof
US20080157455A1 (en) Compliant substrate holding assembly
KR20060134740A (ko) 웨이퍼 이송 장치
WO2002013244A2 (en) Apparatus and method for handling and testing of wafers
KR100885238B1 (ko) 기판 이송 장치 및 방법
CN114454182B (zh) 工业用机器人的示教方法
JPH07335714A (ja) ウェーハ搬送プレート
KR20070024907A (ko) 웨이퍼 정렬수단을 가지는 더미챔버와 이를 포함하는반도체제조장치
JP2520919B2 (ja) プロ―ブ装置
KR100686453B1 (ko) 반도체 웨이퍼 파드
JP2020088071A (ja) ウエハ受け渡し装置
JP2005191199A (ja) ウエハ搬送アーム及びウエハの搬送方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 5