KR20060084852A - 표면 3각 측량 및 박막 코팅을 통한 프로파일링 - Google Patents

표면 3각 측량 및 박막 코팅을 통한 프로파일링 Download PDF

Info

Publication number
KR20060084852A
KR20060084852A KR1020067005257A KR20067005257A KR20060084852A KR 20060084852 A KR20060084852 A KR 20060084852A KR 1020067005257 A KR1020067005257 A KR 1020067005257A KR 20067005257 A KR20067005257 A KR 20067005257A KR 20060084852 A KR20060084852 A KR 20060084852A
Authority
KR
South Korea
Prior art keywords
light
interference
spatial
pattern
path
Prior art date
Application number
KR1020067005257A
Other languages
English (en)
Inventor
레가 사이비어 콜로나 디
그루트 피터 제이. 드
마이클 쿠첼
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20060084852A publication Critical patent/KR20060084852A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02017Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations
    • G01B9/02019Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations contacting different points on same face of object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02022Interferometers characterised by the beam path configuration contacting one object by grazing incidence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02087Combining two or more images of the same region
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/30Grating as beam-splitter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Mathematical Physics (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Transplanting Machines (AREA)

Abstract

기판 및 상부 막을 갖는 대상물의 위치를 정하는 장치는 포토리소그래피 시스템, 포지셔너, 광학 시스템 및 프로세서를 포함한다. 상기 포토리소그래피 시스템은 대상물의 일부를 제1 광 패턴으로 조사하도록 구성되며 기준 표면을 포함한다. 상기 포지셔너는 상기 포토리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시킬 수 있다. 광 투사기는 상기 대상물의 상부 박막 상에 제2 광 패턴을 토사하도록 구성되어 있다. 상기 광학 시스템은 상기 기판에 의해 장황하게 확산되는 제2 광 패턴의 광을 촬상한다. 상기 프로세서는 상기 장황하게 확산된 공에 근거하여 상기 대상물의 공간 특성을 결정하고 상기 포토리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시키도록 상기 포지셔너를 동작하도록 구성된다.
기판, 상부 박막, 포토리소그래피 시스템, 포지셔너, 광학 시스템, 광 투사기, 프로세서

Description

표면 3각 측량 및 박막 코팅을 통한 프로파일링{SURFACE TRIANGULATION AND PROFILING THROUGH A THIN FILM COATING}
본 출원은 미국 가출원, 즉 2003년 9월 15일에 출원되고 발명의 명칭이 High Speed Scanning Interfrometer for Surface Profiling and for Focus and Tilt Sensing인 60/502,932, 2993년 9월 15일제 출원되고 발명의 명칭이 Grazing Incidence Interferometer for Profiling Surfaces Which May have a Thin Film Coating인 60/502,933, 2003년 9월 15일에 출원되고 발명의 명칭이 Triangulation Sensor for Profiling Surfaces Through a Thin Film Coating인 60/502,907, 20003년 9월 15일에 출원되고 발명의 명칭이 Rapid Measurement of Surface Topographies in the Presence of Thin Films인 60/502,930 그리고 2004년 1월 26일에 출원되고 발명의 명칭이 Surface Profiling Using An Interference Pattern Matching Template인 60/539,437의 이점을 청구하는 바이며, 상기 출원들 각각은 참조로서 본 명세서에 원용된다.
본 발명은 하나 이상의 적어도 부분적으로 투명층을 가진 기판을 구비하는 대상물의 간섭 분석에 대한, 대상물의 간섭 분석에 관한 것이다.
간섭 기술은 대상물의 표면의 프로파일을 측정하는데 흔히 사용된다. 이렇게 하기 위해 간섭계는 관심의 대상이 되는 표면으로부터 반사된 측정 파면(measurement wavefront)과 기준 표면으로부터 반사된 기준 파면을 결합하여 인터페로그램(interferogram)을 생성한다. 인터페로그램 내의 줄무늬는 관심의 대상이 되는 표면과 기준 표면 사이의 공간적 변동을 나타낸다.
스캐닝 인터페로미터는 간섭하는 파면의 가간섭성 길이에 비견될만한 범위 또는 그보다 큰 범위를 넘어서 인터페로미터의 기준 레그와 측정 레그 사이의 광 경로 길이 차(OPD)를 스캐닝하여, 상기 인터페로미터를 측정하는데 사용되는 각각의 카메라 픽셀용 스캐닝 간섭 신호를 생성한다. 예를 들어 백색 광원 및/또는 공간적으로 연장하는 광원을 사용함으로써, 제한된 가간섭성 길이를 생성할 수 있다. 예시적 기술에서는 광대역 소스의 사용을 포함하는 백색광 간섭(SWLI)을 스캐닝한다. 통상적인 스캐닝 백색광 간섭(SWLI) 신호는 제로 광 경로 차(OPD) 위치 근처에 위치하는 수개의 줄무늬이다. 상기 신호는 통상적으로 벨 형상의 줄무늬-대조 엔벨로프를 갖는 사이파형 반송파 변조("줄무늬")로 특징지어진다. SWLI 도량형학을 바탕으로 한 종래의 개념은 줄무늬의 국지화(localization)를 이용하여 표면 프로파일을 측정하는 것이다.
저 가간섭성 간섭 데이터(low-coherence interferometry data)를 처리하는 기술은 2가지 원리를 포함한다. 제1 방식은 엔벨로프의 피크 또는 중심의 위치를 찾아내는 것으로, 하나의 빔이 대상물 표면으로부터 반사되는 2-빔 간섭계의 제로 광 경로 차(OPD)에 상기 위치가 대응하는 것으로 가정한다. 제2 방식은 신호를 주파수 도메인으로 변환시켜 위상의 변화율을 파장에 따라 산출하는 것으로, 본질적 으로 선형의 슬로프가 대상체 위치에 정비례하는 것으로 가정한다. 예컨대, Peter de Groot에 허여된 미국 특허 제5,398,113호를 참조하라. 상기 후자의 방식을 주파수 도메인 분석(Frequency Domain Analysis)이라 한다.
본 명세서에 서술된 시스템 및 방법은 하나 이상의 접경을 갖는 대상물의 공간 특성을 결정하는데 사용될 수 있다.
하나의 관점에 따르면, 본 발명은 광학 시스템에 관한 것으로서, 상기 광학 시스템은, 대상물의 일부를 광 패턴으로 조사하고 기준 표면을 포함하도록 구성된 포토리소그래피 시스템과, 기준 광 경로 및 측정 광 경로를 구비하는 저 가간섭성 간섭계(low coherence interferometer)로서, 상기 기준 광 경로를 통과하는 광은 상기 기준 표면으로부터 적어도 한 번 반사하며 상기 측정 광 경로를 통과하는 광은 상기 대상물로부터 적어도 한 번 반사하는, 상기 저 가간섭성 간섭계와, 그리고 상기 기준 광 경로를 통과한 광과 상기 측적 광 경로를 통과한 광을 포함하는 저 가간섭성 간섭 신호를 검출하도록 구성되는 검출기로서, 상기 저 가간섭성 신호는 상기 기준 표면과 상기 대상물 사이의 공간적 관계를 나타내는, 상기 검출기를 포함한다.
일부의 실시예에서, 상기 리소그래피 시스템은 조사 광학 표면을 갖는 조사 광학기를 포함한다. 상기 광 패턴의 광은 상기 조사 광학 표면을 포함하는 광 경로를 따라 이동한다. 상기 조사 광학 표면과 상기 기준 표면은 적어도 부분적으로 동일한 공간에 있다. 상기 측정 광 경로를 따라 통과하는 광은 상기 포토리소그래피 시스템에 의해 조사될 상기 대상물의 일부로부터 적어도 한 번 반사한다.
상기 기준 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광 및 상기 측정 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광은 광 경로 길이 차의 범위를 가진다. 상기 범위는 상기 저 가간섭성 간섭계의 가간섭성 길이의 적어도 20%, 적어도 50%, 적어도 75% 또는 그 이상이 될 수 있다. 상기 범위는 적어도 상기 저 가간섭성 간섭계의 간섭성 길이만큼 넓게 될 수 있다.
일부의 실시예에서,
상기 검출기는 각각의 저 가간섭성 간섭 신호를 검출하도록 각각 구성된 복수의 검출기 소자를 포함한다. 각각의 저 가간섭성 간섭 신호는 상기 기준 광 경로의 각각의 다른 부분을 따라 통과한 광 및 상기 측정 광 경로의 각각의 다른 부분을 따라 통과한 광을 포함한다. 각각의 저 가간섭성 간섭 신호는 상기 대상물의 다른 포인트와 상기 기준 표면 사이의 공간적 관계를 나타낸다.
상기 광학 시스템은 상기 저 가간섭성 간섭 신호들 각각에 근거하여 상기 대상물의 각각의 서로 다른 포인트들과 상기 기준 표면 사이의 공간적 관계를 결정하도록 구성될 수 있다. 상기 광학 시스템은 상기 대상물과 상기 포토리소그래피 시스템 사이의 상대적 관계와 방향을 조작하는 변환 스테이지를 포함한다. 상기 프로세서는 상기 공간적 관계에 근거하여 상기 대상물과 상기 포토리소그래피 시스템의 상대적 관계를 변형하도록 추가로 구성될 수 있다.
본 발명의 다른 관점은 포토리소그래피 시스템의 광 경로를 대체적으로 따라 대상물을 위치 선정하는 단계와, 상기 포토리소그래피 시스템의 기준 표면으로부터 상기 광원으로부터의 제1 부분의 광을 반사하는 단계와, 상기 대상물로부터 상기 광원으로부터의 제2 부분의 광을 반사하는 단계와, 그리고 상기 기준 표면으로부터 반사된 광 및 상기 대상물로부터 반사된 광을 포함하는 저 가간섭성 간섭 신호를 형성하되, 상기 대상물과 상기 촬상 시스템 사이의 공간적 관계를 나타내는, 상기 저 가간섭성 간섭 신호를 형성하는 단계를 포함하는 방법에 관한 것이다.
일부의 실시예에서, 상기 방법은 상기 포토리소그래피 시스템의 기준 표면의 복수의 위치 각각으로부터 상기 광원으로부터의 각각의 제1 부분의 광을 반사시키는 단계와, 상기 대상물의 복수의 위치 각각으로부터 상기 광원으로부터 각각의 제2 부분의 광을 반사시키는 단계와, 그리고 상기 기준 표면의 서로 다른 위치들 각각으로부터 반사된 광 및 상기 대상물의 서로 다른 위치들 각각으로부터 반사된 광을 각각 포함하는 복수의 저 가간섭성 간섭 신호를 형성하는 단계를 포함한다. 각각의 저 가간섭성 간섭 신호는 상기 대상물의 서로 다른 위치들 중 적어도 하나의 위치와 상기 포토리소그래피 시스템 사이의 공간적 관계를 나타낸다.
일부의 실시예에서, 상기 제1 부분의 광 및 상기 제2 부분은 상기 대상물을 위치 결정한 후에 반사될 수 있다.
상기 방법은 상기 공간적 관계에 근거하여 상기 대상물과 상기 기준 표면의 상대적 위치를 변화시키는 단계를 더 포함한다. 상기 기준 표면은 상기 포토리소그래피 시스템의 광학기에 대한 표면이다. 상기 리소그래피 시스템은 상기 대상물에 자외선 광 이미지를 투사하기 위해 사용될 수 있다. 상기 자외선 이미지를 형성하는 광은 상기 광학기의 표면을 포함하는 광 경로를 따라 통과한다.
상기 대상물은 기판 및 외측 표면을 갖는 박막을 포함하며, 상기 형성하는 단계는 상기 기준 표면으로부터 반사된 광과 상기 박막의 외측 표면으로부터 반사된 광을 결합하는 단계를 포함한다. 상기 박막의 외측 표면과 상기 포토리소그래피 시스템 사이에 상기 공간적 관계가 존재할 수 있다.
상기 광원으로부터의 광 중 상기 제2 부분의 광은 실질적으로 상기 박막에 의해 감쇠될 수 있으며, 즉 흡수될 수 있다.
상기 박막은 포토레지스트를 포함하며 상기 광원으로부터의 광 중 상기 제2 부분의 광은 상기 포토레지스트를 노출하기에 불충분한 에너지를 갖는다.
상기 대상물은 기판 및 외측 표면을 갖는 박막을 포함하며, 상기 형성하는 단계는 상기 기준 표면으로부터 반사된 광 및 상기 기판으로부터 반사된 광을 결합하는 단계를 포함하며, 상기 가판과 상기 촬상 시스템 사이에 상기 공간적 관계가 존재할 수 있다.
상기 대상물은 브레스터의 각(Brewster's angle)에서 조사될 수 있으며, 상기 브레스터의 각은 상기 외측 표면과 대향하는 기판과 관련된 공간 정보를 강화시킬 수 있다.
본 발명의 다른 관점은 대상물의 공간 특성을 결정하는 시스템에 관한 것이며, 상기 시스템은, 광원과, 상기 광원으로부터의 제1 부분의 광으로 입사에 대한 지표각(grazing angle)으로 상기 대상물을 조사하되, 상기 제1 부분의 광 중 적어도 일부는 상기 대상물로부터 반사하며, 또한 상기 광 경로 차이의 범위를 넘어서, 상기 대상물로부터 반사되는 광과 상기 동일한 광원으로부터의 제2 부분의 광을 결합하도록 구성된 광학 시스템과, 그리고 상기 광 경로 차이의 범위를 넘어서 결합된 광을 피크 진폭을 각각 갖는 복수의 간섭 줄무늬로서 검출하도록 구성되며, 상기 광 경로 차이의 범위는 상기 간섭 줄무늬의 피크 진폭을 변조하기에 충분한, 검출기를 포함한다.
상기 광 경로 간섭의 범위는 적어도 상기 광학 시스템의 가간섭성 길이만큼 넓을 수 있다.
본 발명의 또 다른 관점은 광원으로부터의 광으로 입사에 대한 지표각으로 대상물을 조사하는 방법에 관한 것이다. 상기 조사하는 광 중 적어도 일부는 상기 대상물로부터 반사한다. 상기 대상물로부터 반사되는 광과 상기 광원으로부터의 제2 부분의 광은 광 경로 차이의 범위를 넘어서 결합한다. 상기 광 경로 차이의 범위를 넘어서 결합된 광을 피크 진폭을 각각 갖는 복수의 간섭 줄무늬로서 검출한다. 상기 광 경로 차이의 범위는 상기 간섭 줄무늬의 피크 진폭을 변조하기에 충분하다.
본 발명의 또 다른 관점은 기판과 상부 박막을 포함하는 대상물에 제1 광 패턴을 투사하는 단계와, 상기 기판에 의해 장황하게 확산되는 상기 투사된 제1 광 패턴을 촬상하는 단계와, 그리고 상기 장황하게 확산된 광에 근거하여 상기 대상물의 공간 특성을 결정하는 단계를 포함하는 방법에 관한 것이다.
상기 상부 박막은 포토레지스트일 수 있으며 상기 공간 특성을 결정하는 단계는 포토리소그래피 시스템과 관련하여 상기 대상물의 일부의 위치를 결정하는 단계를 포함할 수 있다. 상기 대상물의 일부는 상기 기판과 상기 상부 포토레지스트 사이의 접경(interface)일 수 있다.
상기 제1 광 패턴은 광원으로부터의 제1 및 제2 부분의 광을 포함할 수 있고 상기 제1 광 패턴은 간섭 패턴일 수 있다. 상기 간섭 패턴은 엔벨로프에 의해 변조된 복수의 줄무늬(fringes)를 포함할 수 있으며 상기 대상물의 공간 특성을 결정하는 단계는 상기 줄무늬와 관련해서 상기 엔벨로프의 일부의 위치를 결정하는 단계를 포함한다.
상기 대상물은 상기 엔벨로프의 일부에 근거하여 재위치될 수 있다.
일부의 실시예는 기준 표면 상에 기준 패턴의 광을 투사하는 단계와, 그리고 상기 기준 표면에 투사되는 상기 기준 패턴의 광을 검출하는 단계를 포함하며, 상기 대상물의 공간 특성을 결정하는 단계는 상기 기준 패턴의 상기 검출된 광에 근거하여 상기 기준 표면과 상기 대상물의 상대적 공간 특성을 결정하는 단계를 포함한다.
상기 대상물은 상기 상대적 공간 특성에 근거하여 이동될 수 있다.
일부의 실시예는 실질적으로 유사한 진폭을 갖는 복수의 줄무늬를 포함하는 제2 간섭 패턴을 상기 대상물에 투사하기 위해 상기 광원의 특성을 변형하는 단계와, 상기 기판에 의해 장황하게 확산되는 상기 제2 간섭 패턴의 광을 촬상하는 단계와, 그리고 상기 제2 간섭 패턴으로부터 상기 장황하게 확산된 광에 근거하여 상기 대상물의 제2 공간 특성을 결정하는 단계를 포함한다.
상기 제2 공간 특성은 상기 대상물의 일부의 표면 형태일 수 있다. 상기 제2 공간 특성은 상기 대상물의 절대 위치를 나타낼 수 있다. 상기 제1 광 패턴을 투사하기 전에 적어도 상기 변형하는 단계를 수행할 수 있다.
본 명세서에 서술된 방법 및 시스템은 외측 표면을 갖는 포토레지스트의 상부층을 포함하는 기판을 포함하는 대상물의 공간 특성을 결정하는데 사용될 수 있다. 상기 공간 특성은 외측 표면일 수 있다. 상기 방법 및 시스템은 상기 공간 특성에 근거하여 상기 대상물과 포토리소그래피 시스템 사이의 상대적 위치를 변화시킬 수 있다.
본 명세서에 서술된 방법 및 시스템은 액정 디스플레이의 일부의 공간 특성을 결정하는데 사용될 수 있다.
본 명세서에 서술된 방법 및 시스템은 대상물을 예컨대 레이저로 스크라이빙 하는데 사용될 수 있다. 스크라이빙에 의해 대상물 상에 형성된 스크라이브 라인의 공간 특성이 결정된다. 상기 대상물 또는 추가의 대상물에 대한 스크라이빙이 수행된다. 파라미터, 예컨대 레이저 파워, 대상물 스캐닝 레이트, 또는 레이저 초점 크기를 상기 스크라이브 라인의 공간 특성에 근거하여 선택할 수 있다.
본 명세서에 서술된 방법 및 시스템은 땜납 범프 제조 동안 형성된 구조물의 공간 특성을 결정하는데 사용될 수 있다. 상기 공간 특성은 땜납에 의해 비습식성으로 되는 상기 대상물 중 일부의 공간 특성이 될 수 있다.
본 발명의 또 다른 관점은 대상물의 일부를 제1 광 패턴으로 조사하는 포토리소그래피 시스템을 포함하는 장치에 관한 것이다. 상기 포토리소그래피 시스템은 기준 표면을 포함한다. 상기 대상물은 기판과 상부 박막을 포함한다. 상기 대상물은 또한 상기 리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시키는 포지셔너와, 상기 대상물의 상부 박막에 제2 광 패턴을 투사하도록 구성된 광 투사기와, 상기 기판에 의해 장황하게 확산되는 상기 제2 광 패턴의 광을 촬상하도록 광학 시스템와, 그리고 상기 장황하게 확산된 광에 근거하여 상기 대상물의 공간 특성을 결정하고, 상기 포지셔너가 상기 포토리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시키도록 구성된 프로세서를 포함한다.
본 발명의 또 다른 관점은 복수의 소자를 적어도 2차원으로 포함하는 검출기를 포함하는 광학 시스템에 관한 것이며, 대상물의 복수의 이격된 포인트들을 광원으로부터의 광으로 조사하고, 각각의 조사된 포인트에 대응하는 각각의 간섭 패턴을 형성하도록 구성되며, 각각의 간섭 패턴은 상기 검출기의 1차원을 따라 연장하며, 서로 포인트들에 대한 상기 간섭 패턴은 상기 검출기의 2차원을 따라 이격되어 있는 광학 시스템에 관한 것이다.
본 발명의 또 다른 관점은 광원, 적어도 1차원으로 연장하는 검출기 소자의 어레이, 및 간섭계를 포함하는 광학 시스템에 관한 것이며, 상기 간섭계는 광원으로부터의 제1 부분의 광으로 대상물의 포인트를 조사하고, 상기 조사된 포인트로부터 반사된 광을 상기 어레이의 1차원을 따라 연장하는 연장된 초점으로서 초점을 맞추고, 상기 광원으로부터의 제2 부분의 광을 상기 어레이의 1차원을 따라 연장하는 제2 초점으로서 초점을 맞추며, 상기 제2 초점 및 상기 연장된 초점은 상기 어레이의 1차원을 따라 적어도 부분적으로 일치하며, 상기 조사된 포인트로부터 반사된 광과 상기 광원으로부터의 제2 부분의 광 사이의 광 경로 차이(OPD)는 상기 조사된 포인트로부터 반사된 광의 가간섭성 길이보다 크게 상기 어레이의 1차원을 따라 가변한다.
본 발명의 또 다른 관점은 간섭 방법에 관한 것이며, 상기 방법은 대상물의 복수의 이격된 포인트를 광원으로부터의 제1 부분의 광으로 조사하는 단계로서, 상기 제1 부분의 광의 적어도 일부는 상기 이격된 포인트 각각으로부터 반사되는 상기 조사하는 단계, 및 적어도 2차원으로 배열된 복수의 검출기 소자를 갖는 검출기 상에 복수의 간섭 패턴을 형성하는 단계를 포함하며, 각각의 간섭 패턴은 상기 대상물의 각각의 이격된 포인트로부터 반사된 광을 포함하며, 각각의 간섭 패턴은 상기 검출기의 1차원을 따라 연장하며, 서로 다른 간섭 패턴은 상기 검출기의 2차원을 따라 이격된다.
이외에 다른 것이 정의되지 않는 경우, 본 명세서에 사용되는 모든 기술적 과학적 용어는 본 발명이 속하는 당기술분야의 기술인이 공통적으로 이해할 수 있는 것과 동일한 의미를 갖는다.
본 발명의 다른 특징, 목적, 및 이점은 후술하는 상세한 설명으로부터 분명하게 될 것이다.
도 1a는 기판 및 상부 층, 예컨대 박막을 포함하는 측정 대상물의 단면도이다.
도 1b는 도 1a의 대상물의 평면도이다.
도 2는 각각의 엔벨로프 기능에 따라 가변하는 진폭을 갖는 제1 및 제2 간섭 패턴을 포함하는 저 가간섭성 간섭 신호이다.
도 3은 지표 입사 간섭계 시스템(grazing incidence interferometery system)이다.
도 4는 지표 입사 간섭계 시스템이다.
도 5a는 도 4의 간섭계 시스템의 측정 광 경로를 따라 통과하는 광과 기준 광 경로를 따라 통과하는 광 사이의 시프트를 측정 대상물의 틸트의 결과로서 도시한다.
도 5b는 도 5a에 도시된 측정 대상물 틸트에 따라 생긴 측정 광 경로를 따라 통과하는 광과 기준 광 경로를 따라 통과하는 광 사이의 회전을 나타내는 변위 벡터를 도시한다.
도 6은 지표 입사 간섭계 시스템이다.
도 7a 및 도 7b는 지표 입사 간섭계 시스템의 삼각 측량 모드용 검출기 이미지를 도시한다.
도 8a는 지표 입사 간섭계 시스템이다.
도 8b는 도 8a에 도시된 시스템의 일부에 대한 확대도이다.
도 9a는 저 가간섭성 간섭계 시스템이다.
도 9b는 X 축을 따라 관찰되는 도 9a의 간섭계 시스템이다.
도 10은 측정 대상물의 조사된 포인트과, 도 9a 시스템을 사용하여 상기 조사된 포인트들에 대해 검출된 각각의 연장된 이미지 사이의 일치를 도시한다.
도 11a 및 도 11b는 도 9a의 간섭계 시스템의 기준 레그의 예시적 구성요소를 도시한다.
도 12a 및 도 12b는 구리 상호접속을 갖는 예시적 구조물로서, 평탄화 전후의 구조물을 각각 도시한다.
도 13a 및 도 13b는 땜납 범프 프로세스 동안 형성된 예시적 구조물로서, 도 13a는 땜납의 추가 전의 구조물을 도시하고 도 13b는 땜납의 추가 후 땜납이 흐르기 전의 구조물을 도시한다.
도 14는 예시적 액정 디스플레이의 일부를 도시한다.
여기에 기술한 본 발명의 방법 및 시스템의 실시예들은, 박막 필름 구조체, 서로 다른 재료들의 분리된 구조체, 또는 간섭 현미경(interference)의 광학적 해상도에 의해 불충분한 해상력을 가질 수 있는 분리된 구조체처럼, 하나 이상의 인터페이스를 갖는 물체의, 예컨대, 표면 지형(surface topography), 위치(position), 방향(orientation), 및/또는 그 밖의 다른 특성들과 같은 공간적 특성을 측정하기 위한 간섭계(interferometry)의 사용에 관한 것이다. 인터페이스의 예는, 물체의 외부 표면에서 형성된 인터페이스 또는 서로 다른 물질 사이에 내부적으로 형성된 인터페이스를 포함한다. 하나 이상의 인터페이스를 갖는 물체의 공간적인 특성은 평판 디스플레이(flat panel displays), 전자회로, 포토리소그래피(photolithography), 박막 특성화(thin films characterization), 및 서로 다른 물질의 분석을 포함하는 다양한 분야에 관련된다.
간섭계로 복수의 인터페이스를 갖는 물체를 분석할 때에, 각각의 인터페이스는 간섭 패턴을 만들어 낼 수 있다. 만일, 인터페이스들 사이의 거리가 가깝다면, 간섭 패턴은 서로를 왜곡(distorting)하면서 중첩(overlap)될 수 있다. 이러한 왜곡 때문에 이 물체의 공간적인 특성을 결정할 때에 에러가 발생할 수 있다. 예컨대, 포토리소그래피 시스템에 관하여 포커스 위치(focus position)에서 포토레지스트(photoresist)가 얹혀진 반도체 웨이퍼(wafer)를 배치시키는 노력을 생각해 본다. 포토리소그래피의 품질은 웨이퍼 및 포토리소그래피 시스템이 서로에 대해 얼마나 정교하게 배치될 수 있느냐에 관계된다. 그러나, 포토레지스트의 외부 표면 및 포토레지스트와 웨이퍼의 인터페이스는, 결과적으로 간섭 패턴을 생성하고, 이 간섭 패턴은 포토레지스트 또는 웨이퍼의 외부 표면의 정확한 배치 및 방향을 결정하는 것을 어렵게 한다. 따라서, 포토리소그래피의 품질이 저하될 수 있다.
여기에 설명하는 본 발명의 시스템 및 방법은, 다른 근접하는 또는 가까이 배치되는 인터페이스가 존재하는 경우에도, 물체의 선택된 인터페이스의 공간적인 특성을 결정할 수 있게 한다. 어떤 실시예들은 스침입사각(grazing angle of incidence) α로 입사하는 광(光)을 갖는 물체를 조명하는 단계 및 물체로부터 반사되는 광을 포함하는 저 코히어런스(low coherence) 간섭 신호를 검출하는 단계를 포함한다. 물체에 대해 법선 방향으로 뻗는 축에 대하여 취해진 각도 α는 적어도 60°, 적어도 70°, 적어도 75°, 예컨대, 적어도 80°일 수 있다.
스침입사 조명(grazing incidence illumination)은 조명된 물체의 다른 인터페이스에 대한 외부 표면의 반사도(reflectivity)를 증가시킬 수 있다. 반사도 증가로 인해 물체의 내부 인터페이스의 반대편에 있는 외부 표면으로부터의 간섭 패턴이 향상된다. 따라서, 스침입사를 갖고 얻은 간섭 신호는, 물체의 외부 표면의 공간적 특성에 대해 법선 입사각 조명보다 더 민감할 수 있다. 하나의 층(layer)의 외부 표면으로부터의 간섭 패턴을 향상시키기 위한 실시예들은, 또한(또는 대안적으로), 예컨대 흡수되는 것과 같이 그 층에 의해 감쇄되는(attenuated) 조명 광의 파장을 선택하는 단계를 포함한다. 이러한 층은 광을 흡수하기 때문에, 아래쪽에 있는 인터페이스로부터의 간섭 패턴은 상대적으로 감쇄한다.
또한 여기에 공개한 실시예에는 스침입사 테크닉과 저 코히어런스 간섭계가 결합되어 있다. 후술하는 바와 같이, 저 코히어런스 간섭계 데이터가 처리되어, 박막 구조체와 같은 복잡한 샘플의 한 개 이상의 인터페이스에 대한 공간적인 정보를 제공할 수 있다. 이러한 저 코히어런스 간섭계 데이터는 광대역 스펙터럼을 갖는 광 및/또는 공간적으로 연장된 소스(spatially extended source)를 사용함으로써 획득할 수 있다. 따라서, 물체의 외부 표면으로부터의 간섭 패턴을 향상시키기 위한 어떤 실시예들은, 예컨대 최소한 6nm, 최소한 12.25nm, 최소한 25nm, 최소한 50nm, 최소한 100nm, 또는 최소한 150nm의 반폭치(full width half maximum)(FWHM)를 갖는 광과 같은 광대역 광으로, 물체를 그레이징 입사각(grazing incidence)을 갖고 조명하는 단계를 포함한다. 스침입사 조명이 광대역 광과 결합할 때에, 물체의 외부 표면으로부터 얻은 간섭 패턴은 물체의 내부 인터페이스로부터 얻은 간섭 패턴에 대해 향상될 수 있다. 층(layer)의 외부 표면으로부터 얻은 간섭 패턴을 향상시키는 것은, 예컨대 상술한 포토리소그래피 포지셔닝(positioning)과 같은 여러 가지 어플리케이션에 이익이 될 수 있다. 예컨대, 여기에 기술한 스침입사 방법 및 시스템은, 예컨대 400nm 이상의 두께를 갖는 박막 필름에 대해, 약 1% 이상 의 상대적인 정확성을 가지고, 기판(substrate) 상에 있는 포토레지스트 층의 두께를 측정할 수 있다. 특정 예에서, 450nm의 두께를 갖는 실리콘 필름 상에 있는 45nm의 두께를 갖는 XF1 157nm UV 포토레지스트 층은, 공칭 600nm의 파장, 200nm의 FWHM, 80°의 입사각 α, 및 +/-3°의 Δα를 갖는 광을 사용하여 4.9nm의 에러를 갖고 측정되었다.
스침입사각 조명에 관한 실시예들은 물체의 외부 표면으로부터 나온 간섭 패턴을 향상시키는데 한정되지 않는다. 내부 인터페이스로부터의 간섭 패턴 또한 향상된다. 예컨대, 물체는 입사각에 의해 정의되는 평면 내에서 편광된 광에 의해 부르스터 각도(Brewster's angle)를 갖고 조명될 수 있다. 이 경우에, 브루스터 각도는, 예컨대, 위쪽에 있는 층의 굴절률과 같은 광학적인 특성에 의해, 그리고 조명광의 파장에 의해 결정된다. 브루스터 각도에서, 아래쪽에 있는 인터페이스로부터의 간섭 패턴은 외부 평면으로부터의 간섭 패턴에 관하여 향상된다.
스침입사각을 갖고 입사하는조명은 법선 입사각을 갖고 입사하는 조명과 비교하여 다른 장점들을 제공한다. 예컨대, 스침입사각을 갖는 조명 빔은 다른 물체 또는 시스템과 가까이 있는 물체를 조명하기 위해 사용될 수 있다. 예컨대, 여기에 기술된 스침입사 간섭계는 포토리소그래피 시스템의 이미징 광학기(imaging optics)과 이미징되어야 할 포토레지스트를 갖는 물체 사이에 조명 빔을 도입할 수 있다. 따라서, 박막 필름 분석에 관한 스침입사각의 장점은, 복잡하고 빽빽한 작업 환경에서 실현될 수 있다. 여기에 논의되는 모든 간섭계 및 광학 시스템은 포토리소그래피 장비에 대해, 예컨대 이미징되어야 할 물체의 서브세트와 같은 물체 의 공간적인 특성을 결정하는데 사용될 수 있고, 피드백 되어 물체 및 포토리소그래피 장치의 상대적인 위치 및/또는 방향을 수정한다. 또한, 각각의 간섭계 및 광학 시스템은, 예컨대 포토리소그래피 장치의 광학기(optic)의 표면과 같은 포토리소그래피 장치의 표면 자체인, 기준 표면(reference surface)을 포함할 수 있다.
따라서, 스침입사 조명은 선택된 인터페이스(외부 표면이든 내부 인터페이스이든)로부터의 간섭 패턴을 향상시켜, 간섭 패턴을 기초로 결정하는 공간적인 특성의 정확성을 증가시킬 수 있게 한다. 물체의 하나 이상의 공간적인 특성들에 대한 방법 및 시스템을 후술한다. 하나 이상의 인터페이스를 갖는 물체를 일반적으로 설명하고, 예컨대 저 코히어런스 스침입사각 간섭계와 같은 것을 사용하는 물체와 같은 것으로부터 얻을 수 있는 간섭 패턴을 먼저 설명한다. 그 다음, 광학 시스템의 실시예들을 설명한다.
도 1a를 참조하면, 물체(30)는 기판(32) 및 층(34)를 포함한다. 물체(30)는 서로 다른 굴절률을 갖는 재료들 사이에 존재하는 복수의 인터페이스를 포함한다. 예컨대, 물체로 둘러싸인 인터페이스(38)는, 예컨대, 액체, 공기, 그 밖의 기체, 또는 진공과 같이 물체(30)를 둘러싸는 주변 환경에 접촉하는 층(34)의 외부 표면(39)에 정의된다. 기판-층 인터페이스(substrate-layer interface)(36)는 기판(23)의 표면(35)과 층(34)의 바닥 표면(37) 사이에서 정의된다. 기판의 표면(35)은 복수의 패턴이 형성된 윤곽(patterned feature)(29)을 포함할 수 있다. 이러한 특징부들의 일부는 기판의 인접한 부분과 동일한 높이를 갖지만 서로 다른 굴절률을 갖는다. 다른 특징부들은 기판의 서로 인접한 부분에 대하여 위쪽 또는 아래쪽 으로 연장될 수 있다. 따라서, 인터페이스(36)(및, 물론, 인터페이스(38))는 복잡한, 변화하는 지형(topography)을 보일 수 있다.
도 2를 참조하면, 간섭 신호(90)는, 여기에 기술된 시스템 및 방법을 사용하여, 가까운 간격을 두고 배치된 인터페이스들을 갖는 물체로부터 얻을 수 있는 저 코히어런스 간섭 신호의 예시이다. 간섭 신호(90)는, 각각 외부 표면(39) 및 인터페이스(36)로부터 나오는 제1 및 제2 중복 간섭 패턴(overlapping interference patterns)(92, 96)을 포함한다. 간섭 신호(90)의 X축은 물체로부터 반사된 광과 기준 광(reference light) 사이의 광학 경로 차이(optical path difference)(OPD)에 대응한다. 간섭계는, 예컨대 물체로부터 반사되는 광 또는 기준 광이 이동하는 광학 경로를 변화시키기 위해, 예컨대 광학렌즈 및/또는 물체를 이동시키는 것과 같이, 스캐닝에 의해 광학 경로 차이(OPD)를 변화시킬 수 있다. 간섭계는, 대안적으로 또는 조합하여, 공간적 위치의 함수로서 변화하는 광학 경로 차이(OPD)를 갖는 기준 광 및 물체로부터 반사하는 광의 공간적인 분포를 검출함으로써 광학 경로 차이(OPD)를 변화시킬 수 있다.
간섭 패턴(92, 96)은, 각각의 코히어런스 엔벌롭(envelope)(97, 95)에 의해 광학 경로 차이(OPD)의 함수로서 변조(modulate)된다. 상기 엔벌롭들은 유사한 모양과 폭을 갖는다. 저 코히어런스 엔벌롭이 존재하지 않을 때에, 프린지(fringes)(98,99)들은 일반적으로 비슷한 진폭을 갖는다. 일반적으로, 간섭 패턴 엔벌롭의 폭은 검출된 광의 코히어런스 길이에 대응한다. 코히어런스 길이를 결정하는 인자들 중에서, 시간의 코히어런스 현상(temporal coherence phenomena)은, 예컨대 소스의 스펙트럼 대역폭과 관계되며, 공간의 코히어런스 현상은, 예컨대 물체를 조명하는 광의 입사각의 범위에 관계된다.
일반적으로, 코히어런스 길이는, (a) 소스의 스펙트럼 대역폭이 증가함에 따라, 및/또는 (b) 입사각의 범위가 증가함에 따라 감소한다. 데이터를 획득하는데 사용되는 간섭계의 구성에 의해, 이러한 코히어런스 현상 중 하나 또는 다른 것이 지배적일 수 있고, 또는 이들 모두가 전체적인 코히어런스 길이에 실질적으로 기여할 수 있다. 어떤 실시예에서, 여기에 기술되는 스침입사각 간섭계는 Δα의 입사각을 갖는 광대역 광으로 물체를 조명한다. 광 소스는 연장(extend)될 수 있다. Δα의 범위는 ±20° 이하, ±10° 이하, ±5° 이하, ±3° 이하일 수 있다. 어떤 실시예에서, 조명 개구수(numerical aperture)는 0.2 이하, 0.1 이하, 0.07 이하, 0.06 이하, 예컨대 0.05이하이다. 스침입사각 때문에, 공간적 코히어런스 현상은, 법선 입사각보다, 더 작은 범위의 입사각에서 관찰되는 간섭 신호에 기여한다. 이것은, 공간적 코히어런스 현상은 비스듬한 광선에 대한 경로 길이의 변화와 관계되고, 상기 비스듬한 광선은 입사각 α의 코사인(cosine)과 반비례의 관계를 갖는다. 공간적 코히어런스 현상으로부터의 기여(contributions)를 포함하는 간섭 신호에 대한 설명은, 박막 필름의 특성을 포함하는, 타원계, 반사계, 및 확산계 측정을 위한 간섭계 방법이라는 제목을 가진 미국 특허 출원 no.10/659,060에 기술되어 있고, 이것은 본 출원의 명세서 참조로서 포함된다. 어떤 실시예에서, 공간적 그리고 시간적 코히어런스 현상은 모두 코히어런스 길이에 기여하며, 이 코히어런스 길이는 바람직하게는 내부 인터페이스로부터 기인하는 간섭 패턴을 감쇄시킬 수 있다.
간섭계의 코히어런스 길이는, 예컨대 박막 필름 구조가 아닌 단일 반사 표면을 갖는 물체로부터의 간섭 신호를 얻음으로써 결정될 수 있다. 코히어런스 길이는, 관찰되는 간섭 패턴을 변조하는 엔벌롭의 반폭치(FWHM)에 대응한다. 도 2에서 볼 수 있는 바와 같이, 간섭 신호(90)는, 코히어런스 엔벌롭의 폭 이상 변화하여, 따라서 검출된 광의 코히어런스 길이 이상 변화하는 광학 경로 차이의 범위를 갖는 광을 검출하는 것으로부터 기인한다. 일반적으로, 저 코히어런스 간섭 신호는 검출된 광의 코히어런스 엔벌롭에 의해 변조되는 진폭인 간섭 프린지를 포함한다. 예컨대, 간섭 패턴은 서로에 대하여 적어도 20%, 적어도 30%, 또는 적어도 50%가 다른(differs), 관찰된 간섭 프린지의 진폭에 대한 OPD 상에서 얻을 수 있다. 예컨대, 프린지(98)는 프린지(99)의 피크 진폭 보다 약 50% 작은 피크 진폭을 갖는다. 어떤 실시예에서, 저 코히어런스 간섭 신호는, 코히어런스 길이와 비슷하거나 더 큰 OPD의 범위에 걸처 검출된다. 에컨대, OPD의 범위는 적어도 코히어런스 길이보다 적어도 2배 또는 적어도 3배일 수 있다. 어떤 실시예에서, 검출된 광의 코히어런스 길이는, 예컨대 2미크론(micron) 이하의 단위와 같이, 물체의 특징부의 높이 변동과 비슷하다.
여기에 개시한 방법 및 시스템을 사용하여 얻은 간섭 신호를 여러 가지 방법으로 처리하여 물체의 공간적 특성을 결정할 수 있다. 어떤 실시예에서, 간섭 신호를 처리하는 단계는 역 디멘션(inverse dimension)으로의 신호 변환(transformation)을 포함한다. 이러한 변환은 신호의 푸리에 변환을 포함할 수 있 다. 변환은 주파수 도메인 분석(Frequency Domain Analysis)(FDA)하는 동안 또는 그 확장(extension)하는 동안 수행될 수 있다. 예시적인 FDA 방법은 “인터페로그램의 공간-주파수 분석에 의한 표면 토포그래피 측정을 위한 방법 및 장치”라는 제목의 미국 특허 No. 5,398,113, 및 2003년 3월 8일 출원된 “높이 스캐닝 간섭계를 사용한 복잡한 표면 구조의 프로파일링”이라는 제목의 미국 특허 출원 No. 10/795,808에 기재되어 있으며, 상기 특허 및 특허 출원은 여기에 참조로서 통합되어 있다. 그러나, 간섭 신호를 처리하는 것은 변환을 필요로 하지 않는다는 것을 이해해야 한다. 예컨대, 간섭 엔벌롭의 최대값은 간섭 신호의 변환 없이도 공간 특성 정보를 제공할 수 있다.
도 2에서 볼 수 있는 바와 같이, 간섭 신호(90)의 일부(94)는 간섭 패턴(96)와 대립하는 것으로서 간섭 패턴(92)으로부터의 기여에 의해 조절된다. 상술한 바와 같이, 간섭 패턴(92)은 물체(30)의 외부 표면(39)로부터 기인한다. 예컨대, 위치 및/또는 높이와 같은, 표면(39)의 공간적인 특징은 일부분(portion)(94)을 기초로 결정할 수 있고, 이 일부분(94)은 전체 간섭 신호(90)의 서브세트(subset)만을 구성한다. 이러한 간섭 신호의 서브세트를 찾아내고 분석하기 위한 방법 및 시스템이 2004년 9월 15일에 피터 드 그루(Peter de Groot)가 출원한 “표면 및 관련된 어플리케이션의 간섭계 분석을 위한 방법 및 시스템(METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS)”이라는 제목의 미국 특허 출원 no. **/***,***에 공개되어 있다. 이 출원은 여기에 참조로서 그 전체가 통합되어 있다.
어떤 실시예에서, 복수의 인터페이스를 갖는 물체와 같은 물체로부터, 예컨대 저 코히어런스 간섭 신호와 같은 간섭 신호를 얻고 처리하기 위한 간섭계 시스템 및 방법을 이제부터 설명한다.
도 3을 참조하면, 광학 시스템(100)은 스침입사각으로 물체를 조명하기 위한 회절 광학기(optics)를 사용한다. 시스템(100)은 간섭계 시스템(101) 및 조명 시스템(150)을 포함하는데, 조명 시스템은 측정 물체(30)를 사용하고, 그 위에 어떤 층도 포함하지 않는 물체 또는 이러한 복수의 층을 포함하는 물체와 같은 그 밖이 다른 물체를 위해 구성된다. 저 코히어런스 간섭계가 아닌 경우, 시스템(101)은, 예컨대 측정 물체(30)의 공간적 또는 광학적 특징과 같은 특성을 스침입사각 조명을 사용하여 결정할 수 있고, 이러한 구성의 이점을 잘 설명한다. 다시 도 1a 및 도 1b를 참조하면, 공간적 또는 광학적 특징은 층(34)의 표면(39) 또는 그 서브세트(401)와 관련될 수 있다. 다르게는, 또는 조합하여, 공간적 또는 광학적 특징은, 예컨대 기판(32)의 표면(35)와 같은 인터페이스(36)에 관계될 수 있다. 시스템(101)은 일반적으로 위상-시프팅(phase-shifting) 기술을 채용하여 물체(30)에 관련된 정보를 제공한다.
일반적으로 조명 시스템(150)은 표면(38) 상에, 예컨대 회로 패턴과 같은 선택된 패턴을 이미징하기 위한 것과 같이, 광(167)으로 표면 물체(30)를 조명하도록 구성된다. 도 3으로 돌아가서, 시스템(101) 및 시스템(150)의 여러 가지 측면을 후술한다.
간섭계 시스템(101)은 측정 물체를 분석하기 위해 배치되는 스침입사각 시스템이다. 램프, 발광 소자(light-emitting diode), 멀티모드 레이저 다이오드(multimode laser diode) 또는 기체 레이저(gas laser)일 수 있는 광 소스(111)는 빔(102)를 생성한다. 익스펜션 광학기(expansion optics)(103)를 통과한 후에, 빔(102)은 초기 조명 파면(wavefront)(104)을 생성한다. 회절성의 광학 빔 분열기(splitter)(105)는 초기 조명 파면(104)을 기준 파면(115) 및 측정 파면(110)으로 분리한다. 상기 광학 빔 분열기 (105)는 0차 서프레션(zero-order suppression)을 갖는 선형 위상 회절 격자(linear phase grating)일 수 있다. 2개의 파면(115 및 110)은, 예컨대 양과 음의 일차 회절격자 오더(first grating orders)와 같은, 서로 반대의 회절격자 오더(orders)로부터 기인하고, 그 결과 방사상의 방향으로 진행한다. 기준 파면(115)은 회절 광학 빔 결합기(diffractive-optic beam)(170)로 진행하기 전에, 일단 기준 표면(reference surface)(130)으로부터 반사한다. 상기 회절 광 빔 결합기(170)는 회절 광 빔 분열기(diffractive-optic beam splitter)(105)와 유사할 수 있다.
아래에 설명한 바와 같이, 또한 기준 표면(130)은, 패턴을 갖는 광을 물체의 서브세트(40)과 같은 물체(30) 상으로 향하게 하는 돌출 광학기(projection optics)의 일부와 같이, 조명 시스템(150)의 일부로서 구성될 수 있다. 어떤 경우라도, 기준 표면(130)은, 예컨대 파면(115)의 평균 파장의 약 1/15 정도로 광학적으로 평평할 수 있거나, 또는 알려진 표면 모양을 가질 수 있다. 예컨대, 돌출 물체는 알려진 굴곡을 갖는 아치형의 표면을 가질 수 있다.
또한 도 1을 참조하면, 측정 광선(measurement ray)(181)은 측정 파면(110)의 광과 물체(30)의 상호작용을 설명한다. 층(34) 및 기판(32)이 존재할 때에, 측정 광선(181)의 일부는 스침각 α를 갖고 층 표면(38)로부터 작용(impinge)하여 반사하고, 반사 광선(181')으로서 진행한다. 도 6에 도시된 바와 같이, 광선(181')을 포함하는 반사된 측정 파면(110')은 회절 광학 빔 결합기(170)로 진행하고, 여기서 기준 파면(115)과 재결합하여 출력 파면(120)을 형성한다. 도 1a로 돌아와서, 측정 광선(181)의 제2 부분은 층(34)을 관통하고, 기판(32)의 기판에서 인터페이스(36)로부터 반사하여 반사 광선(181'')으로 된다. 인터페이스(36)로부터 반사된 광은, 보통 파면(181'')과 동일한 경로를 따라 진행하지만 진행 경로에 수직인 축을 따라 Δs만큼 떨어져 있는 제2 반사 파면(도시되지 않음)을 생성한다. 또한, 제2 파면은 기준 파면(115)과 결합하고 간섭을 일으킬 것이다.
어떤 실시예에서, 시스템(100)은 층(34)과 물체(30) 주위의 환경 사이의 인터페이스(38)에서 표면(39)으로부터 반사되는 단일 측정 파면을 얻도록, 그리고 기판(32) 및 층(34) 사이의 인터페이스(36)로부터 반사되는 측정 파면을 감쇄시키거나 소멸(exclude)시키도록 구성된다. 기판-표면 층 인터페이스(substrate-surface layer interface)(36)로부터 반사되는 측정 파면은, 표면 층(34)에 의해 실질적으로 흡수되는 소스(111) 파장을 선택함으로써 감쇄되거나 소멸될 수 있다. 소스 광이 표면 층에 의해 흡수되기 때문에, 기판-표면 층 인터페이스(38)로부터 발생하는 반사된 파면은 실질적으로 존재하지 않는다. 그 대신 실질적으로, 반사되는 파면은, 예컨대 표면 층과 물체(30)를 둘러싸는 공기, 그 밖의 다른 기체, 또는 진공 사이의 인터페이스와 같은 표면 층-주위 인터페이스(surface layer-surroundings interface)(38)로부터 발생한다. 따라서, 광선(181'') 및 이와 관련된(associated) 파면들은 감쇄하거나 소멸할 것이다.
어떤 실시예에서, 표면 층(34)은 조명 시스템(150)에 의해 방출되는 자외선에 노출되도록 구성되는 포토레지스트이다. 일반적으로, 예컨대 광학적으로 능동적인, 용매 또는 레지스트(resist) 자신의 구성요소(optically active component)와 같은 포토레지스트의 구성요소는 광학적으로 능동적인 구성요소를 노출하지 않고 가시광선, 적외선 근처의 광, 또는 적외선과 같은 작은 에너지 방사(low energy radiation)를 흡수한다. 이러한 노출하지 않는 흡수(non-exposing absorptions)는 자외선에 의한 것과 같은 전자 여기(electronic excitation)보다 레지스트의 진동 여기(vibrational excitation)에서 기인할 수 있다. 어떤 경우에, 레지스트는 측정 광의 일부를 흡수하고, 시스템(101)은 측정 광선(181')을 포함하며 실질적으로 표면 층(34) 및 주변 환경 사이의 인터페이스(38)로부터 기인하는 측정 파면(measurement wavefront)을 생성한다. 이러한 파면은, 예컨대 표면(39)의 지형 및/또는 위치와 같은 인터페이스(38)의 공간적 특성에 대한 정보를 전달한다. 공간적 특성은, 돌출 광학기의 표면(130) 자체와 같은, 조명 시스템(150)의 일부분을 참조하여 주어질 수 있다.
어떤 실시예에서, 시스템(100)은 기판(32) 및 층(34) 사이의 인터페이스에서 표면(36)으로부터 반사되는 단일 측정 파면을 얻도록, 그리고 층(34) 및 주변 환경의 표면에서 인터페이스(38)로부터 반사되는 측정 파면을 감쇄시키거나 소멸시키도 록 구성된다. 인터페이스(38)로부터 반사되는 측정 파면은, 편광 P 및, 예컨대 측정 광선(181)과 같은 입사광의 입사각 α를 선택함으로써 감쇄되거나 소멸되어, 표면(36)으로부터 반사되는 측정 광선(181'')에 대한 측정 광선(181')의 강도(intensity)를 최소화할 수 있다. 예컨대, 편광 P는 표면(38)에서 입사 평면에 평행하도록 구성될 수 있다. 대안적으로 또는 조합하여, 입사각 α는, 표면(39)으로부터의 반사가 상술한 편광에 대해 최소화되는 각도인 브루스터 각도와 동일하도록 구성된다. 어떤 경우에, 표면(39)으로부터의 반사는 감소되거나 제거되며, 시스템(101)은, 측정 광선(181'')을 포함하며 실질적으로 기판(32)과 표면 층(34) 사이의 인터페이스(36)로부터 기인하는 측정 파면을 생성한다. 이러한 파면은, 예컨대 기판의 표면(36)의 지형 및/또는 위치와 같은 인터페이스(36)의 공간적인 특성에 대한 정보를 전달한다. 공간적인 특성은, 돌출 광학기 자체의 표면(130)과 같은, 조명 시스템(150)의 일부를 참조하여 주어질 수 있다.
표면(36), 표면(39) 또는 양 표면에 관한 정보를 포함하는지 여부와 관계없이 출력 파면(120)은 렌즈(171) 및 이미징 렌즈(173)를 통해, 예컨대 샘플 표면(160)의 이미지(190)를 형성하는 CCD(175)와 같은 2차원 검출기(detector)와 같은 관찰 스크린(viewing screen)으로 진행한다. 검출기(175)는 검출된 이미지에 걸처 적절한 포커스를 달성하기 위해 비스듬하게 기울어져 있다. 이 기울기는 또한 스침각 α를 갖고 이미징 샘플 표면(160)에 의해 야기되는 이미지 내의 원근(foreshortening)을 감소킨다. 이미지(190)는 샘플 표면(160)의 지형(topography)에 관계된 간섭 프린지 정보를 포함한다. 이러게 해석하면, 이러한 프린지에 관계 되는 동등한 파장 Λ는 Λ=λ/cos(α)로 주어진다. 여기서 λ는 파면(104)의 공칭 파장이다.
여기에 사용된 “회절성-광학기(diffractive-optic)”라는 용어는 회절 격자(diffraction gratings), 바이너리 광학기(binary optics), 표면-릴리프 회절 렌즈(surface-relief diffractive lenses), 홀로그램 광학 요소(holographic optical elements), 및 컴퓨터-생성 홀로그램(computer-generated holograms)을 포함하도록 의도된 용어이다. 이러한 장치들은 빔이 분열기 및 결합기로서 전달 또는 반사에 있어 기능을 할 수 있다. 이것들은, 예컨대 0차 전송(zero'th order transmission)과 같은 원하지 않는 회절 차수(diffraction orders)를 억제하여 산개된 광을 감소시키고 효율을 향상시킬 수 있다.
회절-광학 기기를 제조하는 방법은 다이아몬드 가공법(diamond machining), 코히어런트 빔 간섭(홀로그래피(holography)), 인젝션 몰딩(injection molding), 및 진보된 마이크로-리소그래피 기술(advanced micro-lithographic techniques)을 포함한다. 당해 기술 분야의 기술자들은 회절성-광학기를 렌즈, 프리즘, 미러 및 플레이트 빔 분열기와 같은 굴절 및 반사하는 광학 요소와는 다른 것으로 인식한다.
측정 파면(110') 및 기준 파면(115)이 같이 진행하여 출력 파면(120)을 형성할 때에, 측정 파면(110') 및 기준 파면(115)의 중첩부는 초기 조명 파면(104)의 동일한 부분으로부터 실질적으로 기인한다. 예컨대, 회절-광학 빔 결합기(diffractive-optic beam combiner)(170) 상의 지점(189)에서 재결합하는 기준 광 선(185) 및 측정 광선(181)은, 회절-광학 빔 분열기(105) 상의 동일한 지점(109)로부터 실질적으로 기인한다. 그 결과, 초기 조명 파면(104) 내에 공간적인 인코히어런스 또는 수차(aberrations)는, 많아야, 이미지(190) 내에 관찰되는 간섭 프린지 상에 미미한 효과를 생성한다. 그 결과, 빔 연장 광학기(beam expansion optics)(103) 내의 작은 결함 또는 공기 기류에서 기인하는 왜곡은, 많아야, 이미지(190)의 분석 상에 작은 효과만을 제공한다. 그 결과, 회절-광학 빔 분열기 또는 회절-광학 빔 결합기(170) 내의 평탄함으로부터의 이탈은 이미지(170)내에서 관찰되는 간섭 프린지 상에, 많아야, 작은 효과만을 일으킨다.
시스템(101)의 추가적인 특징은 등가 파장(equivalent wavelength) Λ가 실질적으로 소스(111)의 파장 λ에 대해 실질적으로 독립적이라는 것이다. 이를 다음과 같이 이해할 수 있다. 시스템(101)의 기하구조 및 회절 격자의 잘 알려진 특성으로부터, 입사각 α가 cos(α)=λ/D로 주어진다는 것을 나타낼 수 있다. 여기서 D는 회절 격자 피치(pitch), 즉 회절-광학 빔 분열기(105) 및 회절-광학 빔 결합기(170)의 회절 격자 라인들 사이의 선형 거리(linear separation)이다. 따라서, 등가 파장 Λ=D이다. 따라서, 서로 다른 소스 파장 λ는 회절 격자 피치와 동일한 동일 등가 파장 Λ를 일으킨다.
측정 파면(110)에 의해 가로질러지는 광학 경로는 실질적으로 기준 파면(115)에 의해 가로질러지는 광학 경로와 동일하다. 이는 다중모드 레이저 다이오드 또는 가짜 프린지 패턴(spurious fringe patterns)의 효과 및, 예컨대 단일모드 레이저 또는 간섭계를 위한 소스로서 사용될 때에 0.1nm보다 작은 파장 범위의 그 밖의 다른 고(高) 코히어런스의 협대역 또는 모노크로매틱 기기들(monochromatic devices)의 반점 노이즈 특성(speckle noise characteristic)을 감소시키기에 충분한 파장 범위를 갖는 그 밖의 다른 기기들의 사용을 용이하게 한다. 파면(115) 및 파면(110)에 의해 가로질러지는 광학 경로들이 실질적으로 동일하여, 소스(101)의 파장의 불안정성에 대해 이 제1 실시예의 감도가 줄어든다. 상기 소스(101)의 파장의 불안정성은, 레이저 모드(lasing modes)들 사이에서 예상과 다르게 진동할 수 있는 레이저 다이오드에 대해 문제가 될 수 있다.
시스템(101)으로부터 간섭계 데이터를 획득하는 한 가지 방법으로는 다수의 간섭 상태가 검출기(175)에 의해 측정되는 위상-시프트 방법이 있다. 위상 시프트된 데이터는 측정기기와 간섭 빔 사이에 OPD를 형성함으로써 획득될 수 있다. 이러한 OPD는 격자 라인에 수직한 방향으로와 같이 회절 격자 중의 한 격자의 평면내 변환에 의해 생성될 수 있다.
OPD를 형성하기 위한 또 다른 방법은 2개의 격자 간의 격자 주기에 약간의 차이를 제공하는 단계를 포함한다. 이에 의해, 검출기에서 간섭하는 2개의 파면 간의 경사가 생성되며, 그 결과 공간 캐리어 프린지 패턴이 생성된다. 이 경우에, 검출기의 단일 노출치(exposure)로부터 높이 정보를 추출하기 위해 여러 기술 중의 하나가 이용될 수 있다. 예컨대, 퓨리에 변환 위상 측정이 이용될 수 있다.
다시 조사 시스템(150)을 살펴보면, 조사 소스(152)는 빔 조절기(154)에 의해 수신되는 광(160)을 방출한다. 빔 조절기는 조절된 빔(162)을 조사 광학장치(156)에 지향시키고, 이 조사 광학장치는 광(164)을 매스크 또는 레티클 (reticle)(158)을 통해 투사 광학장치(157)를 경유하여 물체(30)의 계면(38) 상으로 전송한다.
조사 소스(152)는 통상적으로 자외선 레이저 빔을 방출하는 레이저와 같은 자외선 소스이다. 일부 실시예에서, 소스(152)는 248 ㎚, 193㎚ 또는 157㎚를 포함하는 파장을 갖는 광(160)을 방출한다. 소스(152)로는 펄스 레이저 또는 연속파 레이저가 가능하다. 빔 조절기(154)는 조사 소스(152)로부터 수신된 광(160)을 조절하여 예컨대 한정된 단면을 갖는 시준된 빔(162)을 발생한다. 일례의 빔 조절은 예컨대 "Hybrid Illumination System for Use in Photolithography"라는 명칭의 S. Stanton 등에게 허여된 미국 특허 번호 5,631,721호에 개시된 바와 같은 굴절성 및/또는 반사성 광학장치를 포함할 수 있으며, 상기 특허는 본 명세서에 참고자료로 통합되어 있다.
조사 광학장치(156)는 조절된 광(162)을 수신하고, 광(164)을 조사 필드로서 출력하여 매스크 또는 레티클(158)을 조사한다. 광학장치(156)는 균일한 발광을 갖는 조사 필드를 제공하고 조사 필드의 크기가 변화될 때 레티클에서의 조사 필드의 각도 분포 및 특성을 유지하도록 구성된다. 매스크 또는 레티클(159)은 통상적으로 물체 상에 투사될 패턴, 예컨대 회로 패턴을 포함한다. 예컨대, 광학장치(156)는 물체의 서브셋(40i) 상에 패턴을 집속할 수 있다.
도 6을 참조하면, 간섭계 시스템(101)은 계면(38)과 간섭 표면(130)에 대해 큰 작업 거리를 제공한다. 작업 거리는 샘플 표면과 최인접 광학 소자 간의 거리 를 지칭한다. 지표 입사 시스템(101)에서의 큰 작업 거리는 샘플 계면(38)이 샘플 계면(38) 또는 다른 소자에 대한 손상의 가능성없이 편리하게 위치될 수 있다는 것을 의미한다.
본 명세서에서 설명한 모든 간섭계 시스템과 같은 시스템(100)은 또 다른 물체에 대해, 예컨대 조사 시스템(150)의 투사 광학장치의 표면(130)에 대해 물체(30)를 위치시키도록 구성된 위치설정 스테이지(119)를 포함할 수도 있다. 간섭계 시스템(101)에 의해 획득된 데이터로부터의 피드백을 이용한 컴퓨터 제어 하에 있는 스테이지(119)는 병진 이동 위치설정 및 회전 이동 위치설정을 제공하여 물체(30)를 다른 물체와의 요구된 공간적 관계에 있도록 한다. 예컨대, 표면(39) 또는 서브셋(40i)으로부터 반사된 파면에서 획득된 간섭계 데이터에 기초하여, 시스템(100)은 표면(39) 또는 서브셋(40i)이 표면(130)에 대해, 예컨대 평행하게 및/또는 특정 거리와 같이 같은 요구된 공간적 관계에 있도록 물체(30)를 조작할 수 있다. 시스템(100)은 물체(30)를 표면(36)과 표면(130) 사이의 공간적 관계로 조작할 수 있다.
도 4를 참조하면, 저 가간섭성 시스템(250)은 복수의 계면을 갖는 물체의 공간적 성질을 결정하기 위해 사용될 수 있는 지표 입사 간섭계의 또 다른 예이다. 본 실시예에서, 시스템은 충밀하게 배열될 수 있는 광학장치를 갖는 저 가간섭성 간섭계를 포함하여, 시스템이 적은 공간을 점유하고, 물체를 조작하기 위해 사용된 다른 시스템과 함께 사용될 수 있다.
시스템(250)은 물체를 지표 입사각의 광으로 조사함으로써 물체(252)의 공간적 성질을 결정한다. 시스템(254)은 광원(254)을 포함하고, 이 광원은 광 빔(255)을 방출하는 광대역의 소스 및/또는 확장된 소스가 될 것이다. 일례의 소스는 중심 파장이 550㎚이고 반치전폭(FWHM)이 120㎚인 백색광 LED와, 반치전폭이 200㎚인 크세논 방전 램프를 포함한다. 일반적으로, 광 빔(255)의 중심 파장에 대한 광빔(255)의 반치전폭의 비율은 적어도 5%, 적어도 10%, 적어도 15% 또는 적어도 20%이다.
광 빔(255)은 예컨대 시준된 빔(257)을 형성하는 렌즈(256)에 의해 수신된다. 렌즈(256)의 초점 길이는 150㎚(도시된 실시예의 경우)이고, 이것은 0.004의 조사 수치 애퍼쳐에 대응한다. 빔 스플리터(258)는 빔(257)을 측정 빔(259) 및 기준 빔(261)으로 분할한다. 측정 빔(259)은 미러(262)로부터 반사하고, 지표 입사각 α로 물체(252) 상에 충돌한다. 예컨대, 물체(252)는 포토리소그래피 시스템에 의해 조사될 포토레지스트가 피복된 웨이퍼가 될 것이다. 시스템(250)은 물체(252)의 표면에 대해 25×80mm의 시계를 갖는다.
물체(252)에 의해 반사된 광(263)은 광 스플리터(264)에 의해 수신된다. 측정 빔의 광학 경로는 보상기(260)를 포함하며, 이 보상기는 측정 빔에 의해 이동된 예컨대 유리 등의 조밀한 매질의 관통 거리를 수정하기 위해 및/또는 빔(259)의 측면 변위를 수정하기 위해 사용될 수 있다. 도 7을 참조하면, 빔(259, 263)은 X-Y 평면에 평행한 평면을 점유한다.
빔 스플리터(264)는 광(263)과 기준 빔(261)을 합성하여 빔(265)을 형성하 고, 이 빔(265)은 예컨대 픽셀 등의 복수의 검출기 원소를 갖는 이미지 검출기(276) 등의 검출기에 의해 검출된다. 텔레센트릭(telecentric) 광학장치(272, 274)와 같은 이미지 광학장치는 상이한 화소가 물체(252)의 상이한 지점에 대응하는 광을 검출하도록 검출기(275)에서의 빔(265)을 이미지화한다. 기준 빔(261)은 미러(276, 270) 및 보상기(268)를 포함하는 경로를 가능하게 하여, 보상기(260)와 동일한 기능을 할 수 있다.
시스템(250)은 빔 스플리터(258, 264) 사이의 빔(259)에 의해 이동된 광학 경로가 빔 스플리터(258, 264) 사이의 기준 빔(261)에 의해 이동된 광학 경로에 동일하도록 구성될 수 있다. 그러므로, 빔(259, 261) 간의 광 경로 차(OPD)는 무시할 수 있거나 제로가 될 것이다. 빔 간의 OPD가 검출된 광의 가간섭성 길이 미만일 때 간섭이 관측된다. 더욱이, 시스템(250)은 광 경로 차의 범위에 걸쳐 빔(259, 261)을 검출하도록 구성될 수 있다. 예컨대, 시스템(250)은 빔(259, 261) 중의 하나가 초기의 더 긴 광 경로를 이동하도록 구성될 수 있다. 시스템(250)은 그 후 예컨대 OPD가 제로에 도달할 때까지 또는 다른 빔이 더 긴 광 경로를 이동할 때까지 OPD를 스캔하기 위해 적어도 하나의 소자를 이동시킨다. 일부 실시예에서, 시스템(252)은 검출기(276)에 의해 검출된 광의 가간섭성 길이만큼 큰 양으로 변화하는 OPD 범위에 걸쳐 빔(259, 261)을 검출한다. 검출기(276)의 다른 검출기 요소는 OPD를 함수로 하여 간섭 신호를 기록한다. 간섭 신호는 엔벨로프에 의해 변조된 하나 이상의 간섭 패턴을 포함함으로써 간섭 신호(90)와 유사하게 될 수도 있다. 간섭 신호는 시스템(50)에 대하여 설명된 바와 같이 분석되어 물체의 공간적 성질, 예컨대 포토레지스트층의 외표면의 공간적 성질을 결정할 수 있다.
소스(254)가 연장된 소스이기 때문에, OPD가 기준 및 측정 빔(259, 261)을 가로지르는 위치를 함수로 하여 변화시켜 광학장치(272, 274)의 동공 평면(276')에 소스의 이미지를 형성하도록 시스템(250)을 오정렬(misalign)되게 할 수 있다. 이러한 오정렬에서, 기준 및 측정 레그의 광축은 평행하지만 공통으로 연장하지 않게 될 수도 있다. 즉, 평행하지만 측면에 배치될 수도 있다. 이에 따라 조사 번들의 측면 엇갈림이 발생한다. 약 50㎛의 XY 평면에서의 엇갈림은 프린지 컨트래스트(fringe contrast)를 50%로 감소시킬 수 있다. 이러한 프린지 컨트래스트의 손실을 감소 또는 방지하기 위해, 시스템(250)은 측면 어긋남을 10㎛ 또는 그 미만, 5㎛ 또는 그 미만, 혹은 2㎛ 또는 그 미만 내로 보정하기 위해 정렬될 수 있다.
컨트래스트 손실의 또 다른 소스는 그 전파 축을 중심으로 하는 빔(261)의 대응하는 회전없이 그 전파 축에 대한 빔(263)의 회전으로부터 발생할 수 있다. 빔(263)의 회전은 물체를 통과하는 축 U에 대한 물체의 회전으로부터 발생할 수 있다. 실제로, 측면 어긋남을 감소시키는 것을 목적으로 하는 장치가 이러한 회전을 제공할 수 있다. 축 U는 빔(259, 263)에 의해 정해진 평면을 점유한다. 축 V는 빔(259, 263)에 의해 정해진 평면에 수직을 이룬다. U 또는 V 축에 대해 물체(252)를 경사지게 함으로써, 동공(276')에서의 소스의 이미지가 측면으로 변위된다. 그러나, U 축에 대해 경사지게 함으로써, 빔(263)이 그 축에 대해 회전하게 된다.
도 4a 및 도 4b를 참조하여, 기준 레그 ○를 따라 통과하는 광에 의해 형성 된 소스(254)의 이미지와 지표 입사 간섭계(250)의 측정 레그 ◇를 따라 통과하는 광에 의해 형성된 소스(254)의 이미지의 상대적인 변위의 시뮬레이션을 설명할 것이다. 소스 이미지는 빔(261, 263)에 의해 텔레센트릭 광학장치(272, 274)의 동공(276')에 형성된다. 시뮬레이션은 0.004의 수치 애퍼쳐를 이용하여 80°의 입사각으로 물체 상에 입사하는 광에 대한 것이다. 도 4a에서, 기준 및 측정 레그에 대한 상은 U-축에 대한 0.5°의 물체 회전의 결과로 발생되는 왜곡을 보여준다. 측정 레그 ◇ 이미지는 기준 레그 이미지 ○에 대해 측면으로 0.44mm 만큼 시프트된다. 도 4b에서, 측정 레그 이미지의 강체 움직임은 빔(263)이 측면 변위 외에도 빔(261)에 대해 0.96°만큼 회전된다는 것을 예시하는 변위 벡터를 획득하기 위해 감소된다. 물체부터 반사된 이미지의 최대 수평 및 수직 왜곡은 약 ±21㎛이고, 이것은 0.44mm 시프트의 약 ±5%가 된다. 회전은 검출될 간섭 프린지의 피치와 배향에 있어서 ±5% 변동을 제공한다. 그러므로, U-축에 대해 경사진 물체에 의해 발생하는 회전은 검출기의 시계에 걸쳐 실질적인 컨트래스트 변동을 생성할 것이다. 시스템(250)은 예컨대 동일 평면 내에서의 시스템의 기준 및 측정 레그의 각각의 세그먼트의 광학 축을 제한함으로써 최소의 측면 및 회전 어긋남을 위해 정렬될 수 있다. 광학 디포커싱은 낮은 수치의 애퍼쳐 간섭계에 대한 프린지 컨트래스트(제1 근사치까지)에 영향을 주지 못한다.
도 5를 참조하면, 저 가간섭성 간섭계 시스템(300)은 지표 입사각으로 물체를 조사함으로써 물체의 공간적 성질을 결정한다. 시스템(200)은 측정 레그 및 기준 레그를 갖는 저 가간섭성 간섭계를 포함한다. 하술하는 바와 같이, 한 쌍의 광 학 플랫(flat)은 빔 스플리터 및 빔 합성기로서 동작한다. 기준 레그를 따라 이동하는 광은 광학 플랫 사이의 갭 내에서 이동한다. 측정 레그를 따라 이동하는 광은 갭에 재진입하기 전에 물체로부터 반사하도록 광학 플랫 사이의 갭을 벗어날 수 있다.
시스템(200)은 광대역이 되거나 및/또는 확장될 수 있는 소스(202)를 포함한다. 소스(202)는 빔(204)을 생성한다. 광학장치(206)는 빔(204)을 수신하고, 시준 빔(207)을 출력하며, 이 시준 빔은 공간적으로 이격된 한 쌍의 광학 플랫(208, 210)에 충돌한다. 빔(207)은 플랫(208)에 의해 굴절되어, 갭(233)을 통과하고, 위치 235'에서 플랫(210)에 충돌한다. 갭(233)은 플랫(208, 210) 중의 하나와는 상이한 굴절율을 갖는다. 일부 실시예에서, 갭(233)은 공기 등의 기체, 물 등의 액체를 포함하거나, 또는 진공 상태를 갖는다. 플랫(208, 210)은 유리 또는 용융 실리카 등의 어떠한 적합한 광학적 매질로도 구성될 수 있다.
빔(207)의 일부분(220)은 플랫(210)에 의해 위치 235'에서 반사된다. 빔(207)의 일부분(222)은 플랫(210)에 의해 위치 235'에서 반사되고, 지표 입사각 α으로 물체(30)에 충돌한다. 빔(222)은 물체(30)에 의해 반사되고, 플랫(210)에 충돌하여 굴절된다. 예컨대, 물체(30)는 포토리소그래피 시스템에 의해 조사될 포토레지스트를 갖는 웨이퍼가 될 것이다. 빔(220)과 물체(30)로부터 반사된 빔(222)은 플랫(210)의 위치 235'에서 합성하여 갭(233) 내에 합성된 빔(224)을 형성한다. 합성된 빔은 플랫(208)에 충돌하여 굴절된다. 이미지 광학장치(226, 228)는 합성된 빔을 검출기(230) 상에 이미지화하며, 이 검출기(230)로는 복수의 화소를 포함 하는 2-차원 이미지화 검출기가 가능하다. 검출기(230)의 각각의 화소는 물체(30)의 상이한 지점으로부터 반사된 광을 검출한다. 그러므로, 상이한 화소는 물체(30)의 상이한 부분의 공간적 성질에 민감한 간섭 신호를 검출할 수 있다.
시스템(200)은 기준 레그 및 측정 레그를 포함한다. 빔(220)은 위치 235'와 위치 235" 사이의 기준 광학 경로를 이동한다. 빔(222)은 위치 235'와 위치 235" 사이의 측정 광학 경로를 이동한다. 기준 및 측정 광학 경로 간의 OPD 차는 다양한 방식으로 변화될 수 있다. 일부 실시예에서, 시스템(200)은 갭(233)의 두께를 변화시키도록 구성된 압전 스페이서(237)를 포함한다. 스페이서(237)가 갭(233)을 변화시키기 때문에, 검출기(230)는 물체(30)의 복수의 지점을 포함한 이미지를 검출한다. OPD는 또한 플랫(208, 210)에 대해 물체(30)를 이동시킴으로써 스캔될 수 있다.
일부 실시예에서, OPD는 플랫(208, 210) 중의 적어도 하나, 예컨대 플랫(208) 내에 웨지(wedge)를 제공함으로써 달성된다. 이러한 웨지를 갖는 플랫의 상면 및 하면은 평행을 이루지 않는다. 웨지는 측정 빔(222)에 대하여 기준 빔(220)의 파면을 경사지게 한다. 따라서, 합성된 빔(224)은 검출기(230)에서 공간 간섭 패턴을 형성한다. 공간 간섭 패턴은 X-축에 평행한 지점의 라인에 걸쳐 표면(38)의 높이를 결정하도록 처리될 수 있다. 그러므로, 단일 검출기 획득에 있어서는, 시스템(200)은 물체(30)에 대한 공간 정보를 제공할 수 있다. 또한, 물체(30)는 공간 간섭 패턴의 피크 간섭 컨트래스트의 측면 위치를 모니터링함으로써 시스템(200)에 대해 절대적으로 위치될 수 있다.
OPD가 공간 간섭 패턴을 스캐닝함으로써 변화하거나 또는 공간 간섭 패턴을 형성하도록 변화되던 간에, 합성된 빔(224)은 적어도 검출된 광의 가간섭성 길이만큼 큰 OPD 범위에 걸쳐 검출될 수도 있다. 이에 따라서, 시스템(200)은 간섭 신호(90)에 대하여 설명한 바와 같은 광의 가간섭성 길이를 나타내는 엔벨로프에 의해 변조된 간섭 패턴을 검출할 수 있다. 시스템(200)으로 획득된 간섭 패턴은 본 명세서에서 설명된 바와 같이 분석되어 물체의 공간적인 성질을 결정한다.
광학 플랫(208, 210)은 시스템(200)으로 하여금 물체(30)의 요구된 시계, 예컨대 100mm×100mm로 이미지화하도록 하는 광학적 및 기계적 성질을 갖는다. 일부 실시예에서, 플랫은 용융 실리카로 형성되며, 적어도 2mm, 5mm 또는 10mm 두께를 갖는다.
광학 플랫(208, 210)의 표면은 각각의 플랫에 의해 반사 또는 굴절되는 광의 양을 결정하기 위해 수정될 수 있다. 예컨대, 광학 플랫(208, 210)의 일부분(230)은 반사된 광의 양에 비해 굴절된 광의 상대적인 양을 증가시키도록 구성된 반사방지 코팅으로 수정될 수 있다. 이 코팅은 소스(202)의 방출 스펙트럼에 부합하는 광대역 코팅이 가능하다. 광학 플랫의 일부분(235) 상에 입사하는 광은 모두 반사되고 굴절된다. 이에 따라서, 광학 플랫의 일부분(235)은 전혀 코팅되지 않거나, 또는 요구된 비율의 반사와 굴절을 획득하도록 코팅될 수 있다. 광학 플랫(208)의 일부분(231)은 예컨대 금속 또는 유전체와 같이 고반사성 코팅을 가질 수 있다.
본 명세서에서 설명된 지표 입사 간섭계는 간섭계에 대하여 물체의 절대 위치를 결정하기 위해 사용될 수 있다. 간섭계의 기준 및 측정 레그는 삼각측량 센 서로서 동작한다. 지표 입사 간섭계가 삼각측량 센서로서 동작하도록 하기 위해, 예컨대 슬릿(slit)과 같은 애퍼쳐가 물체 표면 상에 집속되도록 이러한 애퍼쳐가 조사 광학장치에 위치된다. 간섭계(101)(도 3)가 이러한 애퍼쳐(96)를 갖는 것으로 도시되어 있지만, 본 명세서에 설명된 어떠한 간섭계도 이와 같이 수정될 수 있다.
애퍼쳐는 현미경의 마당 조리개(field stop)로서 기능한다. 도 6a를 참조하면, 애퍼쳐의 제1 및 제2 이미지(200a, 201a)는 간섭계의 검출기 상에 이미지화된다. 이미지(200a)는 물체로부터 반사된 광에 대응하는 물체 이미지이다. 이미지(201a)는 간섭게의 기준 경로를 따라 통과하는 광에 대응하는 기준 이미지이다. 애퍼쳐는 충분히 작아서 이미지(200a, 201a)가 검출기의 시계의 일부부만을 점유한다. 물체는 스테이징 메카니즘, 예컨대 간섭계(101)의 병진이동 스테이지(119)에 의해 간섭계에 대해 위치되어, 그 법선을 따라 정확하게 배치될 수 있다.
이미지(200a, 201a)가 최초 기록될 때, 물체는 통상적으로 측정 및 기준 레그의 OPD가 제로가 되도록 위치되지 않는다. 이에 따라, 이미지(200a, 201a)는 도 6a에 도시된 바와 같이 서로 이격된다. 물체와 간섭계의 상대적인 위치는 그 법선에 따라 물체를 배치함으로써와 같이 수정된다. 물체 변위는 병진이동 스테이지의 움직임에 정밀하고 정확하게 기초하는 것으로 알려져 있다. 그 후, 제2 쌍의 이미지(200b(제2 투사 이미지), 201b(제2 기중 이미지))가 획득된다.
이미지(200a, 201a)(도 6a)를 포함하는 검출기 신호 및 이미지(200a, 200b)(도 6b)를 포함하는 검출기 신호는 2개의 검출기 신호에서 물체 이미지(200a, 200b) 의 위치를 상관시키도록 처리된다. 예컨대, 물체 이미지(200a, 200b)의 상대적인 위치는 검출기에서의 공간적인 유닛(예컨대, 다수의 화소)을 통해 결정될 수 있다. 물체 변위를 함수로 하는 물체 이미지 변위는 이미지(200a, 200b)의 상대적인 위치로부터 결정될 수 있다. 물체 이미지의 변위와 물체 변위 간의 관계가 결정될 때, 물체 이미지(200b)와 기준 이미지(201b) 간의 변위가 결정된다. 그리고나서, 물체는 이미지(200b, 201b) 간의 변위 및 물체 이미지 변위와 물체 변위 간의 관계에 기초하여 제로 OPD(물체 이미지와 기준 이미지가 중첩하는)의 위치로 병진 이동될 수 있다.
이들 단계의 결과로, 물체는 간섭 패턴의 프린지의 일부분보다 더 우수한 것으로 알려진 물체 표면의 절대 위치로 간섭계에 대해 위치될 수 있다. 지표 입사 간섭계는 슬릿을 제거함으로써 간섭 모드로 다시 전환될 수 있다. 물체 표면의 위치는 본 명세서에서 설명한 바와 같은 간섭 신호를 이용하여 개선될 수 있다. 물체 및 기준 이미지에 삼각측량은 물체 표면이 검출기 상에서 이미지화되기 때문에 물체 경사에 대해 민감하지 못하다.
도 7a 및 도 7b를 참조하면, 광학 시스템(400)은 기판과 기판 위의 층 간의 계면으로부터 확산 산란된 광을 이미지화하도록 구성된다. 확산 산란된 광을 이미지화함으로써, 광학 시스템은 물체의 외표면보다 계면의 공간 성질에 민감하게 된다. 시스템(400)은 포토리소그라피 시스템에 관련하여 박막을 갖는 기판을 위치시키도록 사용될 수 있다.
광학 시스템(400)은 광의 패턴(402)을 물체 상에 투사하는 구조 광 투사기 (structured light projector)(408)와, 패턴(402)을 검출기(420) 상에 이미지화하는 텔레센트릭 이미지화 시스템(406)을 포함한다. 이미지화 시스템(406)은 계면(36 또는 38)으로부터 전반사된 광(407)을 회피하는 각도로 솟아 있는 물체로부터의 광을 검출한다. 예컨대, 시스템(406)은 광(407)에 대하여 각도 α로 정렬된 광축에 따라 확산 산란된 광(409)을 검출할 것이다.
확산 산란은 기판(32)의 패터닝된 형상부(29)로부터 발생한다. 이러한 형상부는 광의 파장에 대하여 예컨대 1㎛ 또는 그 미만, 0.5㎛ 또는 그 미만 정도로 소형으로 될 수 있다. 층(34)의 상표면(39)은 그러나 매끄럽게 되는 경향이 있다. 이에 따라, 확산 산란은 기판-층 계면(36)에 대하여 국소화될 수 있다. 물체 상표면(39) 및 물체 내부의 각각의 개별적인 계면, 예컨대 계면(36)은 이미지화 시스템의 진입 동공으로부터 떨어진 입사각에서 전반사 방향으로 조사 광을 반사한다. 그러므로, 확산 산란된 광(409)은 검출된 광의 주도적인 성분이 되고, 시스템(350)은 검출된 확산 산란된 광에 기초하여 기판-층 계면을 나타내는 공간 정보를 제공할 수 있다.
도시된 실시예에서, 구조된 광 투사기(404)는 광 빔(411)을 방출하는 소스(408)를 포함하는 미켈슨 간섭계이며, 이 광 빔(411)은 광학장치(410), 예컨대 소스(408)로부터 초점 거리에 위치된 렌즈에 의해 시준된다. 일부 실시에에서, 소스(408)는 발광 다이오드와 같이 광대역의 것이 되거나 및/또는 공간적으로 확장된다. 소스(408)는 협대역의 것이 되거나, 또는 하술되는 바와 같이 협대역과 광대역 사이에서 전환 가능한 것이 될 수도 있다. 빔 스플리터(415)는 광 빔(411)을 제1 부분과 제2 부분으로 분할하고, 이 제1 부분과 제2 부분은 각각 미러 412와 미러 414로부터 반사한다. 빔 스플리터(415)는 제1 및 제2 부분의 적어도 일부의 광을 재합성하여 합성된 빔(417)을 형성한다.
텔레센트릭 광학 릴레이(416)는 합성된 빔(417)을 지표 입사각 α로 하여 물체에 중계한다. 합성된 빔(417)의 제1 및 제2 부분은 물체(도 11b)에 걸쳐 상이하게 되는 OPD로 물체에 충돌한다. 그러므로, 합성된 빔의 제1 및 제2 부분은 웨이퍼 표면(36)에서 간섭하여 간섭 프린지, 예컨대 패턴(402)을 형성한다. 소스(408)가 광대역의 것이 되거나 및/또는 공간적으로 확장되는 경우에도 합성된 빔(417)의 제1 및 제2 부분이 빔 스플리터(415)와 물체 사이에서 동일한 광학 길이를 가질 수 있기 때문에 간섭 프린지는 관측될 수 있다. 소스가 일부 레벨의 부간섭성(incoherence)을 나타낼 수 있기 때문에, 패턴(402) 및 검출된 이미지는 가간섭성 아티팩트(얼룩)를 방지한다. 구조된 광 투사기(404)가 간섭 프린지에 기초한 패턴을 형성하더라도, 투사기는 패턴의 특징을 형성하기 위한 간섭에 의존하지 않고 이미지를 투사함으로써 패턴을 형성할 수도 있다.
도 7a 및 도 7b를 참조하면, 패턴화된 광 투사기에 의해 형성된 프린지는 x-축에 평행하게 연장하고, 물체의 y-축을 따라 이격된다. 프린지로부터의 확산 산란된 광(409)은 검출기(420) 상에 이미지화되며, 이 검출기(420)로는 CCD와 같은 2-차원 검출기가 가능하다. 검출된 프린지에 기초하여 물체의 공간적 성질을 결정하기 위해 여러 가지의 방식이 이용될 수 있다.
공간적인 캐리어 방식에서, 검출기는 복수의 병렬 프린지, 예컨대 검출기의 전체 시계에 걸쳐 투사되는 프린지(402)를 검출하도록 구성된다. 높이 변동(기판의 계단 또는 표면 불연속성 등)은 프린지의 위상을 시프트할 것이다. 도 7b를 참조하면, 프린지들 간의 이격 정도 △s는 빔(417)의 파장 및 입사각 α의 함수이다. 물체 및 빔(417)이 서로에 대해 고정되는 경우에도, 입사각 α는 표면 지형을 함수로 하여 변화할 것이다. 특히, 프린지 이격 정도 △s는 입사각 α를 감소 또는 증가시키기 위해 각각 빔(417)을 향해 경사지거나 빔(417)으로부터 떨어져 있는 계면(36)의 일부분에 대하여 감소 또는 증가할 것이다. 그러므로, 물체의 공간적인 성질은 패턴(402)의 단일 이미지로부터도 결정될 수 있다.
공간-캐리어 실시예에서, 패턴(402)의 하나 이상의 검출기 이미지가 획득된다. 표면의 검출된 부분의 공간적인 성질, 예컨대 기판의 하나 이상의 지점의 높이는 프린지(402)에 기초하여, 예컨대 이격 정도 △s에 기초하여 결정된다. 검출된 이미지는 예컨대 이미지의 변형을 통한 FDA를 이용하여 또는 이미지 자체로부터 직접 분석될 수 있다. 예컨대, 이격 정도 △s는 검출된 프린지로부터 직접 결정될 수 있고, 물체의 지형에 관련될 수 있다.
위상 시프트 방식에서, 패턴(402)이 물체를 가로질러 시프트되는 동안 패턴의 복수의 검출기 노출치가 기록된다. 패턴은 예컨대 합성된 빔의 제1 및 제2 부분 중의 하나의 광학 경로 길를 수정함으로써 시프트될 수 있다. 예컨대, 미러(412, 414) 중의 하나는 압전 변환기를 이용하여 병진 이동되거나 경사지게 될 수 있다. 기판의 다수의 지점의 각각으로부터 검출된 광의 위상은 기판의 지형을 나타낸다.
투사된 패턴(402)에 기초한 계면(36)의 공간 성질을 결정하는데 적합한 일례의 방식은 1993년에 D. Robinson, G. Reid Eds에 의해 발간되어 IOP 출판사에서 발간한 "Interferogram analysis: digital fringe pattern measurement techniques"에 개시되어 있으며, 상기 저서의 전체 내용은 본 명세서에 참조되어 있다.
일부 실시예에서, 시스템(350)은 광대역의 것이거나 및/또는 공간적으로 확장되는 소스와 함께 동작된다. 이 경우에, 시간적 및 공간적 가간섭성 엔벨로프는 패턴(402)의 프린지의 진폭을 변조한다. 엔벨로프는 검출기(406)의 시계 내에서도 프린지를 균등하게 변조한다. 이에 따라, 검출기(420)는 복수의 병렬 프린지를 이미지화하며, 이 프린지의 각각은 x-축에 평행하게 연장하고, 인접 프린지와는 상이한 강도를 갖는다. 엔벨로프의 최대치는 합성된 빔의 제1 및 제2 부분 간의 제로 OPD의 위치에 일치한다. 검출된 프린지에 대한 엔벨로프의 최대치의 위치는 물체의 절대 위치와 배향을 나타낸다. 이에 따라, 엔벨로프의 최대치는 시스템(350)에 대해 물체를 절대적으로 국소화하기 위해 사용될 수 있다.
일부 실시예에서, 시스템(400)은 물체(30)에 인접하여 위치될 수 있고 대략적으로 표면(35 또는 39)과 동일한 높이를 가질 수 있는 기준 표면(427)을 포함한다. 물체(30)와 기준 표면(427)은 병진이동 스테이지(119)에 고정될 수도 있다. 기준 표면은 광을 확산 산란하도록 구성될 수도 있다. 예컨대, 기준 표면으로는 에칭되거나 또는 광택 제거된 유리 표면이 가능하다. 기준 표면과 함께 사용함에 있어서, 시스템(350)은 통상적으로 변조 엔벨로프를 제공하기 위해 광대역 소스와 함께 동작된다. 기준 표면(427)은 패턴이 기준 표면 상에 투사되고 검출기에 의해 이미지화되도록 위치된다. 스테이지(119)(및 기준 표면(427))는 엔벨로프 최대치가 관측된 프린지 패턴과의 소정 관계를 갖도록 위치된다. 즉, 스테이지가 예컨대 중앙에 위치된다. 그리고나서, 물체(30)는 검출기의 시계 내로 병진 이동된다. 프린지의 나머지에 대한 엔벨로프 최대치의 위치에 있어서의 변경은 기준과 물체(30) 간의 높이차를 나타낸다. 스테이지는 엔벨로프 최대치가 다시 한 번 관측된 프린지 패턴과 소정 관계에 있도록 이동될 수 있다. 그러므로, 물체는 기준 표면에 대해 절대적으로 위치될 수 있다.
일부 실시예에서, 시스템(400)은 공간적으로 광대역 소스와 협대역 소스 사이에서 전환될 수 있다. 광대역 소스는 검출기의 시계 내에서 균등하게 변화하는 진폭 변조된 간섭 패턴을 생성하는 과정에 대한 전술한 설명에서 설명한 바와 같이 동작한다. 물체는 제 OPD의 위치가 물체의 소정 부분과 일치하도록 간섭 패턴의 최대치의 위치에 기초하여 위치된다. 물체가 그와 같이 위치된 후, 시스템(400)은 프린지가 검출기의 시계 내에서 반드시 복조되지는 않는 충분히 긴 가간섭성 길이를 갖는 협대역의 소스로 스위칭한다. 프린지의 성질이 분석되어 물체의 공간 성질이 결정된다. 시스템은 협대역 광과 광대역 광 사이에서 빔(411)을 전환하기 위해 공간 필터를 사용할 수 있다.
도 8a 및 도 8b를 참조하면, 간섭 시스템(50)은 어떠한 상위층이 결여되어 있는 물체 또는 복수의 이러한 층을 포함하는 물체와 같은 물체(30) 및 다른 물체로부터 저 가간섭성 간섭 신호를 획득할 수 있다. 시스템(50)은 물체의 복수의 지점을 제1 차원로 연장하는 조사 스트립으로 조사하고, 각각의 지점으로 발생된 간 섭 패턴을 검출한다. 간섭 패턴은 검출기의 제1 차원을 따라 연장하고, 검출기의 제2 차원을 따라 이격되어 있다. 시스템(50)은 따라서 광학 경로 길이 차를 수정하는 어떠한 요소를 이동시키지 않고서도 간섭 패턴을 획득할 수 있다. 반드시 지표 입사 모드로 동작하지는 않지만, 시스템(500)은 다른 처리 단계에 놓여져 있는 물체에 대한 복수의 물체 지점으로부터 간섭 신호를 신속하게 획득한다.
소스, 예컨대 광원(52)이 X-축에 평행한 방향으로 신장된 광의 빔(54)을 방출한다. 소스(52)는 소스의 통상적인 파장의 적어도 5%, 10%, 15% 또는 20%인 반치전폭(FWHM) 대역폭을 갖는 광대역의 소스일 것이다. 일부 실시예에서, 소스(52)는 약 300mm와 1000mm 사이, 예컨대 약 500mm와 600mm 사이의 정상 파장을 갖는다.
빔(54)은 X-축과 빔(54) 양자에 대해 평행한 길이 방향의 주축과 Y-축에 대하여 평행한 길이 방향의 부축을 갖는 원통형 렌즈 L1에 의해 수신된다. 렌즈 L1는 X-Y 평면 내의 빔(54)을 시준하고, 시준된 빔(55)을 빔 스플리터 B1에 전송하며, 이 빔 스플리터는 시준된 빔(55)의 제1 부분을 원통형 렌즈 L2에 반사하고, 시준된 빔(55)의 제2 부분을 원통형 렌즈 L3에 전송한다. 빔 스플리터 B1은 통상적으로 편광화되지 않는다. 렌즈 L2는 X-축에 평행한 길이 방향의 주축과, Z-축에 평행한 길이 방향의 부축을 갖는다. 렌즈 L3는 X-축에 평행한 길이 방향의 주축과, Y-축에 평행한 길이 방향의 부축을 갖는다.
도 4를 참조하면, 렌즈 L2는 빔 스플리터 B1으로부터 수신된 시준 빔의 반사된 부분을 물체(30) 상에 집속하여 X-축에 평행하게 물체 초점, 예컨대 조사 라인 (67)을 신장시킨다. 그 주축에 수직을 이루는(예컨대, Y-축에 평행한) 차원에 대한 그 주축에 따라 취해진(예컨대, X-축에 평행한) 조사 라인(67)의 차원의 비율은 적어도 5, 10, 25, 50 또는 100이다. 조사 라인(67)의 이러한 차원은 최대 조사 강도의 25%에 대응하는 위치로부터 결정될 수도 있다. 조사 라인(67)은 전반적으로 균일하거나 또는 그 길이를 따라 약하게 변화하는 강도를 갖고, 물체(30)의 복수의 지점을 조명한다. 이 지점들은 물체(30)의 조사 차원, 예컨대 X-축에 따라 이결되는 것으로 간주될 수도 있다.
도 3a를 참조하면, 물체(30)에 의해 반사된 광, 예컨대 계면(36) 및/또는 표면(39)으로부터 반사된 광은 렌즈 L2에 의해 수신되고, 이 L2 렌즈는 반사된 광을 Y-Z 평면으로 시준하고, 시준된 광을 빔 스플리터 B1에 전송하며, 이 빔 스플리터 B1은 그 광의 일부분을 통상적으로 편광을 발생하지 않는 빔 스플리터 B2에 전송한다. 빔 스플리터 B1을 통과하는 광은 물체(30)로부터 반사하며, 빔 스플리터 B2를 통과하여 측정 광 경로를 이동한다.
B1에 의해 전송된 시준 빔의 제2 부분은 렌즈 L3에 의해 수신되고, 이 렌즈 L3는 수렴 빔을 90°루프 미러(62)에 전송한다. 수렴 빔은 초점 f1에 도달하여, 통상적으로 신장되고, X-축에 평행하게 연장한다. 루프 미러(62)는 수렴 빔을 원통형 렌즈 L4에 전송하고, 이 원통형 렌즈 L4는 X-축으로 정렬된 길이 방향의 주축과 Y-축으로 정렬된 길이 방향의 부축을 갖는다. 렌즈 L4는 X-Y 평면으로 시준된 빔을 빔 스플리터 B2에 전송한다. 렌즈 L2, L3 및 L4는 동일한 광학 성질, 예컨대 초점 길이를 가질 수도 있다. 시스템(50)의 렌즈 및 다른 광학장치는 색수차가 없 는 것일 수도 있다. 빔 스플리터 B1을 통과하여 루프 미러(62)를 통해 빔 스플리터 B2로 향하는 광은 기준 광 경로를 이동한다. 측정 광 경로는 시스템(50)의 간섭계(89)의 측정 레그를 형성한다. 기준 광 경로는 시스템(50)의 간섭계(89)의 기준 레그를 형성한다.
빔 스플리터 B2는 간섭계(89)의 측정 및 기준 레그로부터의 광을 합성하여 합성 빔(59)을 전송한다. 측정 및 기준 레그 양자는 합성 빔(59)이 Y-Z 평면으로 시준되도록 한다. 빔(59)은 X-Z 평면으로 발산될 수도 있다. 원통형 렌즈 L5는 합성 빔(59)을 수신하고, 광을 검출기 상에 집속하며, 이 검출기는 통상적으로 Y-축을 따라 연장하여 행으로 배열되고 X-축을 따라 연장하여 열로 배열되는 복수의 화소(73)를 포함하는 2 차원 검출기(71)이다. 상이한 열은 상이한 Y-좌표를 갖는다. 상이한 행은 상이한 X-좌표를 갖는다. 검출기(71)로는 전하 결합 소자(CCD) 또는 다른 이미지화 검출기가 가능하다. 렌즈 L5는 Y-축으로 정렬된 길이 방향의 주축과 Z-축으로 정렬된 길이 방향의 부축을 갖는다. 이에 따라, 렌즈 L5는 Y-Z 평면에서 보다는 X-Z 평면에서 실질적으로 더 많은 포커싱 파워를 갖는다. 즉, 렌즈 L5는 Y-Z 평면에서는 포커싱 파워를 거의 갖지 않을 수도 있다.
도 4를 참조하면, 간섭계(50)는 측정 및 기준 레그로부터의 광을 검출기(71) 상에 이미지화한다. 물체(30)의 각각의 조사된 지점으로부터 반사된 광은 신장된 초점, 예컨대 검출 라인으로서 이미지화된다. 예컨대, 조사된 지점(81a)으로부터의 광은 검출기(71)의 화소 행(83)을 따라 대응하는 신장된 초점(81b)에 이미지화되고, 조사된 지점(85a)으로부터의 광은 검출기(71)의 화소 행(87)을 따라 대응하 는 신장된 초점(85b)에 이미지화된다. 기준 레그의 초점 f1으로부터의 광은 기준 초점(99)으로서 이미지화되고, 이 기준 초점(99)은 물체(30)의 조사된 지점에 대응하는 신장된 초점에 중첩한다.
그 주축에 수직한(예컨대, X-축에 평행한) 차원에 대한 그 주축을 따라 취해진(예컨대, Y-축에 평행한) 각각의 신장된 초점(81b, 85b)의 차원의 비율은 적어도 5, 10, 25, 50 또는 100이 될 수 있다. 도 4에 도시된 바와 같이, 물체(30)의 조사 차원을 따라 이격된 지점에 대응하는 신장된 초점은 검출기(71)의 제1 검출 차원에 따라 이격되어 있다. 예컨대, 이격 지점(81a, 85a)에 대응하는 신장된 초점(81b, 85b)은 상이한 행의 화소(73)에 이미지화되고, 검출기(71)의 X-축을 따라 이격된다. 각각의 신장된 초점의 주축은 제1 검출 차원에 일반적으로 수직을 이루는 제2 검출 차원을 따라 연장한다. 즉, 신장된 초점(81b, 85b)은 복수 열의 화소(73)에 걸쳐 연장하고, 검출기(71)의 Y-축을 따라 연장한다. 이에 따라, 간섭계(50)는 2-차원 이미지와 같은 물체의 조사 차원을 따라 연장하는 복수의 지점으로부터 반사된 광을 이미지화할 수 있다. 조사 차원을 따라 이격된 조사된 지점은 이미지의 제1 차원을 따라 이격되고 이미지의 제2 차원을 따라 연장하는 신장된 초점으로서 이미지화된다.
다시 도 3a를 참조하면, 간섭계(89)의 기준 및 측정 레그는 렌즈 L1 내지 L5가 간섭계(89)의 광학 경로에 대하여 중심이 맞추어질 때에 통상적으로 동일한 경로 길이를 갖도록 구성될 수도 있다. 동일한 광학 길이 상태에서, 신장된 초점(81b, 85b)과 기준 초점(99) 간의 OPD는 검출기(71)(도 4)의 행을 따라 일정하게 될 수도 있다. 1 이상의 굴절율을 갖는 광학 매질, 예컨대 실리카 또는 유리판(97)은, 2개의 간섭계 레그의 이러한 매질에서의 광학 경로를 일치시키기 위해, 빔 스플리터 B1, B2와 렌즈 L3, L4 사이의 기준 광 경로를 따라 위치될 수 있다.
일부 실시예에서, 간섭계(98)의 측정 및 기준 레그 간의 OPD는 기준 광 경로에 수직한 양 △d 만큼 렌즈 L4를 병진 이동시킴으로써, 예컨대 렌즈 L4를 Z-축(도 4)에 평행하게 병진 이동시킴으로써 생성된다. 기준 광 경로에 수직한 광학장치, 예컨대 렌즈 L4의 병진 이동은 기준 레그를 따라 통과하는 빔이 이러한 병진 이동의 부재시에 기준 레그를 따라 통과하는 빔에 대하여 각도 θ만큼 이탈하도록, 즉 경사지도록 한다. 즉, 기준 빔은 실제 기준 광 경로에 대하여 이탈할 수도 있을 것이다. 각도 θ의 이탈은 단일 평면, 예컨대 X-Y 평면으로 제한될 수도 있다.
기준 빔(reference beam)의 각 편차는 각각의 연장된 포커스(elongated focus)(81b, 85b)와 기준 포커스(99) 사이의 OPD 편차(variation)를 생성한다. 특히, 측정 광학 경로와 기준 광학 경로 사이의 OPD는 검출기 상의 각각의 연장된 포커스의 주축, 예컨대 포커스(81b, 85b)를 따라 변화한다. 예컨대, 검출기(71)의 행(column)(91)에 이미징되는 광에 대한 측정 광학 경로와 기준 광학 경로 사이의 OPD는 행(93)에 이미징되는 광에 대한 OPD와 다르다(도 4). 따라서, 도시된 실시예에서, 측정 광학 경로와 기준 광학 경로 사이의 OPD는 검출기(71)의 Y-좌표의 함수로서 변화한다. 어떤 실시예에서, OPD는 Y좌표의 선형 함수이다. 예컨대, OPD는 광이 이미징되는 검출기(71)의 행의 선형 함수이다. 예컨대, 도 4를 참조하면, OPD는 검출기(71)의 열을 따라, 따라서 연장된 포커스(81b, 85b)의 주 디멘션 (major dimension)을 따라, 예컨대 선형적으로 변화한다. 물체(30)의 각각의 조명된 점에 대응하는 연장된 포커스의 주축이 일반적으로 검출기(71)의 열을 가로질러 연장되기 때문에, 각각의 픽셀 열은 간섭 신호를 기록하고, 이 간섭 신호는 하나 이상의 간섭 패턴을 포함한다. 일반적으로, 간섭 신호의 각각의 간섭 패턴은 물체의 특정 인터페이스로부터 기인한다. 주어진 열을 따라 이어지는 각각의 행 내의 검출기 픽셀은 서로 다른 OPD에 대응한다. 따라서, 하나의 검출기 디멘션은 한 라인의 물체 위치에 대응하고, 다른 디멘션은 각각의 물체 위치에 의해 생성되는 간섭 패턴의 복수의 위상-시프트된 샘플을 제공한다. 물체를 Y방향으로 스캐닝하는 것은 물체 표면 전부를 순차적으로 프로파일(profiling) 할 수 있게 한다.
도 2로 돌아가서, 간섭 신호(90)는 검출기(71)의 열을 따라, 예컨대 물체(30)의 점(81a)에 대해 검출기 열(83)을 따라 있는 픽셀에 의해 검출되는 광의 강도 변동에 대한 예시이다. 열을 따라 검출되는 광의 OPD 차이는 최소한 검출된 광의 코히어런스 길이 만큼 변화한다. 예컨대, 도시된 바와 같이, 하나 이상의 열의 픽셀을 따라 검출되는 광의 경로 차이의 범위는, 하나 이상의 검출된 간섭 패턴 각각을 변조하는 엔벌롭의 완전한 폭(full width)보다 클 수 있다. 간섭 신호의 저 코히어런스 특성 때문에, 점(81a)의 위치 및/또는 높이는 시스템(50)에 관해 모호함 없이 정해질 수 있다.
시스템(50)이 얻은 간섭 신호는 서로 다른 물체 점(object points)에 대해 공간적으로 퍼지기 때문에, 검출기의 단일 노출은 물체 표면에서의 점들로 된 선 전체를 프로파일링 할 수 있게 한다. 일단 물체(30)가 조명 라인(67)에 대해 초기 포커스에 들어가면, 물체(30)의 제1 디멘션을 따라 서로 떨어져 있는 복수의 점들 각각에 대한 간섭 패턴을 시스템(50)의 어떤 부분도 이동시키지 않고 획득할 수 있다. 따라서, 어떤 실시예에서, 간섭계(89)는 이동하는 부분을 갖지 않거나, 서로 떨어져 있는 복수의 물체 점 각각으로부터의 간섭 패턴을 획득하는 동안에는 어떤 부분도 이동시키지 않으며, 단단한(rigid) 또는 고정된(fixed) 어셈블리로서 제조될 수 있다.
물체(30)에서 조명 각도의 범위는 간섭계(89)의 광학기의 포커스 길이를 증가시킴으로써, 마음대로 작게 만들 수 있다. 따라서, 입사각에 관련되는 물체 표면의 광학적 특징의 변동은 효율적으로 감소되거나 제어된다. 각각의 검출된 간섭 패턴은, 만일 테스트 중인 광학 경로 또는 기준 레그(reference leg)가 연속적으로 스캐닝 된다면 단일 검출기 픽셀에서 검출될 신호와 동일하다. 상술한 바와 같이, 측정 빔과 기준 빔 사이의 OPD는 광학기, 예컨대 기준 빔의 광학 경로에 대한 렌즈(L4)를 중심에서 분산시킴(decentering)으로써 얻어질 수 있다. 어떤 실시예에서, 광학 경로 차이를 얻는 단계는 기준 빔에 각 편차를 도입하기 위해 루프 미러(roof mirror)(62)를 조정하는 단계를 포함한다. 어떤 실시예에서, OPD를 얻는 단계는 X축에 대해 빔 분열기(B2)를 회전시키거나 기울(tilt)이는 단계를 포함한다. 어떤 실시예에서, 도 5a 및 5b를 참조하면, 시스템(50)은, 측정 빔에 대한 기준 빔의 파면 역전(wavefront inversion)을 감소시키거나 소멸시키도록 구성된다. 파면 역전은, 간섭계의 각각의 레그 내에 또는 복수의 경우라도 동일한 개수의 반사를 가짐으로써 감소하거나 소멸될 수 있다. 도 5a에서 볼 수 있는 것과 같이, 루프 프리 즘(root prizm)(62)에 의해 도입된 파면 역전은 반사되는 빔(77a, 77b)의 역전으로 증명된다. 도 5b에서 볼 수 있듯이, 3개의 미러 반사기(mirror relector)(62b)는 빔(77c, 77d)의 경로로 증명되듯이 파면 역전을 도입하지 않는다. 파면 역전을 줄임으로써 간섭계(89)의 정확성을 향상시킬 수 있다.
도 3a를 다시 참조하면, 소스(52)는 X 축에 대체적으로 평행하게 연장되는 주 디멘션(main dimension)을 갖는 슬릿(57)을 포함할 수 있다. Y방향에서 슬릿(57)의 돌출된 폭(projected width)이 시스템(50)의 외측 해상도(lateral resolution)를 규정하는 반면, X축으로의 렌즈(L5)의 수치 조리개(numerical aperture)는 X 방향으로의 외측 해상도를 규정한다. 슬릿(57)은, 예컨대 기계적인 조리개(mechanical aperture) 또는 선형 파이버 어레이에 의해 규정된다. 어떤 실시예에서, 소스(52)는 XZ 평면에서 조명 빔의 발산(divergence)을 제한하기 위해서 공간 필터(spatial filter)를 포함한다. 예시적인 공간 필터는 Z축에 대체적으로 평행하게 연장되는 주축을 갖는 슬릿(61) 및 슬릿(57) 위에 람베르시안 방출기(Lambertian emitter)(70)를 이미징하는 텔레센트릭 렌즈(telecentric lenses)(80a, 80b)를 포함한다.
조명 라인(illumination line)(67)이 일반적으로 균일한 강도(uniform intensity)를 가지고 있을지라도, 어떤 실시예에서는, 이 라인은 균일하지 않을 수 있다. 예컨대, 광원(52)은 연장된 어레이, 예컨대 슬릿내에 정렬된 복수의 광학 파이버의 단부(ends)들을 포함할 수 있다. 렌즈(L1, L2)는 연장된 어레이로부터 방출된 광을, 균일하지 않은 강도를 갖는 광을 가지고 물체(30)에 이미징한다.
프로세서(Processors)
상술한 컴퓨터 분석 방법은 하드웨어나 소프트웨어, 또는 양자를 조합하여 구현될 수 있다. 상기 방법은 여기에 개시되는 방법 및 도면에 따른 표준 프로그램 기법을 사용하여 컴퓨터 프로그램 내에 구현될 수 있다. 프로그램 코드는 입력 데이터에 적용되어 여기에 개시되는 기능들을 수행하고 출력 정보를 생성한다. 출력 정보는 디스플레이 모니터와 같은 하나 이상의 출력 디바이스에 적용된다. 각각의 프로그램은 높은 수준의 절차적 프로그래밍 언어(procedural programming language) 또는 객체 지향 프로그래밍 언어로 구현되어 컴퓨터 시스템과 통신할 수 있다. 그러나, 원한다면, 프로그램들은 어셈블리어 또는 기계어로 구현될 수 있다. 어떤 경우든, 언어는 컴파일 언어이거나 인터프리터 언어일 수 있다. 또한, 프로그램은 그 목적을 위해 미리 프로그래밍된 전용의 통합 회로에서 수행될 수 있다.
이러한 컴퓨터 프로그램 각각은 바람직하게는 저장 매체 또는, 범용 또는 전용 프로그래머블 컴퓨터에 의해 읽을 있는 디바이스, 예컨대 ROM 또는 자기 디스켓 상에 저장된다. 여기서 저장 매체 또는 디바이스가 여기에 기술된 처리 절차를 수행하기 위해 컴퓨터에 의해 읽힐 때에 컴퓨터를 구성하거나 작동하기 위한 목적을 갖는다. 또한 컴퓨터 프로그램은 프로그램을 실행하는 동안 캐쉬 메모리 또는 주 메모리 내에 자리잡는다. 분석 방법은 또한 컴퓨터가 읽을 수 있는 컴퓨터 프로그 램으로 구성된 매체로서 구현될 수 있다. 여기서 이렇게 구성된 저장 매체는 컴퓨터로 하여금 여기에 기술된 기능을 수행하기 위해 특정된, 미리 정해진 방법으로 작동하도록 한다.
어플리케이션의 예(Exemplary Applications)
상술한 저 코히어런스 간섭계 방법 및 시스템은 아래의 표면 분석 문제(surface analysis problems) 중 어느 것에 대해서라도 사용될 수 있다 : 간단한 박막 필름; 다중층 박막필름; 회절시키거나 그렇지 않으면 복잡한 간섭 효과를 생성하는 표면 지형(feature) 및 샤프 에지; 미해결의 표면 러프(unresolved surface roughness); 미해결의 표면 지형(feature), 예컨대, 매끈한 표면 상의 서브-파장 폭(sub-wavelength width)의 홈; 서로 다른 재료들; 표면의 편광-의존적 특성; 및 표면의 움직임, 편향, 진동 또는 입사각에 의존적인 간섭 현상의 섭동(perturbations)으로 귀결되는 변형 가능한 표면 지형. 박막 필름의 경우, 관심의 대상이 되는 변수 파라미터는 필름의 두께, 필름의 굴절률, 기판의 굴절률, 또는 그것들의 어떤 조합일 수 있다. 서로 다른 재료의 경우에 있어서, 예컨대 표면은 박막 필름과 고체 금속(solid metal)의 조합을 포함할 수 있고, 각도-의존적 표면 특성은, 필름 또는 상응하는 간섭 강도 신호(interference intensity signal)에 대한 일치에 의한 고체 금속을 자동적으로 식별하기 위해 두 개의 표면 구조 타입 모두를 포함하는 이론적인 예측을 만들 수 있다. 이러한 특징을 나타내는 디바이스 및 물체를 포함하는 예시적인 어플리케이션은 다음에 설명한다.
포토리소그래피 (Photolithography)
많은 마이크로 전자 어플리케이션에서, 포토리소그래피는 기판, 예컨대 실리콘 웨이퍼와 같은 것을 덮는 포토레지스트 층의 패턴을 만드는데 사용된다. 물체(30)에 관하여 보면, 기판(32)은 웨이퍼 및 얇은 포토레지스트를 갖는 층(34)에 대응할 수 있다. 인터페이스(38)는 포토레지스트의 상부 표면에 대응하고 인터페이스(36)는 웨이퍼-포토레지스트 인터페이스에 대응한다. 기판의 표면(35)은, 패턴을 갖는, 변화하는 지형(topography) 및/또는 포토레지스트의 아래에 있는 조성을 갖는, 복수의 지형(features)을 가질 수 있다. 따라서, 물체는 포토레지스트의 외부 표면 아래에 있는 복수의 인터페이스를 나타낼(exhibit) 수 있다.
포토리소그래피 장치는 패턴을 물체 위에 이미징한다. 예컨대, 패턴은 전자회로의 구성요소(또는 회로의 원판(negative))에 대응할 수 있다. 이미징 후에, 포토레지스트의 일부분은 제거되면서, 제거된 포토레지스트 아래에 있는 기판을 드러낸다. 드러난 기판은 에칭되고, 침전된 재료로 덮히고, 또는 그렇지 않으면 변형될 수 있다. 남아 있는 포토레지스트는 이러한 변형(modification)으로부터 기판의 다른 부분들을 보호한다.
제조 효율을 증가시키기 위해, 종종 한 개 이상의 디바이스가 단일 웨이퍼로부터 준비된다. 이 디바이스들은 서로 같거나 다를 수 있다. 각각의 디바이스는, 웨이퍼의 서브세트가 패턴을 가지고 이미징 될 것을 필요로한다. 어떤 경우에, 패턴은 순차적으로 서로 다른 서브세트 상에 이미징된다. 여러 가지 이유 때문에 순차적인 이미징이 수행될 수 있다. 광수차(optical aberrations)는 웨이퍼의 넓은 면적에 걸쳐 적당한 패턴 포커스 품질을 달성하는 것을 막을 수 있다. 광수차가 존재하지 않는 경우라도, 웨이퍼 및 포토레지스트의 공간 특성은 웨이퍼의 넓은 영역에 걸쳐 적절한 패턴 포커스를 이루를 것을 막을 수 있다. 웨이퍼/레지스트의 공간적 특성과 포커스 품질 사이의 관계의 양상은 다음에 설명한다.
도 1b를 다시 참조하면, N개의 서브세트(40i)를 갖는 물체(30)가 도시되어 있고, 서브세트 각각은 물체가 이미징 될 전체 영역(41)보다 작다. 각각의 서브세트(40i)에서, 공간적 특성 변동, 예컨대 웨이퍼 또는 포토레지스트의 높이 및 기울기 변동은 일반적으로 전체 영역(41)에 걸쳐 따져보았을 때보다 일반적으로 더 작다. 그럼에도 불구하고, 서로 다른 서브세트(40i)의 포토레지스트 또는 웨이퍼는 일반적으로 서로 다른 높이와 기울기를 갖는다. 예컨대, 층(34)은 두께(Δt1) 및 두께(Δt2)를 갖고, 이 두께들은 표면(39)의 높이 및 기울기를 변화시킨다(도 1). 따라서, 물체의 서브세트 각각은 포토리소그래피 이미저(photolithography imager)와 서로 다른 공간 관계를 가질 수 있다. 포커스의 품질은 공간적 관계, 예컨대 물체와 포토리소그래피 이미저(photolithography imager) 사이의 거리에 관계된다. 물체의 서로 다른 서브 세트를 적절할 포커스로 하는 것은 물체와 이미저의 상대적 인 재배치를 필요로 한다. 물체의 높이와 경사의 변동 때문에, 이미징된 서브세트, 예컨대 물체의 측면(43)에서 멀리 떨어진 물체의 일부분에 대한 물체의 위치 및 방향을 결정하는 것 만으로는 적절한 서브세트 포커스를 얻을 수 없다.
이미징되어야 할(또는 다르게 처리되어야 할) 서브세트 내의 물체의 공간적인 특징을 결정함으로써 적절한 포커스를 얻을 수 있다. 일단 서브세트의 위치가 결정되면 기준(a reference), 예컨대 포토리소그래피 이미저의 일부분에 대해 서브세트의 위치를 변경하기 위해 물체를 이동할 수 있다. 예컨대, 병진시킬 수 있고, 회전시킬 수 있고, 및/또는 경사가 지게 할 수 있다. 결정 및 이동은 (필요하다면) 이미징 되어야 할 각각의 서브세트에 대해서 반복될 수 있다.
서브세트의 공간적 특성의 결정은 물체의 얇은 층의 외부 표면의 하나 이상의 점의 위치 및/또는 높이를 결정하는 단계를 포함할 수 있다.
땜납 범프 프로세스
도 13a 및 도 13b를 참조하면, 구조물(550)은 땜납 범프 프로세스 동안 제조되는 예시적 구조물이다. 구조물(550)은 기판(551), 땜납에 의해 비습식성으로 되는 영역(502), 및 땜납에 의해 습식성으로 되는 영역(503)을 포함한다. 영역(502)은 외측 표면(507)을 가진다. 영역(503)은 외측 표면(509)를 가진다. 따라서, 영역(502)과 기판(501) 사이에 접경이 형성된다.
프로세스 동안 대량의 땜납(504)이 습식성 영역(503)과 접촉하여 위치하게 된다. 땜납이 흐르게 되면, 땜납은 습식성 영역(503)과 확실하게 접촉하게 된다. 인접하는 비습식서 영역(502)은 상기 구조물에 관해 상기 흐르는 땜납이 바람직하 지 않은 이동을 하지 않도록 하는 댐과 같은 역할을 한다.구조물(507, 509)의 상대적 높이를 포함하는 구조물의 공간 특성과, 표면(502)에 대한 땜납(504)의 치수를 아는 것이 바람직하다. 본 명세서의 다른 부분에서 판단할 수 있는 바와 같이, 구조물(550)은 간섭 패턴에서 각각 생길 수 있는 복수의 접경을 포함한다. 간섭 패턴들간의 겹침으로 인해 공지의 간섭 기술을 이용해서는 공간 특성을 정확하게 결정하지 못한다. 본 명세서에 서술된 방법 및 시스템의 애플리케이션에 의해 공간 특성을 결정할 수 있다.
구조물(550)로부터 결정된 공간 특성을 사용하여 층(502, 503)의 증착 횟수 및 영역(503) 당 사용된 땜납의 양 등의 제조 조건을 변화시킬 수 있다. 부가적으로, 땜납을 흐르게 하는데 사용되는 가열 조건 역시 공간 특성에 근거하여 변화시킬 수 있으므로 땜납의 적절한 흐름이나 이동을 달성할 수 있다.
액정 디스플레이
도 14를 참조하면, 수동 매트릭스 LCD(450)이 수개의 층으로 구성되어 있다. 주요 부분은 봉인(454)으로 접속된 2개의 유리판(452, 453)이다. 편광기(456)를 전면 유리판(453)에 적용하여 한 방향으로 인입하는 광을 편광시킨다. 상기 편광된 광은 전면 유리판(453)을 통해 통과한다. 인듐 주석 산화물(ITO) 층(458)은 전극으로서 사용된다. 패시베이션 층(460)을 이따금 하드 코트 층이라 하는데, 왜냐하면 SiOx를 ITO(458) 위에 코팅하여 표면을 전기적으로 절연하기 때문이다. 폴리이미드(462를 패시베이션 층(460) 위에 프린트하여 액정 액(464)을 정렬한다. 액정 액은 전계에 민감하여 전계가 인가되면 방향이 변하게 된다. 액정도 또한 광학적으로 활 성이므로 인입하는 광의 편광 방향을 회전시킨다. 셀 갭 △g, 즉 액정 층(464)의 두께는 스페이서(466)에 의해 결정되고, 상기 스페이서는 2개의 유리판(452, 453)이 고정 거리를 유지하게 한다. 전면판(453)으로부터 배면판(452)으로 전위가 없으면, 편광은 액정 층(464)를 통고할 때 90°회전한다. 전위가 한 플레이트로부터 다른 플레이트로 인가되면 광은 회전하지 않는다. 광이 액정 층(464)을 통해 통과한 경우, 그 광은 배면 유리판(452), 다른 하드 코트 층(470), 배면 ITO 전극(472), 및 배면 유리판(452)를 통과하게 된다. 배면 편광기(474)에 도달하면, 90°회전되었는지의 여부에 따라 광은 통과하거나 흡수하게 된다. 셀(450)은 필터(476) 또는 다른 컬러 소자를 포함하여 컬러 디스플레이를 제공할 수 있다.
셀 갭 △g는 LCD의 광전기 특성, 예를 들어 대조비 및 휘도를 크게 결정한다. 제조 동안의 셀 갭 제어는 일정한 품질의 디스플레이를 얻는데 중요하다. 실제의 셀 갭은 스페이서(466)의 치수와는 다를 수 있는데 왜냐하면 조립 동안 압력이나 진공이 인가되어 액정 매체를 유도함으로써 봉인(454)이 경화되어 치수가 변화되고, 부가된 액정 매체는 유리판(480, 482) 사이에 모세관 힘을 발생하기 때문이다. 액정 매체(464)를 부가하기 전후 모두에, 판(452, 453)의 표면(480, 482)는 광을 반사하여 셀 갭 △g을 나타내는 간섭 패턴이 생기게 된다. 간섭 신호의 저 가간섭성 속성은 그 자체나 전술한 간섭 신호 처리 기술과 관련하여 사용되어 셀의 다른 층들에 의해 형성되는 접경이 있을 때조차도 제조 동안 셀 갭 △g을 포함하는 셀의 특성을 감시한다.
예시적 방법은 층(464)을 부가하기 전 셀 갭 △g을 나타내는 간섭 패턴을 포 함하는 저 가간섭성 간섭 신호를 얻는 단계를 포함할 수 있다. 셀 갭(또는 셀의 다른 공간 특성)은 간섭 패턴으로부터 결정되고 특정한 값과 비교될 수 있다. 제조 조건, 예컨대 판(452, 453)에 인가되는 압력이나 진공을 변화시켜, 상기 특정한 값과 상기 결정된 셀 갭 사이의 차이가 허용 공차를 초과하면 셀 갭 △g을 수정할 수 있다. 이 처리는 원하는 셀 갭을 얻을 때까지 반복될 수 있다. 이때 액정 매체는 셀로 유도된다. 부가될 액정 매체의 양은 셀의 측정된 공간 특성으로부터 결정될 수 있다. 이에 의해 셀의 오버필링(overfilling) 또는 언더필링(underfilling)을 회피할 수 있다. 필링 프로세스는 또한 표면(480, 482)로부터의 간섭 신호를 관찰함으로써 감시될 수 있다. 셀이 일단 채워지면, 부가적인 저 가간섭성 간섭 패턴을 얻어 셀 갭 △g (또는 다른 공간 특성)를 감시할 수 있다. 다시, 제조 조건을 변화시켜 셀 갭을 허용공차 내로 유지하거나 유도할 수 있다.
레이저 스크라이빙 커팅 (Laser Scribing and Cutting)
레이저는 서로 다른, 수반하는 제조된 구조, 예컨대 마이크로전자 구조를 분리하는 것에 대비하여 물체를 스크라이브(scribe)하는데 사용될 수 있다. 분리의 품질은 스크라이빙 조건, 예컨대 레이저 포커스 사이즈, 레이저 파워, 물체의 이동율(translation rate), 및 스크라이브 깊이(scribe depth)에 관계된다. 구조의 지형의 밀도가 클 수 있기 때문에, 스크라이브 라인(scribe lines)은 구조물의 인접한 박막 필름 또는 층일 수 있다. 박막 또는 층과 관련되는 인터페이스들은 간섭 계가 스크라이브 깊이를 결정하는데 사용될 때에 나타나는 간섭 패턴을 생성할 수 있다. 여기에 개시된 방법 및 시스템은 이러한 인접한 필름 또는 층이 존재하는 경우라도 스크라이브 깊이를 결정하는데 사용될 수 있다.
하나의 예시적인 방법은 하나 이상의 전자 구조물을 스크라이빙하는 단계 및 스크라이브 라인을 따라 구조물을 분리하는 단계를 포함할 수 있다. 분리하기 이전 및/또는 이후에, 저 코히어런스 간섭 신호는 스크라이브의 깊이를 결정하는데 사용될 수 있다. 예컨대, 레이저 스팟 사이즈(laser spot size), 레이저 파워(laser power), 이동율(translation rate)과 같은 다른 스크라이빙 조건이 알려져 있다. 스크라이브 깊이는 간섭 신호로부터 결정될 수 있다. 스크라이브 깊이를 포함하는 스크라이빙 조건의 함수로서, 분리 품질은 분리된 구조물을 평가함으로써 결정될 수 있다. 이러한 결정을 기초로, 요구하는 분리 품질을 달성하는데 필요한 스크라이빙 조건이 결정될 수 있다. 계속되는 제조공정 동안, 저 코히어런스 간섭 신호는 스크라이빙 된 영역으로부터 얻어 처리과정을 모니터할 수 있다. 스크라이빙 조건은 허용 오차 내의 스크라이브 특성을 유지하거나 일으키기 위해 변화될 수 있다.
그 밖의 다른 실시예들은 청구범위의 범위 내에 있다.

Claims (60)

  1. 기판과 상부 박막을 포함하는 대상물에 제1 광 패턴을 투사하는 단계;
    상기 기판에 의해 장황하게 확산되는 상기 투사된 제1 광 패턴을 촬상하는 단계; 및
    상기 장황하게 확산된 광에 근거하여 상기 대상물의 공간 특성을 결정하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 대상물의 공간 특성은 기판의 위치 또는 표면 형태(topography)인 것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    상기 상부 박막은 포토레지스트이며 상기 공간 특성을 결정하는 단계는 포토리소그래피 시스템과 관련하여 상기 대상물의 일부의 위치를 결정하는 단계를 포함하는 방법.
  4. 제3항에 있어서,
    상기 대상물의 일부는 상기 기판과 상기 상부 포토레지스트 사이의 접경 (interface)인 것을 특징으로 하는 방법.
  5. 제3항에 있어서,
    상기 제1 광 패턴은 광원으로부터의 제1 및 제2 부분의 광을 포함하며 상기 제1 광 패턴은 간섭 패턴인 것을 특징으로 하는 방법.
  6. 제5항에 있어서,
    상기 간섭 패턴은 엔벨로프에 의해 변조된 복수의 줄무늬(fringes)를 포함하며 상기 대상물의 공간 특성을 결정하는 단계는 상기 줄무늬와 관련해서 상기 엔벨로프의 일부의 위치를 결정하는 단계를 포함하는 방법.
  7. 제6항에 있어서,
    상기 엔벨로프의 일부의 위치에 근거하여 상기 대상물을 이동시키는 단계를 포함하는 방법.
  8. 제6항에 있어서,
    기준 표면 상에 기준 패턴의 광을 투사하는 단계; 및
    상기 기준 표면에 투사되는 상기 기준 패턴의 광을 검출하는 단계
    를 포함하며,
    상기 대상물의 공간 특성을 결정하는 단계는 상기 기준 패턴의 상기 검출된 광에 근거하여 상기 기준 표면과 상기 대상물의 상대적 공간 특성을 결정하는 단계를 포함하는 방법.
  9. 제8항에 있어서,
    상기 상대적 공간 특성에 근거하여 상기 대상물을 이동시키는 단계를 포함하는 방법.
  10. 제6항에 있어서,
    실질적으로 유사한 진폭을 갖는 복수의 줄무늬를 포함하는 제2 간섭 패턴을 상기 대상물에 투사하기 위해 상기 광원의 특성을 변형하는 단계;
    상기 기판에 의해 장황하게 확산되는 상기 제2 간섭 패턴의 광을 촬상하는 단계; 및
    상기 제2 간섭 패턴으로부터 상기 장황하게 확산된 광에 근거하여 상기 대상물의 제2 공간 특성을 결정하는 단계
    를 포함하는 방법.
  11. 제10항에 있어서,
    상기 제2 공간 특성은 상기 대상물의 일부의 표면 형태인 것을 특징으로 하는 방법.
  12. 제10항에 있어서,
    상기 제2 공간 특성은 상기 대상물의 절대 위치를 나타내는 것을 특징으로 하는 방법.
  13. 제11항에 있어서,
    상기 제1 광 패턴을 투사하기 전에 적어도 상기 변형하는 단계를 수행하는 단계를 포함하는 방법.
  14. 대상물의 일부를 제1 광 패턴으로 조사하고 기준 표면을 포함하되, 상기 대상물은 기판과 상부 박막을 포함하는, 포토리소그래피 시스템;
    상기 리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시키는 포지셔너;
    상기 대상물의 상부 박막에 제2 광 패턴을 투사하도록 구성된 광 투사기;
    상기 기판에 의해 장황하게 확산되는 상기 제2 광 패턴의 광을 촬상하도록 광학 시스템; 및
    상기 장황하게 확산된 광에 근거하여 상기 대상물의 공간 특성을 결정하고, 상기 포지셔너가 상기 포토리소그래피 시스템과 상기 대상물 사이의 상대적 위치를 변화시키도록 구성된 프로세서
    를 포함하는 장치.
  15. 대상물의 일부를 광 패턴으로 조사하고 기준 표면을 포함하도록 구성된 포토리소그래피 시스템;
    기준 광 경로 및 측정 광 경로를 구비하는 저 가간섭성 간섭계(low coherence interferometer)로서, 상기 기준 광 경로를 통과하는 광은 상기 기준 표면으로부터 적어도 한 번 반사하며 상기 측정 광 경로를 통과하는 광은 상기 대상물로부터 적어도 한 번 반사하는, 상기 저 가간섭성 간섭계; 및
    상기 기준 광 경로를 통과한 광과 상기 측적 광 경로를 통과한 광을 포함하는 저 가간섭성 간섭 신호를 검출하도록 구성되는 검출기로서, 상기 저 가간섭성 신호는 상기 기준 표면과 상기 대상물 사이의 공간적 관계를 나타내는, 상기 검출기
    를 포함하는 광학 시스템.
  16. 제15항에 있어서,
    상기 리소그래피 시스템은 조사 광학 표면을 갖는 조사 광학기를 포함하고, 상기 광 패턴의 광은 상기 조사 광학 표면을 포함하는 광 경로를 따라 이동하며, 상기 조사 광학 표면과 상기 기준 표면은 적어도 부분적으로 동일한 공간에 있는 것을 특징으로 하는 광학 시스템.
  17. 제15항에 있어서,
    상기 측정 광 경로를 따라 통과하는 광은 상기 포토리소그래피 시스템에 의 해 조사될 상기 대상물의 일부로부터 적어도 한 번 반사하는 것을 특징으로 하는 광학 시스템.
  18. 제15항에 있어서,
    상기 기준 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광 및 상기 측정 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광은 광 경로 길이 차의 범위를 가지며, 상기 범위는 상기 저 가간섭성 간섭계의 가간섭성 길이의 적어도 20%인 것을 특징으로 하는 광학 시스템.
  19. 제18항에 있어서,
    상기 범위는 상기 저 가간섭성 간섭계의 간섭성 길이만큼 넓은 것을 특징으로 광학 시스템.
  20. 제15항에 있어서,
    상기 검출기는 각각의 저 가간섭성 간섭 신호를 검출하도록 각각 구성된 복수의 검출기 소자를 포함하며, 각각의 저 가간섭성 간섭 신호는 상기 기준 광 경로의 각각의 다른 부분을 따라 통과한 광 및 상기 측정 광 경로의 각각의 다른 부분을 따라 통과한 광을 포함하며, 각각의 저 가간섭성 간섭 신호는 상기 대상물의 다른 포인트와 상기 기준 표면 사이의 공간적 관계를 나타내는 것을 특징으로 하는 광학 시스템.
  21. 제20항에 있어서,
    상기 저 가간섭성 간섭 신호들 각각에 근거하여 상기 대상물의 각각의 서로 다른 포인트들과 상기 기준 표면 사이의 공간적 관계를 결정하도록 구성된 프로세서를 포함하는 광학 시스템.
  22. 제21항에 있어서,
    상기 대상물과 상기 포토리소그래피 시스템 사이의 상대적 관계와 방향을 조작하는 변환 스테이지를 포함하며,
    상기 프로세서는 상기 공간적 관계에 근거하여 상기 대상물과 상기 포토리소그래피 시스템의 상대적 관계를 변형하도록 구성된 것을 특징으로 하는 광학 시스템.
  23. 제20항에 있어서,
    상기 기준 광 경로의 각각의 서로 다른 부분을 따라 통과한 각각의 저 간섭성 간섭 신호의 광 및 상기 측정 광 경로의 각각의 서로 다른 부분을 따라 통과한 상기 저 가간섭성 간섭 신호의 광은 광 경로 길이 차의 범위를 가지며, 상기 범위는 상기 저 가간섭 간섭계의 가간선섭 길이의 적어도 20%인 것을 특징으로 하는 광학 시스템.
  24. 포토리소그래피 시스템의 광 경로를 대체적으로 따라 대상물을 위치 선정하는 단계;
    상기 포토리소그래피 시스템의 기준 표면으로부터 상기 광원으로부터의 제1 부분의 광을 반사하는 단계;
    상기 대상물로부터 상기 광원으로부터의 제2 부분의 광을 반사하는 단계; 및
    상기 기준 표면으로부터 반사된 광 및 상기 대상물로부터 반사된 광을 포함하는 저 가간섭성 간섭 신호를 형성하되, 상기 대상물과 상기 촬상 시스템 사이의 공간적 관계를 나타내는, 상기 저 가간섭성 간섭 신호를 형성하는 단계
    를 포함하는 방법.
  25. 제24항에 있어서,
    상기 포토리소그래피 시스템의 기준 표면의 복수의 위치 각각으로부터 상기 광원으로부터의 각각의 제1 부분의 광을 반사시키는 단계;
    상기 대상물의 복수의 위치 각각으로부터 상기 광원으로부터 각각의 제2 부분의 광을 반사시키는 단계; 및
    상기 기준 표면의 서로 다른 위치들 각각으로부터 반사된 광 및 상기 대상물의 서로 다른 위치들 각각으로부터 반사된 광을 각각 포함하는 복수의 저 가간섭성 간섭 신호를 형성하되, 각각의 저 가간섭성 간섭 신호는 상기 대상물의 서로 다른 위치들 중 적어도 하나의 위치와 상기 포토리소그래피 시스템 사이의 공간적 관계를 나타내는, 상기 복수의 저 가간섭성 간섭 신호를 형성하는 단계
    를 포함하는 방법.
  26. 제24항에 있어서,
    상기 대상물을 위치 결정한 후에 상기 제1 부분의 광 및 상기 제2 부분의 광의 반사를 수행하는 단계를 포함하는 방법.
  27. 제24항에 있어서,
    상기 공간적 관계에 근거하여 상기 대상물과 상기 기준 표면의 상대적 위치를 변화시키는 단계를 더 포함하는 방법.
  28. 제24항에 있어서,
    상기 기준 표면은 상기 포토리소그래피 시스템의 광학기에 대한 표면인 것을 특징으로 하는 방법.
  29. 제28항에 있어서,
    상기 대상물에 자외선 광 이미지를 투사하기 위해 상기 포토리소그래피 시스템을 사용하는 단계를 더 포함하며, 상기 자외선 이미지를 형성하는 광은 상기 광학기의 표면을 포함하는 광 경로를 따라 통과하는 것을 특징으로 하는 방법.
  30. 제24항에 있어서,
    상기 대상물은 기판 및 외측 표면을 갖는 박막을 포함하며, 상기 형성하는 단계는 상기 기준 표면으로부터 반사된 광과 상기 박막의 외측 표면으로부터 반사된 광을 결합하는 단계를 포함하며, 상기 박막의 외측 표면과 상기 포토리소그래피 시스템 사이에 상기 공간적 관계가 존재하는 것을 특징으로 하는 방법.
  31. 제30항에 있어서,
    상기 광원으로부터의 광 중 상기 제2 부분의 광은 실질적으로 상기 박막에 의해 감쇠되는 것을 특징으로 하는 방법.
  32. 제30항에 있어서,
    상기 박막은 포토레지스트를 포함하며 상기 광원으로부터의 광 중 상기 제2 부분의 광은 상기 포토레지스트를 노출하기에 불충분한 에너지를 갖는 것을 특징으로 하는 방법.
  33. 제24항에 있어서,
    상기 대상물은 기판 및 외측 표면을 갖는 박막을 포함하며, 상기 형성하는 단계는 상기 기준 표면으로부터 반사된 광 및 상기 기판으로부터 반사된 광을 결합하는 단계를 포함하며, 상기 가판과 상기 촬상 시스템 사이에 상기 공간적 관계가 존재하는 것을 특징으로 하는 방법.
  34. 제33항에 있어서,
    상기 광원으로부터의 광 중 상기 제2 부분의 광을 상기 대상물로부터 반사하는 단계는 브레스터의 각(Brewster's angle)에서 상기 대상물을 조사하는 단계를 포함하는 방법.
  35. 제24항에 있어서,
    상기 형성하는 단계는 간섭계를 사용하는 단계를 포함하며 상기 기준 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광 및 상기 측정 광 경로를 따라 통과한 상기 저 가간섭성 간섭 신호의 광은 광 경로 차의 범위를 가지며, 상기 범위는 상기 간섭계의 가간섭성 길이의 적어도 20%인 것을 특징으로 하는 방법.
  36. 제35항에 있어서,
    상기 제2 부분의 광을 상기 대상물로부터 반사하는 단계는 적어도 50°의 입사각에서 상기 대상물을 조사하는 단계를 포함하는 방법.
  37. 대상물의 공간 특성을 결정하는 시스템에 있어서,
    광원;
    상기 광원으로부터의 제1 부분의 광으로 입사에 대한 지표각(grazing angle)으로 상기 대상물을 조사하되, 상기 제1 부분의 광 중 적어도 일부는 상기 대상물로부터 반사하며, 또한 상기 광 경로 차이의 범위를 넘어서, 상기 대상물로부터 반 사되는 광과 상기 동일한 광원으로부터의 제2 부분의 광을 결합하도록 구성된 광학 시스템; 및
    상기 광 경로 차이의 범위를 넘어서 결합된 광을 피크 진폭을 각각 갖는 복수의 간섭 줄무늬로서 검출하도록 구성되며, 상기 광 경로 차이의 범위는 상기 간섭 줄무늬의 피크 진폭을 변조하기에 충분한, 검출기
    를 포함하는 시스템.
  38. 제37항에 있어서,
    상기 광 경로 간섭의 범위는 적어도 상기 광학 시스템의 가간섭성 길이만큼 넓은 것을 특징으로 하는 시스템.
  39. 제37항에 있어서,
    상기 복수의 간섭 줄무늬에 근거하여 상기 대상물의 공간 특성을 결정하도록 구성된 프로세서를 포함하는 시스템.
  40. 제37항에 있어서,
    상기 광학 시스템은
    상기 광원으로부터의 제1 부분의 광으로 입사에 대한 지표각으로 상기 대상물의 복수의 포인트 각각을 조사하되, 각각의 제1 부분의 광 중 적어도 일부는 반사된 광의 각각의 일부로서 상기 대상물로부터 반사하며, 또한 상기 반사된 광의 각각의 일부와 상기 동일한 광원으로부터의 대응하는 제2 부분의 광을 결합하여 각각의 결합된 광을 생성하도록 구성된 광학 시스템; 및
    복수의 간섭 줄무늬 각각을 각각 검출하도록 구성된 복수의 검출기 소자를 포함하는 검출기로서, 각각의 복수의 간섭 줄무늬는 각각의 결합된 광으로부터의 기여(contributions)를 포함하며, 상기 각각의 복수의 간섭 줄무늬의 상기 결합된 광은 광 경로 길이 차이의 범위를 가지며, 광 경로 길이 차이의 각각의 범위는 상기 대응하는 간섭 줄무늬의 피크 진폭을 변조하기에 충분한, 상기 검출기
    를 포함하는 시스템.
  41. 제40항에 있어서,
    상기 각각의 복수의 간섭 줄무늬에 근거하여 각각의 포인트의 공간 특성을 결정하도록 구성된 프로세서를 포함하는 시스템.
  42. 제39항에 있어서,
    상기 대상물은 기판 및 외측 표면을 갖는 박막을 포함하며, 상기 공간 특성은 상기 박막의 외측 표면의 공간 특성인 것을 특징으로 하는 시스템.
  43. 제42항에 있어서,
    상기 프로세서는 상기 대상물과 상기 기준 표면 사이의 상대적 위치를 변화시키도록 구성된 변환 스테이지와 통신하는 상태에 있으며, 상기 프로세서는 상기 공간 특성에 근거하여 상기 상대적 위치를 변화시키도록 구성된 것을 특징으로 하는 시스템.
  44. 광원으로부터의 광으로 입사에 대한 지표각으로 대상물을 조사하되, 상기 조사하는 광 중 적어도 일부는 상기 대상물로부터 반사하는, 상기 조사하는 단계;
    광 경로 차이의 범위를 넘어서, 상기 대상물로부터 반사되는 광과 상기 광원으로부터의 제2 부분의 광을 결합하는 단계; 및
    상기 광 경로 차이의 범위를 넘어서 결합된 광을 피크 진폭을 각각 갖는 복수의 간섭 줄무늬로서 검출하되, 상기 광 경로 차이의 범위는 상기 간섭 줄무늬의 피크 진폭을 변조하기에 충분한, 상기 검출하는 단계
    를 포함하는 방법.
  45. 제44항에 있어서,
    상기 결합하는 단계는 가간섭성 길이를 갖는 간섭계를 사용하는 단계를 포함하며, 상기 광 경로 차이의 범위는 적어도 상기 가간섭성 길이만큼인 것을 특징으로 하는 방법.
  46. 제45항에 있어서,
    상기 검출하는 단계는 상기 간섭 줄무늬를 포함하는 간섭 패턴의 적어도 일부를 검출하는 단계를 포함하며,
    상기 방법은 상기 간섭 패턴의 적어도 일부에 근거하여 상기 대상물의 공간 특성을 결정하는 단계를 포함하는 방법.
  47. 제46항에 있어서,
    상기 대상물은 외측 표면을 갖는 포토레직스트의 상부층을 포함하는 기판을 포함하며, 상기 공간 특성은 상기 외부 표면의 공간 특성인 것을 특징으로 하는 방법.
  48. 제47항에 있어서,
    상기 외측 표면의 공간적 특성에 근거하여 상기 대상물과 포토리소그래피 시스템 사이의 상대적 위치를 변화시키는 단계를 포함하는 방법.
  49. 제46항에 있어서,
    상기 대상물은 액정 디스플레이의 일부를 포함하는 방법.
  50. 제46항에 있어서,
    상기 대상물을 스크라이빙(scribing) 하는 단계를 더 포함하며, 상기 공간 특성은 상기 스크라이빙 단계에서 형성된 스크라이브 라인의 공간 특성인 것을 특징으로 하는 방법.
  51. 제50항에 있어서,
    상기 대상체 또는 다른 대상체를 스크라이빙 하는 단계를 더 포함하며, 상기 스크라이브 라인의 공간 특성에 근거하여 추가의 스크라이빙의 파라미터를 변경하는 단계를 포함하는 방법.
  52. 제46항에 있어서,
    상기 대상물은 땜납 범프 제조 동안 구조물을 포함하는 방법.
  53. 제52항에 있어서,
    상기 공간 특성은 상기 땜납에 의해 비습식성으로 되는 상기 대상물 중 일부의 공간 특성인 것을 특징으로 하는 방법.
  54. 대상물의 일부를 광 패턴으로 조사하도록 구성되며, 기준 표면을 포함하는 포토리소그래피 시스템;
    복수의 검출기 소자; 및
    간섭계로서 그리고 삼각 측량 시스템으로서 동작 가능한 광학 시스템으로서, 상기 간섭계는 기준 광 경로 및 측정 광 경로를 가지며, 상기 기준 광 경로를 따라 통과하는 광은 상기 기준 표면으로부터 적어도 한 번 반사하며 상기 측정 광 경로를 따라 통과하는 광은 상기 대상물로부터 적어도 한 번 반사하는, 광학 시스템
    을 포함하며,
    상기 복수의 검출기 소자는 상기 기준 광 경로를 따라 통과한 광 및 상기 측정 광 경로를 따라 통과한 광을 포함하는 간섭 신호를 검출하도록 구성되며, 상기 간섭 신호는 상기 기준 표면과 상기 대상물 사이의 공간적 관계를 나타내는 것을 특징으로 하는 장치.
  55. 대상물의 일부를 광 패턴으로 조사하도록 구성되며, 기준 표면을 포함하는 포토리소그래피 시스템;
    복수의 검출기 소자; 및
    상기 대상물의 일부를 제1 광으로 조사하고, 상기 기준 표면을 제2 광으로 조사하며, 상기 제1 광의 이미지와 상기 제2 광의 이미지를 상기 검출기 상에 형성하도록 구성된 광학 시스템; 및
    상기 제1 광의 이미지와 상기 제2 광의 이미지 사이의 공간적 관계에 근거하여 상기 대상물과 상기 기준 표면 사이의 공간적 관계를 결정하도록 구성된 프로세서
    를 포함하는 장치.
  56. 제55항에 있어서,
    상기 프로세서는 상기 제1 광의 이미지와 상기 제2 광의 이미지 사이의 공간적 관계를 상기 검출기 소자의 기능으로서 결정하도록 구성된 것을 특징으로 하는 장치.
  57. 제55항에 있어서,
    상기 대상물과 상기 기준 표면 사이의 상대적 위치를 변형하도록 구성된 포지셔너를 포함하며,
    상기 프로세서는 상기 대상물과 상기 기준 표면 사이의 공간적 관계에 근거하여 상기 포지셔너를 동작시키도록 구성된 것을 특징으로 하는 장치.
  58. 제55항에 있어서,
    광으로 조사된 상기 대상물의 일부는 상기 포토리소그래피 시스템에 의해 조사될 상기 대상물의 일부인 것을 특징으로 하는 장치.
  59. 제58항에 있어서,
    상기 포토리소그래피 시스템은 상기 기준 표면과 동일한 공간 상에 적어도 부분적으로 존재하는 표면을 갖는 광학기를 포함하는 장치.
  60. 제59항에 있어서,
    상기 광학 시스템은
    기준 광 경로 및 측정 광 경로를 가지되, 상기 기준 광 경로를 따라 통과하는 광은 상기 기준 표면으로부터 적어도 한 번 반사하며 상기 측정 광 경로를 통과하는 광은 상기 대상물로부터 적어도 한 번 반사하는, 간섭계
    를 포함하며,
    상기 복수의 검출기 소자는 상기 기준 광 경로를 따라 통과한 광 및 상기 측정 광 경로를 따라 통과한 광을 포함하는 간섭 신호를 검출하도록 구성되며, 상기 간섭 신호는 상기 기준 표면과 상기 대상물 사이의 공간적 관계를 나타내는 것을 특징으로 하는 장치.
KR1020067005257A 2003-09-15 2004-09-15 표면 3각 측량 및 박막 코팅을 통한 프로파일링 KR20060084852A (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US50293003P 2003-09-15 2003-09-15
US50293303P 2003-09-15 2003-09-15
US50290703P 2003-09-15 2003-09-15
US50293203P 2003-09-15 2003-09-15
US60/502,907 2003-09-15
US60/502,930 2003-09-15
US60/502,932 2003-09-15
US60/502,933 2003-09-15
US53943704P 2004-01-26 2004-01-26
US60/539,437 2004-01-26

Publications (1)

Publication Number Publication Date
KR20060084852A true KR20060084852A (ko) 2006-07-25

Family

ID=34382261

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020127005506A KR101295207B1 (ko) 2003-09-15 2004-09-15 표면에 대한 간섭 측정의 분석
KR1020067007376A KR101185473B1 (ko) 2003-09-15 2004-09-15 표면에 대한 간섭 측정의 분석
KR1020067005257A KR20060084852A (ko) 2003-09-15 2004-09-15 표면 3각 측량 및 박막 코팅을 통한 프로파일링

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020127005506A KR101295207B1 (ko) 2003-09-15 2004-09-15 표면에 대한 간섭 측정의 분석
KR1020067007376A KR101185473B1 (ko) 2003-09-15 2004-09-15 표면에 대한 간섭 측정의 분석

Country Status (8)

Country Link
US (7) US7298494B2 (ko)
EP (3) EP1664931B1 (ko)
JP (3) JP5340539B2 (ko)
KR (3) KR101295207B1 (ko)
AT (1) ATE421716T1 (ko)
DE (1) DE602004019231D1 (ko)
TW (4) TWI334921B (ko)
WO (2) WO2005029192A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210285893A1 (en) * 2018-06-29 2021-09-16 Ebara Corporation Device for measuring bump height, apparatus for processing substrate, method of measuring bump height, and storage medium

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6359144A (ja) * 1986-08-29 1988-03-15 Canon Inc ロ−カルエリアネツトワ−クの回線監視方式
US7057741B1 (en) * 1999-06-18 2006-06-06 Kla-Tencor Corporation Reduced coherence symmetric grazing incidence differential interferometer
WO2003038518A1 (en) 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6623995B1 (en) * 2002-10-30 2003-09-23 Taiwan Semiconductor Manufacturing Company Optimized monitor method for a metal patterning process
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7298494B2 (en) * 2003-09-15 2007-11-20 Zygo Corporation Methods and systems for interferometric analysis of surfaces and related applications
EP1526408A1 (en) * 2003-10-22 2005-04-27 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method, and measurement systems
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7930206B2 (en) 2003-11-03 2011-04-19 Google Inc. System and method for enabling an advertisement to follow the user to additional web pages
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
CA2615685C (en) * 2004-08-05 2015-06-23 Jack R. Little, Jr. High-resolution, nondestructive imaging of dielectric materials
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004062256A1 (de) * 2004-12-23 2006-07-13 Basf Ag Hochempfindliches Verfahren zur Detektion von Unterschieden zwischen den physikalisch messbaren Eigenschaften einer Probe und einer Referenz
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI428582B (zh) * 2005-01-20 2014-03-01 Zygo Corp 用於檢測物體表面之特性的干涉裝置以及干涉方法
WO2006088041A1 (ja) * 2005-02-18 2006-08-24 Hoya Corporation 透光性物品の検査方法
FR2883369B1 (fr) 2005-03-18 2007-06-01 Sagem Dispositif de mesure optique par triangulation optique
EP1883781B1 (en) * 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7330258B2 (en) * 2005-05-27 2008-02-12 Innovative Technical Solutions, Inc. Spectrometer designs
US7411667B2 (en) * 2005-06-03 2008-08-12 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US20070042510A1 (en) * 2005-08-19 2007-02-22 Wafermasters, Incorporated In situ process monitoring and control
JP2007067165A (ja) * 2005-08-31 2007-03-15 Matsushita Electric Ind Co Ltd 光照射条件抽出方法および光照射条件抽出装置およびはんだ付け装置
WO2007033851A1 (de) * 2005-09-22 2007-03-29 Robert Bosch Gmbh Interferometrische schichtdickenbestimmung
DE102006016131A1 (de) * 2005-09-22 2007-03-29 Robert Bosch Gmbh Interferometrische Messvorrichtung
US20070118361A1 (en) * 2005-10-07 2007-05-24 Deepen Sinha Window apparatus and method
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
JP2009516171A (ja) * 2005-11-15 2009-04-16 ザイゴ コーポレーション 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
DE102005056914A1 (de) 2005-11-29 2007-05-31 Carl Zeiss Smt Ag Projektionsbelichtungsystem
EP1793243A1 (de) * 2005-12-05 2007-06-06 Leica Geosystems AG Verfahren zur Auflösung einer Phasenmehrdeutigkeit
US7542148B2 (en) * 2005-12-06 2009-06-02 Tokyo Electron Limited Method for measuring physical quantity of measurement object in substrate processing apparatus and storage medium storing program for implementing the method
US7697137B2 (en) * 2006-04-28 2010-04-13 Corning Incorporated Monolithic Offner spectrometer
TWI428559B (zh) * 2006-07-21 2014-03-01 Zygo Corp 在低同調干涉下系統性效應之補償方法和系統
WO2008035685A1 (fr) * 2006-09-19 2008-03-27 Olympus Medical Systems Corporation Dispositif de mesure de polarisation
US7710580B2 (en) * 2006-10-27 2010-05-04 Zygo Corporation Vibration resistant interferometry
US7616328B2 (en) * 2006-11-07 2009-11-10 Rudolph Technologies, Inc. Method and system for providing a high definition triangulation system
CN1975386B (zh) * 2006-11-16 2010-10-13 南京大学 红外光谱仪的多次透射-反射测量附件
US7704565B2 (en) * 2006-11-22 2010-04-27 The Boeing Company Method of making a layered component with vector discrimination in a variable deposition rate process
WO2008064470A1 (en) * 2006-11-27 2008-06-05 Roctest Ltée High selectivity band-pass interferometer with tuning capabilities
KR101519932B1 (ko) 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7684050B2 (en) * 2006-12-22 2010-03-23 Canon Kabushiki Kaisha Shape measuring apparatus, shape measuring method, and exposure apparatus
JP5137526B2 (ja) * 2006-12-22 2013-02-06 キヤノン株式会社 形状測定装置、形状測定方法、および露光装置
US7889355B2 (en) * 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
JP5013968B2 (ja) * 2007-02-21 2012-08-29 キヤノン株式会社 信号処理装置、プログラムおよび計測装置
WO2008151266A2 (en) * 2007-06-05 2008-12-11 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP4936287B2 (ja) * 2007-06-14 2012-05-23 独立行政法人産業技術総合研究所 内径測定装置
JP2008309638A (ja) * 2007-06-14 2008-12-25 National Institute Of Advanced Industrial & Technology 寸法測定装置及び寸法測定方法
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
KR101274517B1 (ko) * 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
US7720004B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Interfering packet streams in packet networks
US7961647B2 (en) * 2007-11-13 2011-06-14 Avaya Inc. Detecting interfering packet streams in packet networks
US7720005B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Estimating network-layer topology using end-to-end measurements
WO2009079334A2 (en) 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
TWI454655B (zh) * 2007-12-31 2014-10-01 Ind Tech Res Inst 光譜影像處理方法
KR100988454B1 (ko) * 2008-01-31 2010-10-18 에스엔유 프리시젼 주식회사 두께 측정방법
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
JP5084558B2 (ja) * 2008-02-28 2012-11-28 キヤノン株式会社 表面形状計測装置、露光装置及びデバイス製造方法
US7847954B2 (en) * 2008-05-15 2010-12-07 Kla-Tencor Corporation Measuring the shape and thickness variation of a wafer with high slopes
JP5268425B2 (ja) * 2008-05-16 2013-08-21 キヤノン株式会社 表面形状測定装置及び露光装置
KR101010189B1 (ko) * 2008-06-30 2011-01-21 에스엔유 프리시젼 주식회사 두께 또는 표면형상 측정방법
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8654344B2 (en) * 2008-12-04 2014-02-18 Ecole Polytechnique Device for generating a secondary source by laser-material interaction comprising an optical device for controlling the orientation and the position of a surface in movement
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
FR2941780B1 (fr) * 2009-01-30 2011-04-01 Commissariat Energie Atomique Procede de mesure et procede de visualisation d'une surface d'onde par spectrophotometrie.
US20100245829A1 (en) * 2009-03-31 2010-09-30 Nikon Corporation System and method for compensating instability in an autofocus system
US8675205B2 (en) * 2009-06-15 2014-03-18 Artur G. Olszak Optical coherence tomography using spectrally controlled interferometry
JP2011040547A (ja) * 2009-08-10 2011-02-24 Canon Inc 計測装置、露光装置及びデバイスの製造方法
US8426119B2 (en) * 2009-10-21 2013-04-23 GM Global Technology Operations LLC Dynamic projection method for micro-truss foam fabrication
EP2526373B1 (de) * 2010-01-22 2013-12-11 Universität Stuttgart Verfahren und anordnung zur robusten interferometrie
US20120008150A1 (en) 2010-04-23 2012-01-12 Nikon Corporation Autofocus system and method
US8462349B1 (en) 2010-07-20 2013-06-11 Science Applications International Corporation System and method for a self-referencing interferometer
JP5663758B2 (ja) * 2010-08-17 2015-02-04 株式会社ミツトヨ 形状測定方法及び形状測定装置
WO2012024509A1 (en) * 2010-08-20 2012-02-23 First Solar, Inc. Position-sensitive metrology system
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
DE102010041558A1 (de) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie sowie Verfahren zur mikrolithographischen Belichtung
DE102010041556A1 (de) 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
JP5699221B2 (ja) * 2010-11-15 2015-04-08 ザイゴ コーポレーションZygo Corporation 仮想参照面を備えた干渉計
TWI479160B (zh) * 2010-12-20 2015-04-01 Hon Hai Prec Ind Co Ltd 測試裝置及方法
US8804129B2 (en) 2011-01-26 2014-08-12 Mitutoyo Corporation Method and apparatus for performing film thickness measurements using white light scanning interferometry
EP2482031A1 (en) * 2011-01-26 2012-08-01 Mitutoyo Research Center Europe B.V. Method and apparatus for performing film thickness measurements using white light scanning interferometry
DE102011111900A1 (de) * 2011-08-30 2013-02-28 Dr. Johannes Heidenhain Gmbh Vorrichtung zur interferometrischen Abstandsbestimmung
NL2009273A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
JP5959104B2 (ja) * 2011-09-27 2016-08-02 芝浦メカトロニクス株式会社 貼り合せ板状体検査装置及び方法
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
DE102011085599B3 (de) * 2011-11-02 2012-12-13 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
DE102012002174B4 (de) * 2012-02-07 2014-05-15 Schott Ag Vorrichtung und Verfahren zum Erkennen von Fehlstellen innerhalb des Volumens einer transparenten Scheibe und Verwendung der Vorrichtung
US10112258B2 (en) * 2012-03-30 2018-10-30 View, Inc. Coaxial distance measurement via folding of triangulation sensor optics path
DE102013203211A1 (de) * 2012-06-15 2013-12-19 Dr. Johannes Heidenhain Gmbh Vorrichtung zur interferentiellen Abstandsmessung
EP2677271B1 (en) * 2012-06-18 2017-04-26 Mitutoyo Corporation Broadband interferometer for determining a property of a thin film
GB2508874B (en) * 2012-12-13 2017-09-20 Univ Of Huddersfield Interferometric apparatus and sample characteristic determining apparatus using such apparatus
WO2014102792A1 (en) * 2012-12-27 2014-07-03 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
RU2522775C1 (ru) * 2013-02-12 2014-07-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Новосибирский национальный исследовательский государственный университет" (Новосибирский государственный университет, НГУ) Способ пассивной локализации ребер прямоугольного металлического параллелепипеда в инфракрасном излучении
US20160004058A1 (en) * 2013-03-15 2016-01-07 Leonard Rodenhausen Wayne Lightsheet microscopy with rotational-shear interferometry
WO2014204538A2 (en) * 2013-03-15 2014-12-24 Dueck Robert Three-beam coherent beam combining system
US20150002852A1 (en) * 2013-06-26 2015-01-01 Zygo Corporation Coherence scanning interferometry using phase shifted interferometrty signals
US9377292B2 (en) 2013-08-06 2016-06-28 Zygo Corporation Interferometry employing refractive index dispersion broadening of interference signals
DE102014211004A1 (de) * 2014-06-10 2015-12-17 Dr. Johannes Heidenhain Gmbh Optische Positionsmesseinrichtung
JP6322069B2 (ja) * 2014-07-02 2018-05-09 Dmg森精機株式会社 変位検出装置
US9500468B2 (en) 2014-08-25 2016-11-22 Board Of Trustees Of Michigan State University Scanning interferometry technique for through-thickness evaluation in multi-layered transparent structures
WO2016069520A1 (en) 2014-10-27 2016-05-06 Kla-Tencor Corporation Quality estimation and improvement of imaging metrology targets
WO2016094851A1 (en) * 2014-12-12 2016-06-16 Sunedison Semiconductor Limited Systems and methods for performing phase shift interferometry while a wafer is vibrating
NL2016121A (en) * 2015-02-06 2016-09-29 Asml Netherlands Bv A method and apparatus for improving measurement accuracy
US10274575B2 (en) * 2015-02-25 2019-04-30 The Charles Stark Draper Laboratory, Inc. Zero optical path difference phased array
JP6702666B2 (ja) * 2015-07-28 2020-06-03 株式会社ミツトヨ 変位検出装置
TWI582382B (zh) * 2015-10-16 2017-05-11 高準精密工業股份有限公司 光學裝置
US20180373016A1 (en) * 2015-11-11 2018-12-27 Scopio Labs Ltd. Microscope having a refractive index matching material
DE102016103605A1 (de) * 2016-03-01 2017-09-07 Heidelberg Engineering Gmbh Verfahren für die Signalverarbeitung bei der optischen Kohärenztomografie mit einer durchstimmbaren Lichtquelle
US10563973B2 (en) * 2016-03-28 2020-02-18 Kla-Tencor Corporation All surface film metrology system
CN109313008B (zh) * 2016-04-21 2020-12-04 诺威量测设备股份有限公司 用于样本测量的光学系统和方法
JP2019527576A (ja) 2016-07-15 2019-10-03 キヤノン ユーエスエイ, インコーポレイテッドCanon U.S.A., Inc スペクトル符号化プローブ
JP6762608B2 (ja) * 2016-09-06 2020-09-30 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
US10571248B2 (en) * 2017-01-09 2020-02-25 Kla-Tencor Corporation Transparent film error correction pattern in wafer geometry system
JP6853572B2 (ja) * 2017-03-31 2021-03-31 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
JP6876576B2 (ja) * 2017-08-17 2021-05-26 日本電子株式会社 三次元像構築方法
US11346747B2 (en) * 2017-10-27 2022-05-31 Harris Corporation QTIP—quantitative test interferometric plate
NL2020619B1 (en) 2018-01-16 2019-07-25 Illumina Inc Dual optical grating slide structured illumination imaging
US10529096B2 (en) * 2018-03-02 2020-01-07 Synaptive Medical (Barbados) Inc. System and method for characterizing tissue organization using polarization sensitive optical coherence tomography
CN112368541B (zh) * 2018-07-18 2023-06-27 诺威有限公司 半导体器件的时域光学计量和检查
TWI685640B (zh) * 2018-12-26 2020-02-21 財團法人工業技術研究院 光學同調斷層掃描裝置及其光學干涉儀
US10976151B2 (en) 2018-12-26 2021-04-13 Industrial Technology Research Institute Optical interferometer with reference arm longer than sample arm
TWI682150B (zh) 2018-12-27 2020-01-11 財團法人工業技術研究院 自動校準光干涉裝置及光干涉裝置自動校準方法
US11035665B2 (en) * 2019-07-30 2021-06-15 Kla Corporation System and method for enhancing data processing throughput using less effective pixel while maintaining wafer warp coverage
JP7283324B2 (ja) * 2019-09-18 2023-05-30 株式会社島津製作所 欠陥検査装置
US11150195B2 (en) * 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
CN111386441B (zh) * 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统
CN113008160B (zh) 2020-02-24 2023-02-10 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN113654653B (zh) * 2021-08-13 2023-06-09 中国工程物理研究院激光聚变研究中心 一种超快激光时空耦合特性的单次测量方法
CN116634254A (zh) * 2022-02-11 2023-08-22 深超光电(深圳)有限公司 成像系统及光学装置

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) * 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
EP0075032B1 (de) * 1981-09-17 1986-01-08 Ibm Deutschland Gmbh Verfahren zur interferometrischen Oberflächentopographie
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
JPS58208610A (ja) 1982-05-17 1983-12-05 ブリティッシュ・テクノロジー・グループ・リミテッド 物体の表面検査装置
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS59105508A (ja) * 1982-12-08 1984-06-18 Canon Inc 白色干渉膜厚測定方法
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4626103A (en) * 1984-03-29 1986-12-02 At&T Bell Laboratories Focus tracking system
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4794550A (en) * 1986-10-15 1988-12-27 Eastman Kodak Company Extended-range moire contouring
JPS63263404A (ja) * 1987-04-21 1988-10-31 Nikon Corp 微細深さ測定方法及びその装置
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
JPH0654217B2 (ja) * 1987-08-28 1994-07-20 株式会社日立製作所 干渉膜厚測定方法
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) * 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5489986A (en) * 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5158991A (en) 1990-08-24 1992-10-27 General Electric Company Epoxy-functionalized siloxane resin copolymers as controlled release additives
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5392749A (en) * 1991-10-11 1995-02-28 Caterpillar Inc. Hydraulically-actuated fuel injector system having separate internal actuating fluid and fuel passages
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
JP3735426B2 (ja) * 1996-12-11 2006-01-18 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US5973784A (en) * 1997-01-08 1999-10-26 Electro-Optical Sciences, Inc. Common path, interferometric systems and methods using a birefringent material for topographic imaging
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JPH1123229A (ja) * 1997-07-08 1999-01-29 Tokyo Seimitsu Co Ltd 膜厚測定方法
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5923425A (en) * 1997-11-20 1999-07-13 Tropel Corporation Grazing incidence interferometry for measuring transparent plane-parallel plates
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6615072B1 (en) 1999-02-04 2003-09-02 Olympus Optical Co., Ltd. Optical imaging device
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
TW490596B (en) * 1999-03-08 2002-06-11 Asm Lithography Bv Lithographic projection apparatus, method of manufacturing a device using the lithographic projection apparatus, device manufactured according to the method and method of calibrating the lithographic projection apparatus
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) * 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) * 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) * 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6195168B1 (en) 1999-07-22 2001-02-27 Zygo Corporation Infrared scanning interferometry apparatus and method
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
US6822745B2 (en) 2000-01-25 2004-11-23 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) * 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
AU2001281361A1 (en) 2000-07-27 2002-02-13 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
EP1332332B1 (en) 2000-11-02 2010-04-14 Zygo Corporation Height scanning interferometry method and apparatus including phase gap analysis
US6633389B1 (en) * 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
JP4583611B2 (ja) * 2001-01-11 2010-11-17 富士フイルム株式会社 斜入射干渉計装置
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
KR100393429B1 (ko) 2001-04-09 2003-08-02 한국과학기술원 각기 다른 금속 물질의 단차 측정을 위한 두 파장 백색광간섭법과 간섭계
DE10297689B4 (de) 2001-05-01 2007-10-18 The General Hospital Corp., Boston Verfahren und Gerät zur Bestimmung von atherosklerotischem Belag durch Messung von optischen Gewebeeigenschaften
US6624894B2 (en) * 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
JP4242767B2 (ja) 2001-09-21 2009-03-25 ケイマック 2次元型検出器を用いた薄膜特性測定装置及びその測定方法
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
CA2474331A1 (en) 2002-01-24 2003-07-31 The General Hospital Corporation Apparatus and method for rangings and noise reduction of low coherence interferometry lci and optical coherence tomography (oct) signals by parallel detection of spectral bands
DE10229818A1 (de) * 2002-06-28 2004-01-15 Carl Zeiss Smt Ag Verfahren zur Fokusdetektion und Abbildungssystem mit Fokusdetektionssystem
AU2003214404A1 (en) 2002-03-14 2003-09-29 Taylor Hobson Limited Surface profiling apparatus
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
AU2003241356A1 (en) * 2002-05-02 2003-11-17 Zygo Corporation Phase gap analysis for scanning interferometry
DE10392754T5 (de) * 2002-06-17 2005-08-25 Zygo Corp., Middlefield Interferometrisches optisches System und Verfahren, die eine optische Pfadlänge und einen Fokus bzw. Brennpunkt liefern, die gleichzeitig abgetastet werden
AU2003247550A1 (en) * 2002-06-17 2003-12-31 Zygo Corporation Interferometry methods and systems having a coupled cavity geometry for use with an extended source
AU2003247725A1 (en) * 2002-07-01 2004-01-19 Lightgage, Inc. Interferometer system of compact configuration
KR101223195B1 (ko) 2002-09-09 2013-01-21 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정 및 산란 측정을 위한 간섭측정 방법 및 장치
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) * 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) * 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
JP2004340680A (ja) * 2003-05-14 2004-12-02 Toray Eng Co Ltd 表面形状および/または膜厚測定方法及びその装置
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7298494B2 (en) 2003-09-15 2007-11-20 Zygo Corporation Methods and systems for interferometric analysis of surfaces and related applications
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
JP4790632B2 (ja) 2004-01-06 2011-10-12 ザイゴ コーポレーション 多軸干渉計ならびに多軸干渉計を用いる方法およびシステム
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
US7321430B2 (en) 2004-04-22 2008-01-22 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
TW200604695A (en) 2004-05-18 2006-02-01 Zygo Corp Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI428582B (zh) * 2005-01-20 2014-03-01 Zygo Corp 用於檢測物體表面之特性的干涉裝置以及干涉方法
EP1883781B1 (en) 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
TWI428559B (zh) * 2006-07-21 2014-03-01 Zygo Corp 在低同調干涉下系統性效應之補償方法和系統

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210285893A1 (en) * 2018-06-29 2021-09-16 Ebara Corporation Device for measuring bump height, apparatus for processing substrate, method of measuring bump height, and storage medium
US11604150B2 (en) * 2018-06-29 2023-03-14 Ebara Corporation Device for measuring bump height, apparatus for processing substrate, method of measuring bump height, and storage medium

Also Published As

Publication number Publication date
JP2007506070A (ja) 2007-03-15
TW200517638A (en) 2005-06-01
TW200526925A (en) 2005-08-16
TWI334921B (en) 2010-12-21
TW200528686A (en) 2005-09-01
TWI358614B (en) 2012-02-21
EP1664931A2 (en) 2006-06-07
WO2005029192A2 (en) 2005-03-31
US20050068540A1 (en) 2005-03-31
EP1664931B1 (en) 2009-01-21
EP1664932B1 (en) 2015-01-28
US7298494B2 (en) 2007-11-20
TW200527145A (en) 2005-08-16
KR20060096054A (ko) 2006-09-05
US7456975B2 (en) 2008-11-25
EP2275868A1 (en) 2011-01-19
TWI331211B (en) 2010-10-01
US8107085B2 (en) 2012-01-31
KR20120040260A (ko) 2012-04-26
US7289224B2 (en) 2007-10-30
KR101185473B1 (ko) 2012-10-02
ATE421716T1 (de) 2009-02-15
US20100060898A1 (en) 2010-03-11
US20050057757A1 (en) 2005-03-17
JP5587241B2 (ja) 2014-09-10
JP5340539B2 (ja) 2013-11-13
KR101295207B1 (ko) 2013-08-09
US7292346B2 (en) 2007-11-06
US20090096980A1 (en) 2009-04-16
DE602004019231D1 (de) 2009-03-12
US7289225B2 (en) 2007-10-30
US20050078318A1 (en) 2005-04-14
TWI331210B (en) 2010-10-01
WO2005029193A2 (en) 2005-03-31
WO2005029192A3 (en) 2005-09-29
US20080068614A1 (en) 2008-03-20
JP2011221027A (ja) 2011-11-04
US7586620B2 (en) 2009-09-08
WO2005029193A3 (en) 2005-10-06
EP2275868B1 (en) 2018-02-28
EP1664932A2 (en) 2006-06-07
US20050078319A1 (en) 2005-04-14
JP2007506071A (ja) 2007-03-15

Similar Documents

Publication Publication Date Title
KR20060084852A (ko) 표면 3각 측량 및 박막 코팅을 통한 프로파일링
US7636168B2 (en) Interferometry method and system including spectral decomposition
JP6712349B2 (ja) アライメントシステム
US7130056B2 (en) System and method of using a side-mounted interferometer to acquire position information
JP4170937B2 (ja) 研磨された不透明なプレートの形状と厚さ変化を測定する方法と装置
JP5536667B2 (ja) 低コヒーレンス走査干渉法における走査エラー補正
TWI448661B (zh) 使用極化掃描法之干涉儀
US8107084B2 (en) Interference microscope with scan motion detection using fringe motion in monitor patterns
US6376329B1 (en) Semiconductor wafer alignment using backside illumination
KR101223195B1 (ko) 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정 및 산란 측정을 위한 간섭측정 방법 및 장치
US20080180685A1 (en) Interferometry for lateral metrology
KR102326190B1 (ko) 정정 유도 방법 및 장치, 구조체의 속성을 결정하는 방법 및 장치, 디바이스 제조 방법
CN103140805B (zh) 微光刻投射曝光设备和微光刻曝光方法
KR20160093021A (ko) 비접촉 광학 방법을 이용한 포토리소그래피 마스크를 위치시키기 위한 방법 및 장치
JP4427632B2 (ja) 高精度三次元形状測定装置
JP5815221B2 (ja) リソグラフィ装置およびデバイス製造方法
US20160025480A1 (en) Interferometric level sensor
US10942461B2 (en) Alignment measurement system
US8797537B2 (en) Interferometer with a virtual reference surface
JP2003004424A (ja) 面形状測定方法および装置
JP2001194106A (ja) 干渉計用アライメント光学系およびこれを用いた装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid