TWI331211B - Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object - Google Patents

Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object Download PDF

Info

Publication number
TWI331211B
TWI331211B TW093127851A TW93127851A TWI331211B TW I331211 B TWI331211 B TW I331211B TW 093127851 A TW093127851 A TW 093127851A TW 93127851 A TW93127851 A TW 93127851A TW I331211 B TWI331211 B TW I331211B
Authority
TW
Taiwan
Prior art keywords
light
optical path
interference
reflected
measuring
Prior art date
Application number
TW093127851A
Other languages
English (en)
Other versions
TW200528686A (en
Inventor
Colonna De Lega Xavier
j de groot Peter
Kuchel Michael
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of TW200528686A publication Critical patent/TW200528686A/zh
Application granted granted Critical
Publication of TWI331211B publication Critical patent/TWI331211B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02017Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations
    • G01B9/02019Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations contacting different points on same face of object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02022Interferometers characterised by the beam path configuration contacting one object by grazing incidence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02087Combining two or more images of the same region
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/30Grating as beam-splitter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Mathematical Physics (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Transplanting Machines (AREA)

Description

1331211 九、發明說明: 【發明所屬之技術領域】 本發明有關於一物件(〇bject)之干涉分析 (interferometric analysis),例如:對於具有一基底 (substrate)、且此基底具有一或多個至少局部透明層結構 (transparent layers)之干涉分析。 【先前技術】 一般而言’干涉量測技術(interferometric techniques) 是用以對於一物件之表面上的輪廓(prof ile)進行量測。為 了達到上述目的’藉由一干涉儀(interferometer)將興趣區 (interest)所反射之一量測前導波(measurement wavefront)、一參考表面所反射之一量測前導波加以結合, 如此以產生一干擾譜(interferogram)。於干擾譜中之複數 條紋(f r i nges)可用以指示出興趣區之表面、參考表面之間 的空間變化(spatial variations)。 一掃描干涉儀(scanning interferometer)是用以對於 干涉儀之參考腳與量測腳(reference and measuremenl; legs) 之間、一範圍(range)之光程差(optical path length differences)(OPD)進行掃描,此範圍是可相同於或大於干 涉前導波(i nter f ere measurement wavefront)之同調長度 (coherence length),如此便可對於各相機像素(camera pixel)而產生一掃描干涉量測信號(scanning interferometry si ngnal),其中,相機像素是用以對於干 擾譜進行量測。舉例而言,利用一白光光源(whi te-1 i ght source)及/或一空間擴大光源(spatially extended source) 是可產生一有限同調長度(limited coherence length)。以 掃描白光干涉測量法(scanning white light interference interferometry,SWLI)之技術為例,於SWLI中包括了寬頻 光源(broadband source)的使用。一典型掃描白光干涉測量 1057-6541-PF;Ahddub 5 1331211 法(typical scanning white light interference interferometry, SWLI)信號為位於接近零光程差(zer〇 optical path length differences)(OPD)位置之少數條紋。 一般而言’此信號之特徵是利用具有鐘型條紋對比包絡線 (bell -shaped fringe-contrast enve 1 ope)之一正弦載子調 變(sinusoidal carrier modulation)( “條紋,’)所描述。 以SWLI精密量測(SWLI metrology)為基礎之傳統方法 (conventional idea)中,其利用條紋的位置來對於表面輪 廓進行量測。 在用以處理低同調干涉量測資料(low coherence interferometry data)之技術中包括了 兩主要趨勢 (principle trends)。第一種方法是對於包絡線之尖峰(peak) 或中心(center)進行定位,其假設此位置是相對於兩光束干 涉儀(two-beam interferometer)之零光程差(〇PD),其一光 束是由物件表面所反射。第二種方法是將信號轉換為頻域 (frequency domain)且計算出具有波長之相位變化率,其假 設一實質線線斜度(essentially linear slope)是直接正比 於物件位置。舉例而言,Peter de Groot所提出之U. S. Patent No. 5, 398, 113。稍後所提出的方法是稱之為頻域分 析(frequency domain analysis, FDA) ° 【發明内容】 於此所提出之本發明的系統與方法是可用以對於具有 一或多個界面之物件的空間性質進行決定。 於一特学中,本發明是有關於一種光學系統(optical system),此光學系統包括:一微影系統(ph〇to 1 ithography system) ’經設計利用一光線圖案(light pattern)對於一物 件之一部分(por t i on)進行照射’微影系統包括一參考表面 (reference surface); 一 低同調干涉儀(l〇w coherence interferometer) ’ 具有一參考光程(reference optical 1057-654l-PF;Ahddub 6 1331211 ^ Path)與一量測光程(measurement opt ical path),沿著參 考光程所移動之光線是經由參考表面而至少反射一次,沿著 量測光程所移動之光線是經由物件而至少反射一次;以及一 偵測器(detector),設計用以偵測一低同調干涉信號(low coherence interference signal),低同調干涉信號包括了 已經過參考光程之光線、已經過量測光程之光線,低同調干 涉信號是用以指示出參考表面、物件之間的一空間關係 (spatial relationship)。 於部分實施例中,微影系統包括一照明鏡片 (i 1 lumination optic) ’照明鏡片具有一照明透鏡表面 (i 1 luminat ion optic surf ace),光線圖案之光線是沿著包 括有照明透鏡表面之一光程進行移動,其中,照明透鏡表 面、參考表面具有至少部分同等範圍(partially -coextensive)。沿著量測光程進行移動之光線是經由物件之 部分而至少反射一次,如此便可被微影系統所照射。 已經過參考光程之低同調干涉信號的光線、已經過量測 光程之低同調干涉信號的光線是具有光程差範圍(a range of optical path length differences),這些光程差範圍 疋至少為低同調干涉儀之一同調長度的2〇%。光程差範圍是 至少與低同調干涉儀之同調長度一樣大。 於部分實施例中’偵測器包括複數偵測器元件 (detector elements),這些偵測器元件用以分別對於一個 別低同調干涉 k 號(repective low coherence interference signal)進行偵測,這些低同調干涉信號分別包括了已經過 參考光程之一個別不同部分(respecHve different port i on)的光線、已經過量測光程之一個別不同.部分的光 線,這些低同調干涉信號分別用以指示出物件之一不同作用 點(different point)與參考表面之間的一空間關係。 光學系統可設計為決定物件之各這些不同作用點、來 表面之間的空間關係,參考表面是基於這些低同調干涉信號 1057-6541-PP;Ahddub 7 1331211 ^ 中之至少一個別低同調干涉信號(repective)。光學系統可 包括一可移動平台(translations tage),移動階段用以控制 物件、微影系統之間的一相對位置與方向(realtvie position and orientation) ’其中,處理器是設計成為基 於空間關係以對於物件與微影系統之一相對位置( rea1 tv i e posit ion)進行修改0 本發明之另一特點是有關於一種方法,其包括了 :將一 物件沿著一微影系統(photo 1 i thography system)之一光程 (opt i cal path)進行定位;對於來自於微影系統之一參考表 面(reference surf ace)之一光源(1ight source)之光線 (light)的一第一部分(first portion)進行反射;對於來自 於物件之光源之光線的一第二部分(second portion)進行反 射步驟;以及以形成步驟形成一低同調干涉信號,低同調干 . 涉信號包括了由參考表面所反射之光線、由物件所反射之光 線,低同調干涉信號是用以指示出物件、成像系統(i m a g i n g 'system)之間的一空間關係(spat ial relationship)。 於部分實施例中,此方法包括:對於來自於微影系統之 參考表面之複數位置(locations)中之每一位置之光源之光 線的一個別第一部分(respective first portion)進行反射 步驟;對於來自於物件之複數位置中之每一位置之光源之光 線的一個別第二部分(respective second p0rti〇n)進行反 射;以及進行複數低同調干涉信號之形成步驟,各這些低同 調干涉信號包括了經由參考表面之這些不同位置中之一個 別不同位置所反射之光線、經由物件之這些不同位置中之一 個別不同位置所反射之光線,各低同調干涉信號是用以指示 出物件之這些不同位置中之至少一者、微影系統之間的一空 間關係。 於部分實施例中,在完成了物件之定位之後,此方法可 包括了執行光線之第一部分之反射、光線之第二部分之反 射。 1057-654l-PF;Ahddub 8 1331211 我 在基於空間關係之下,方法争白 古更包括了對於物件與參考* 面之一相對位置進行改變。泉去I ^ 丁科爹亏表
/ . 芡参考表面為微影系統之一鏡P (optic)的一表面。利用了糾岁么从η 〜相『微影系統將一紫外续本 (ul traviolet 1 ight)投影成傻於舲戚々u ^ " ,1+ . , + . 、ο珉像於物件之上,形成紫外線影 像(ultraviolet image)之光線是經過了一光程苴 程包括鏡片之表面。 物件包括一基底(substrate)與一底薄臈(thin fUm), 薄膜具有-外表面’並且於形成步驟包括了對於參考表面所 反射之光線、薄膜之外表面所反射之光線進行結合,空間關 係是介於薄膜之外表面、微影系統之間。 來自於光線之光線之第二部分的光線於實質上是被薄 膜所減弱(attenuated)。 薄膜包括光阻(photoresist ),並且來自於光源之光線 之第一部分的光線所具有之能量(energy)是不足以對於光 阻進行曝光。 ' 物件包括一基底與一薄膜,薄膜具有一外表面,並且於 形成步驟包括了對於參考表面所反射之光線、基底所反射之 光線進行結合’空間關係是介於基底、成像系統之間。 物件之光源之光線之一第二部分的反射步驟是包括了 在布魯斯特角度(Brewster’ s angle)上對於物件進行照射 (irradiating)。 本發明之另一特點是有關於一種可決定一物件之一空 間性質之一性統,此系統包括了:一光源;一光學系統,設 計成為:以來自於光源之第一部分之光線、於一入射掠入角 (grazing angle of incidence)之下對於物件進行照射,第 一部分之光線之至少一部分是經由物件所反射;在這些光程 差範圍内,對於自物件所反射之光線、源自於相同之光源之 光線的一第二部分之間進行結合;以及一偵測器 (detector),當複數干涉條紋(interference fringes)分別 具有一尖峰振幅(peak amp 1 i tude)時,偵測器可對於在這些 9 1057-654l-PF;Ahddub 1331211 * 覆薄膜之間的一界面(interface)。 光線之第一圖案包括了來自於一光源(light s〇urce)之 光線的第一部分(f irst porti〇ns)與第二部分(sec〇nd ' portions),並且光線之第一圖案為—干涉圖案 (interference pattern)。干涉圖案包括複數條紋,這些條 紋是由一包絡線(envelope)所調變(modulation),並且物件 之一空間性質之決定步驟包括了對於與條紋有關之包絡線 之一部分之一位置進行決定。 基於部分包絡線之位置,則物件可被再定位。 於部分實施例中,其方法包括了:將光線之一參考圖案 (referenc pattern)投射至一參考表面(referenc _ surf ace);對於投射至參考表面之參考圖案之光線進行债 測,以及在基於參考圖案之彳貞測光線(de t ec t ed 1 i gh t)之 下,物件之一空間性質之決定步驟包括了對於物件之一相對 空間性質(relatively spatial property)及參考表面進行 ’ 決定。在基於相對空間性質以對於物件進行移動。 於部分實施例中’其方法更包括:對於光源之一性質 (property)進行修正步驟,藉此以將一第二干涉圖案 (second interference pattern)投射至物件,第二干涉圖 案包括有複數條紋,並且這些條紋於實質上具有相同的振幅厂 (ampl i tude);對於第二干涉圖案之光線進行成像,第二干籲 涉圖案之光線是受到基底作用而擴散散射(diffusely scattered);以及基於來自於第二干涉圖案之擴散散射光線 之下,進行物件之一第二空間性質(second spatial property)之決定步驟。第二空間性質為物件之一部分之一 圖貌。第二空間性質是用以指示物件之一絕對位置 (absolute position)。在對於光線之第一圖案進行投射之 前,方法包括了至少執行修正步驟。 本發明之另一特點是有關於一種裝置(apparatus),其 包括:一微影系統(photolithography system),設計用以 1057-654l-PF;Ahddub 11 1331211 > 對於具有一第一光線圖案(f i rst 1 ight pattern)之一物件 之一部分進行照明’微影系統包括一參考表面(referenc surface) ’物件包括一基底(substrate)與一被覆薄膜 (overlying thin film); — 定位器(positioner),用以對 於微影系統與物件之間的一相對位置(relative position) 進行改變;一光映機(light pro jector),用以將一第二光 線圖案(second light pattern)投射至物件之被覆薄膜之 上;一光學系統’用以對於第二光線圖案之光線進行成像, 第二光線圖案之光線受到基底作用而擴散散射(dif fusely scattered); —處理器(processor),設計成為基於擴散散 射光線以決定物件之一光學性質’並且操作定位器以改變微 影系統與物件之間之相對位置。 本發明之另一特點是有關於一種光學系統,其包括一偵 測器(detector),於偵測器中所具有之複數元件是以至少二 維的方式進行設置。此光學系統是利用一光源之光線對於一 物件之相互間隔之複數作用點進行照射’在相對於各被照明 作用點可形成一個別干涉圖案,各干涉圖案是沿著偵測器之 一第一維度而延伸,對於不同作用點之干涉圖案是沿著偵測 器之第二維度而相互間隔。 本發明之另一特點是有關於一種光學系統,其包括了: 一光源;沿著至少一維度進行延伸之成陣列之偵測器元件 (detector element);利用光源之光線的第一部分對於一物 件之作用點進行照射之一干涉儀(interferometer);由被 照明作用點所反射之聚焦光線(f〇cus丨igh〇做為一伸長焦 點(elongated object focus),此伸長焦點是沿著陣列之第 維度而延伸,對於來自光源之光線的第二部分進行聚焦而 成為一第二焦點,此第二焦點是沿著陣列之第二維度而延 伸’沿著陣列之第一、維度上之第=焦點與伸I焦點是至少具 有一致性的部分;一光程差(〇ptical path difference, 0PD),此0PD是介於被照明作用點所反射之光線、來自光源 1057-654l-PF;Ahddub 12 1331211 一 舌’界面可形成於一物件之外表面,或是界面可以内建方式 (internal ly)形成於不同物質之間。具有至少一界面之物件 之空間性質是相關於各種不同的領域(f i e 1 d s ),這些領域包 括了平板顯示器(flat panel displays)、微電子 (microelectronics)、微影(photolithography)、薄膜特徵 (thin film characterization)及不同物質分析 (d i s s i m i 1 a r in a ΐ e r i a 1 s a n a 1 y s i s) 〇 當藉由干涉測量法對於具有多界面(multiple interfaces)之物件進行分析時,各界面便可產生一干涉圖 案(interference pattern)。如果界面之間是以緊密間隔之 方式進行設置時’則干涉圖案之間便會造成彼此相互重疊、 扭曲等現象。然而,此扭曲現象可能會造成物件之空間性質 的錯誤決定(erroneous determinati〇ns)。舉例而言,試圖 將具有一被覆光阻(overlying photoresist)之半導體晶圓 (semiconductor wafer)定位於一聚焦位置(f〇cus position),此聚焦位置是與一微影系統(ph〇t〇Hth〇graphy system)有關》微影之品質(qual ity)是與晶圓、微影系統之 間所能達到之定位的精密程度有關。然而,雖然經由光阻之 外表面、光阻與晶圓之間的界面可產生干涉圖案,但這些干 ^圖案相料會造成了光阻外表面或晶圓在進行確實定位 與方向時的困難’其結果將可能影響微影之品質。 即使是在其它相鄰接或緊密間隔之界面存在的情況 ..工由本發明之系統與方法是可對於一物件之被選擇界面 ^Ucted interface)的一空間性質進行決定的。其它實施 例中,可包括:光線是可採用入射掠入角(grazingangie〇f 且入射掠入角α可至少為 如:至少為8 0 於物件進行照射,並且可對於包括了 之低同調干涉信號進行偵測。入射掠 t角2與f向於物件所延伸之維度(―)有關,並 '至少為70。、至少為75。(例 1057-654 l-PF;Ahddlib 1331211 在掠入射照明(grazing incidence illumination)的作 用下,與被照明物件(i 1 luminated object)之其它界面有關 之外表面的反射率(reflectivity)是可被增加的。在反射率 增加(reflectivity increase)的作用是可增加來自於外部 界面(outer interface)之干涉圖案,但物件之内部界面 (i nt erna 1 i nt er f aces )則相反。因此,就相對於物件之外 表面之空間性質、入射照明(incidence i 1 lumination)之法 線角(normal angle)而言,經由掠入射(grazing incidence) 所獲得之干涉信號是比較靈敏的(sensitive)。此外,在可 經由一層結構之外表面以增加干涉圖案之實施例中,其亦可 (或擇一方式)包括了對於照明光線(i lluminating丨ight)i · 波長(wave length)進行選擇,此照明光線是被層結構所減弱 (attenuated)(例如:吸收(absorbed))。由於層結構吸收了 光線’則來自於底界面(underlying interfaces)之干涉圖 案便相對地被減弱。 此外’在所揭露之實施例中的掠入射技術(g r a z i n g incidence technique)是結合有低同調干涉量測法 (low-coherence interferometry)。於下述說明中,經處理 後之低同調干涉量測資料(low-coherence interferometry data)可提供出一複雜例子(compiex sampie)之一或多個界& 面之空間資訊(spatial information),例如:薄膜結構(thin film structure)。另外,在藉由一光譜寬頻光線(spectrally broadband light)及/或一空間擴大光源的作用下,此低同 調干涉置測資料仍是可被獲得的。因此,在經由一物件之外 表面以提高干涉圖案(interference patterns)之部分實施 例中’其包括了利用具有掠入射(grazing incidence)之寬 頻光線對於物件進行照射,例如:具有至少為6nm、至少為 12. 25nm、至少為25nm、至少為50nm、至少為1 〇〇nm或至少 為 150nm 之半高全寬(full width half maximum, FWHM)的 光線。相較於一物件之内部界面(internal interfaces)所 1057-6541-PF;Ahddub 15 1331211 ,獲付之干/步圖案可知,當掠入射照明(grazing incidence 111 uml na11 on)與寬頻光線之間結合時,於物件之外表面所 獲得之干涉圖案是成比例地可被增加的。 在對於源自於一層結構之一外表面之干涉圖案進行增 加(enhancing)之下,其對於上述微影定位 (photolithography positioning)的相關應用 (appl 1 cat ions)將會有相當的助益。舉例而言,於此所提出 之掠入射方法(grazing incidence method)及其系統是可對 於覆蓋於一基底之上、相對精確度約為1%或有利於薄膜(例 如.薄膜厚度約為400 nm或更厚)之一光阻層的厚度進行決 定。根據一特定例.子可知,在具有公稱波長(n〇minai · ^^¥6 1611忌1±)為60〇11111、20〇11111之卩¥關、入射角<2為8〇。、+/_3 。之Δα之光線的照射作用下,覆蓋在厚度為45〇nm之矽薄 膜(silicon film)、厚度為 45〇nm 之 χη 157nm ϋν 光阻之 厚層結構(thick layer)的誤差是可被決定在± 4 9nm。 就具有掠入射照明之實施例而言,其並非用以限制僅可 對於源自於一物件之一外表面之干涉圖案進行增加而已,内 部界面之干涉圖案仍可被增加的。舉例而言,利用具有在布 魯斯特角度(Brewster,s angle)之光線是可對於一物件進 行照射,其中,此光線是在入射角所定義的一平面(pi 上被極化(polarized)。於本例子中,布魯斯特角度是m · 覆蓋層之光學性質(optical properties)(例如:折射率 (refractive index))、照射光線之波長所決定的。在相對 於外表面之干涉圖案而言’利用布魯斯特角度是可以使得底 界面(underlying interface)之干涉圖案以成比例的方辦 加。 式曰 相較於正常角度(normal angle)之入射照明之而古,且 有入射掠入角之照明是具有其它優點的。舉例而言,&有二 射掠入角之照明光束(i 1 lumination beam)是可以對於相束 接近(proximity)於其它物件或系統之一物件進行照射: 1057-6541-PF;Ahddub 16 1331211 又,就微影系統之成像鏡片(imaging 〇ptics)、具有被成像 之光阻之一物件之間的照明光束而言,此照明光束是可在上 述所提出之掠入射干涉儀(grazing incidence interferometer)而被導引。因此,與薄膜分析(thin film analysis)有關之掠入射是具有可同時(in situ)在複雜、密 集的工作環境中進行的優點_基於微影裝置 (photolithography apparatus)之作用下,於此所提出之干 涉儀及光學系統是可對於一物件(例如:被成像物件之一子 集(subset))之空間性質進行決定,並且可對於物件、微影 裝置之相對位置及/或方向進行回授(feedback)與修正 (modify)。此外,干涉儀與光學系統分別可包括一參考表面 (ref erence surf ace),此參考表面為其本身之微影裝置上 的一表面,例如:微影妓置之一光學透鏡上的一表面。 由此可知,藉由掠入射照明的方式是可增加所選擇界面 (selected inter face)(不論是外表面或内界面)中之干涉圖 案’如此便可在基於干涉圖案之下以增加所決定之空間性質 的精確度(accuracy)。以下便針對用以決定物件之一或多個 空間性質之方法與系統提出相關說明。首先先針對具有至少 一界面之一物件提出基本的說明,並且對於可能可以經由物 件所獲侍(例如.利用一低同調掠入射干涉儀(l〇wc〇herence grazing incidence interferometer)之干涉圖案提出介 紹’隨後並將對於光學系統之實施例提出說明。 請參閱第la圖,一物件30包括了 一基底32與一層結 構34。物件30包括複數界面,其中,這些界面是出現在具 有不同折射率(refractive index)之材料(material)之間。 舉例而§ ’ 一物件環境界面(object-surroundings inter face) 38是定義為:層結構34之一外表面39接觸於環 境物件(environment surrouding object)之位置上,例如: 液體(1丨911丨(1)、空氣(以1*)、其它氣體或真空(^%1111111)。基 底32之一表面35與層結構34之底面(bottom surface)37 1057-6541-PF;Ahddvib 17 1331211 矣 之間疋定義為一基底層界面(substrate-layer interface)36。基底32之表面35可包括了複數圖案外貌 (patterned features ) 29,部分的圖案外貌之高度是相同於 其所鄰接之基底32的部分’但兩者之折射率(refractive index)並不相同。其它的圖案外貌是可相對於其所鄰接之基 底32的部分而向下或向上延伸。因此,基底層界面36(當然 包括了物件環境界面38)是可以呈現出複雜且多變化之圖貌 (topography) ° 請參閱第2圖’一干涉信號(interference signal)90 為一低同調干涉信號(low coherence interference signal ) % § 之範例’此低同調干涉信號是可經由具有相當接近間隔界面 (closely spaced interfaces)、且是利用相關所述之系統 與方法之物件而獲得。干涉信號90包括了第一重疊干涉圖 案與第二重疊干涉圖案(first and second overlapping interference pattern)92、96,其中,第一重疊干涉圖案 92、第二重疊干涉圖案96分別源自於外表面39、基底層界 面3 6。干涉信號90之X轴(X-ax i s)是相對應於經由物件所 反射之光線、參考光線之間的光程差(0pticai path difference,0PD)。一干涉儀是可以經由掃描(scanning)以 改變0PD,例如:在對於光學透鏡及/或物件進行移動之下, 經由物件或參考光線所反射之光線所經過之光程(〇ptical path)便可被改變。藉由偵測物件或參考光線所反射光線之 空間分佈(spatial di st ri but ion)之下,干涉儀是可以採用 交替或結合的方式以改變OPD ’並且變化的0PD是用以做為 空間位置(spatial position)之函數。 在具有相同形狀、相同寬度之各別的同調包絡線 (coherence envelope) 97、95之作用下,經調變後的第一重 疊干涉圖案92、第二重疊干涉圖案96是可用以做為〇pd的 函數。即使是在同調包絡線9 7、9 5不存在的情況下,條紋 98、99仍是具有相同的振幅(amplitude)。一般而言,干涉 1057-654l-PF;Ahddub 18 1331211 圖案包絡線(interference pattern envel〇pe)的寬度是相 對應於所測得光線之同調長度。在這些用以決定同調長度的 因子(factors)中包括:與暫時同調現象(temp〇ral coherence phenomena)有關(例如:光源之光譜頻寬 (spectral bandwidth))之因子、與空間同調現象(spatial coherence phenomena)(例如:照射於物件之入射光線的角 度範圍)之因子。 同調長度的降低因素包括了 :(a)光源之光譜頻寬的增 加及/或(b)入射角度範圍的增加。根據用以獲得資料之一干 涉儀之組態可知,錯由同調現象中之一者或其它的同調現象 是可用以進行支配,或是可於實質上同時利用暫時同調現 象、二間同調現象以對於所有同調長度有所貢獻。於部分實 施例中’入射干涉儀之掠入角是以寬頻光線(br〇adband light)對於物件進行照射,此寬頻光線之入射角的範圍為△ α。寬頻光線的入射角的範圍是可以擴大的,範圍可為 ±20°或少於±20° 、±10。或少於±1〇。 、±5。或少於±5 、土 3 或少於± 3 。於部分實施例中,照明數值孔徑 (i 1 luminat ion numerical aperture)為 0.2 或少於 〇.2、0.1 或少於0.1、0.07或少於0_07、0.06或少於〇.〇6,例如: 0.05或少於〇.〇5。相較於一正常入射的情況,由於在入射 掠入角之作用下’空間同調現象便會以較小的入射角範圍對 於所觀察之干涉信號造成了影響,如此便可得知空間同調現 象是與傾斜射線(obi ique rays)之光程中的改變(changes) 有相關’其傾斜射線是採用了具有入射角α之餘弦(cosine) 之相反方式進行計量(scale inversely)。在us patent application no. 10/659,060, titled Interferometry Method for El 1ipsometry, Ref 1ectometry, and
Scatterometry Measurements, Including
Charactgerizaiton of Thin Film中已針對了包含來自於空 間同調現象之表現(contributions)的干涉信號提出了相關 1057-6541-PF;Ahddub 19 1331211 analysis, FDA)或其延伸(extension)的期間是可進行上述 的轉換。關於FDA方法是已揭露於U. S. Patent No. 5, 398, 1 13、標題為 “METHOD AND APPARATUS FOR SURFACE TOPOGRAPHY MEASUREMENTS BY SPATIAL-FREQUENCY ANALYSIS OF INTERFERENCE SIGNALS,” 與 U.S. Patent Application No. 1 0/795808 filed March 8,2003、標題為 “PROFILING COMPLEX SURFAE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY, ’相關於專利及專利應用(patent appl i cat ion)案是以參考方式併入本案之中。由此可知,對 於干涉信號的處理是不需要轉換的。舉例而言,即使是在不 具有干涉信號之轉換的情況下,干涉包絡線(interference envelope)的最大值(maximum)仍是可以提供空間性質資訊 (spatial property information) 〇 如第2圖所示,一干涉信號90之一部分(p〇rti〇n)94是 經由相對於第二重疊干涉圖案96之第一重疊干涉圖案92的 表現所支配。由上述說明可知’第一重疊干涉圖案9 2是經 由物件30之外表面39所產生的結果,並且根據干涉信號 之部分94可決定表面39之一空間性質(例如:位置及/或高 度),此部分94僅構成了所有干涉信號9〇之一子集。在u. s. application ηο· **/«*,***、標題為 ***** 與 peter’de Groot所同時巾請之**/***,料*、標題為Rapid Measurement of Surface Topographies in the Presence of Thin Films 中已對於干涉化號之子集所採用之定位與分析的方法、系統 提出了相關的說明,於此已將其完全合併列入參考。 以下將針對用以獲得、處理來自於物件(例如:呈有複 ^界面之物件)之低同調干涉信號的干涉量測系統 (interferometry system)與方法提出說明。 晴參閱第3圖,一光學系站inn euro * +. 尤予糸統是利用繞射透鏡 mlve 〇Ptics)、以一入射掠入角對於一物件進行昭 射’並且㈣光學系統1QG可提供_低同調干涉信號,藉'由' l〇57-6541-PF;Ahddub 21 1331211 % 低同調干涉信號以指示一物件之一或多作用點之空間性 質β光學系統100包括一干涉量測系統i 01與一照 (illumination system)150 ,其中,干涉量測系統了〇1與照 明系統1 50是設計用以做為量測物件3〇與其它物件^使 用,例如.缺少覆盍層之物件,或是包括了多個類似於覆蓋 層之複數層結構。基於一低同調干涉信號之下,干涉量測系 統101可對於量測物件30之特性(characteristic)(例如:、 空間或光學性質)進行決定。請再參閲第la、lb圖,空間或 光學性質是與層結構34之外表面39或物件之子集4〇i有關 係。另一方面,在交替或結合方式的作用下,空間或光學性 質是與基底層界面36(例如:基底32之表面35)有關係。干 涉量測系統101是可分別或同時應用在相移位 (phase-shifting)、空間載子(spatial carrier)或頻域分 析(frequency domain analysis,FDA)技術之上,如此以提 供與物件30之相關資訊。 一般而言’經設計之照明系統1 5 0是利用光線1 6 7對於 物件 3 0之表面進行照射,如此以成像出一選擇圖案 (selected pattern)(例如:形成於物件環境界面38之上的 一電路圖案(circuit pattern))。以下將再搭配第3圖以對 於干涉量測系統101與照明系統150之各種特性(aspects) 提出說明。 干涉量測系統1 01是一種掠入射系統(g r a z i n g incidence system),藉此以對於量測物件進行分析。一光 源(light source)lll 可為一燈具(lamp)、發光二極體(light emitting diode)、多型態雷射二極體(multimode laser diode),或是利用氣體雷射(gas laser)產生一光束 (beam)102。當光束 102通過了擴充透鏡(expansion optic)103之後,光束102便產生了一初始照明前導波 (initial illumination wavefront) 1 04 〇 — 繞射透鏡光束 分離器(diffractive-optic beam splitter)105 可將初始照 1057-654l-PF;Ahddub 22 1331211 * 明前導波 104 分離成為一參考前導波(reference -wavefront)115 及一量測前導波(measurement wavefront)l 10,此繞射透鏡光束分離器1〇5是以零階壓抑 · 進行格栅(grating with zero-order suppress i on)之一線 性相位(1 inear phase)。參考前導波115、量測前導波110 是源自於相反的格柵階數(opposite grating orders)(例 如:第一正 / 負格柵階數(positive and negative first grating orders)),並且參考前導波115、量測前導波ι10 依序沿著發散方向(divergent direct ions)前進。在未行進 至一繞射透鏡光束結合鏡片(diffractive-optic beam comb iner )170之前’參考前導波ι15是會經由參考表面i3〇 (¾ 而反射一次’其中’繞射透鏡光束結合鏡片i 70是可相同於 繞射透鏡光束分離器105之構件。 於以下的論述中’參考表面1 3 0亦可設計為照明系統1 5 0 之一部分’例如:投影鏡片(projection optics)之一部分, . 藉此以將圖案光線(patterned light)集中於物件30(例如: 物件之子集4(h)之上。於其它的實施例中,參考表面13〇可 為光學平面鏡(flat)(例如:參考前導波115之平均波長 (average wavelength)的1/15,或是習用之表面形狀 (surface shape)) ° 广 凊同k參閱第la圖,一測量射線(measurement ray)i8i 是以物件3 0來說明量測前導波丨丨〇之光線的交互作用 (interaction)。在層結構34與基底32存在的情況下,測 量射線181的一部分便衝擊於物件環境界面38,並且經由物 件環境界面38而形成了一掠入角^之反射,隨後便形成了 一反射射線181,。如第6圖所示,具有反射射線丨81,之 一反射 £ 測月導波(reflected measurement wavefrontMlO’傳播至繞射透鏡光束結合鏡片17〇,於此便 與參考前導波115再次的結合,如此便形成了 一輸出前導波 (output waVefr〇nt)120。請再參閱第la圖,測量射線181 1057-654l-PF;Ahddub 1331211 拿 之一第二部分(second portion)可穿透層結構34,並且於基 底32之表面上、經由基底層界面36而反射,如此以形成了 一反射射線(reflected ray)181” 。由基底層界面36所反 射的光線產生了一第二反射前導波(second reflected wavef ront)(未圖示),此第二反射前導波通常是沿著與反射 射線181’相同之路徑進行傳播,但此第二反射前導波是與 垂直於傳播路徑(propagation path)之方向相隔了 一量值△ s。此外’第二反射前導波亦可結合於且干涉了參考前導波 115。 於部分實施例中之光學系統1 0 0的作用下,位於層結構 34與物件30之周圍環境之間、且由物件環境界 面38之表面备 3 9所反射的單一量測前導波是可被獲得,並且可經由光學系 統100對於基底32與層結構34之間的基底層界面36所反 射之量測前導波進行減弱或排除。在所選定之一光源u〗的 作用下,由於此光源111之波長於實質上可由層結構34所 吸收’則經由基底層界面36所反射之量測前導波是可被減 弱或排除。此外,由於光源是被表面層所吸收,則在物件環 境界面3 8之上便不會產生任何的反射量測前導波。但是就 實質上而言’反射量測前導波僅會源自於物件環境界面 38 (例如:表面層與空氣、其它氣體或真空環境物件(vacuuiQ surrounding 〇bject)30之間的一界面)。因此,反射射線 18 Γ及其它任何有關的前導波將會被減弱或排除。 於部分實施例中,在照明系統1 5 0所發出之紫外線光的 作用下’如此便可對於做為光阻使用之層結構34進行曝光。 一般而言,在不對於光活性部分(optically active component)進行曝光的情況下,光阻層(例如:溶劑(s〇lvent) 或光阻本身之光活性部分(optically active component)) 之一部分會吸收了較低的能量輻射(energy radiation),例 如:可見(visible)、近紅外線(near-inf rared)或紅外線 (infrared)輻射。此類型之非曝光吸收(n〇n_exp〇sing 1057-6541-PF;Ahddub 24 1331211 absorption)是可源自於光阻之振動激發(vibrational excitation) ’而不是採用紫外光之電子激發(electronic excitation)而得。在此一例子中,光阻吸收了量測光之一 部分,干涉量測系統1 01可產生一量測前導波,其中,於量 測前導波中包括了反射射線1 81,,並且量測前導波於實質 上僅會經由層結構34與環境之間的物件環境界面38所產 生,如此便可經由此量測前導波以傳送相對於物件環境界面 3 8之空間性質的資訊,例如:圖貌及/或表面3 9之位置。空 間性質所包含的部分是可參考照明系統1 5 〇之一部分而定, 例如:投影鏡片本身之表面1 3 〇。 % 於部分實施例中之光學系統1〇〇的作用下,位於基底32 與層結構34之間、且由基底層界面36所反射的單一量測前 導波是可被獲得,並且經由光學系統i 〇〇可對位於層結構34 之表面、環境所反射之量測前導波進行減弱或排除。在所選 定之極化P與入射光(inciden1; ligh1:)(例如:測量射線181) 之入射角α的作用下,由物件環境界面38所反射之量測前 導波是可被減弱或排除,如此便可減少與反射射線丨8丨,,有 關之反射射線181,的密度。舉例而言,極化p可 平行於物件環境界面38之入射的孚面 ^八π的十面。在交替或組合的作 用下,入射角α可設計為相同於布魯斯特角度(Brewster, =e下在;於外表面39所反射之布魯斯特角度進行 減弱下’如此以k供上述極化之使用。於其它任—例子中, 由外表面39之反射量是被減少或消除,並且於 !101所產生之量測前導波中包括了反射射線181” 2 ®測别導波於實質上僅會經由美 且 對於基底層界面36之空=由導波以傳送相 層界面36的圖貌及/或位=所基底之基底 考照明系統15〇之一d =斤的部分是可參 130。 刀而疋,例如:投影鏡片本身之表面 1057-6541-PF;Ahddub 25 1331211 不論在輸出前導波120中是否包括了基底層界面36、外 表面39或兼具兩者之資訊下,輸出前導波120是行經一透 鏡171與一成像透鏡173而到達一窺視榮幕(viewing screen)(例如.CCD 175 之一維偵測器(two-diemesional detector),於 CCD 175 具有樣本表面(sample surface)160 之一影像(image)190),並且在對於偵測器175進行橫向傾 斜的作用下’輸出前導波1 2 0便可以適當焦距通過了偵測影 像。此外,藉由傾斜之偵測器1 75亦可以降低影像1 90之縮 短(foreshortening)情況’其中,影像190為經由掠入角α 下對於樣本表面160之成像。於影像190中包括了與樣本表 面160之圖貌有關之干涉條紋資訊。經由上述解釋备 (interpretations)可知,相對於這些條紋之等效波長 (equivalent wavelength)Λ 可表示為 Λ=λ /cos(α ),其 中,λ為照明前導波1 〇 4之公稱波長。 “繞射透鏡(diffract ive-optic),’ 一詞是用以包括繞 射格柵(diffraction gratings)、二元光學(binary optics)、表面浮雕繞射鏡片(surface一relief diffractive lenses)、立體照相光學元件(h〇1〇graphic 〇ptical elements)、電腦全像素元件(c〇mpUter_generated % holograms)。上述各裝置是可用以做為傳輸 或反射(ref lection)用之光束分離器(beam spHtters)及結 合器(combiners),並且可對於非必要的繞射階數 (diffraction orders)(例如:第零階傳輸(zer〇,th 〇rder transimisison))進行抑制,如此以減少散射光線及增加效 能。製作繞射透鏡裝置之方法包括了:鑽石切削(diam〇nd maching)、同調光束干涉(立體照相)(c〇herent beam interference (holography))、注射塑製(injecti〇n molding)及 rfj 階微钱刻技術(advanced micro-lithographic echniques)。任何熟習此項技藝者均知悉,繞射透鏡是不同 於折射與反射光予元件(refractive and reflective 1057-6541-PF;Ahddub 1331211 optical elements)’ 例如:透鏡、棱鏡(prism)、鏡子(mirrors) 及平面透鏡光束分離器(plate beam splitters)。 當量測前導波110’與參考前導波115共同形成了輸出 前導波1 20時’量測前導波11 〇’之重疊部分與參考前導波 11 5於實質上是會源自於初始照明前導波丨〇 4之相同部分。 舉例而言’就繞射透鏡光束結合鏡片170之作用點 (point)189之上所再結合之一參考射線(reference ray)i85 與量測射線(measurement ray)而言,其於實質上是源自於 繞射透鏡光束分離器105之相同的作用點1〇9。因此,初始 照明前導波104之像差(aberrations)或空間不同調性 (spatial i ncoherence )最多只可能會在干涉條紋 上產生弱% 效果(weak effects),此現象可經由影像19〇而觀察。根據 另一結果可知,於擴充透鏡1〇3中之小缺陷(small defects) 或源自於空氣擾流(air trubulenc)之扭曲(distrotions)最 多只可能會在影像190之分析(anaiySis)上產生弱效果。根 據再一結果可知,源自於繞射透鏡光束分離器丨或繞射透 鏡光束結合鏡片170中之平坦部(f latness)的偏差 (deviations)最多只可能會在干涉條紋上產生弱效果,此現 象可經由影像1 9 0而觀察。 等效波長Λ於實質上是獨立於光源ηι之波長又為干涉 量測系統1 01之另一特徵,並且於下文將針對此一特徵提出籲 說明。根據干涉量測系統101之幾何形狀與繞射格柵 (diffraction gratings)之既有特性可知,入射角α可表示 為 cosU )=λ /D,其中,D 為格柵節距(grating pUch), 亦即,於繞射透鏡光束分離器1〇5、繞射透鏡光束結合鏡片 170之格柵劃線(grating lines)之間的線性分離(Hnear> separation)。因此,等效波長Λ =D ’並且不同的光源波長 A產生相同的等效波長Λ,此等效波長Λ等同於格柵節距。 於實質上,由量測前導波110所橫向通過的光程是相同 於參考前導波115所橫向通過的光程,如此可便利於干涉量 1057-6541-PF;Ahddub 27 1331211 * 測系統101之多模式雷射二極體(multimode laser diode) 或其它光譜寬頻裝置(spectrally-broadband device)(例 如:波長範圍>0.1 nm)之操作。在光譜寬頻裝置之作用下, 除了影像190的品質可被增加之外,單模雷射.(signei_m〇de laser)或其它尚同調光譜窄頻(high-coherence spectrally narrow_band)或早色光裳置(monochr〇matic device)(例 如:當波長範圍<0· 1 nm是用以做為干涉量測之光源時)之虛 假條紋圖案(spur i ous f r i nge pat terns )、斑點噪音特性 (speckle noise characteristic)的效果是可被減少的。此 外’在量測前導波11 〇、參考前導波11 5所橫向通過之光程 之實質平等(substantial equal ity)的作用下,除了會減低_ 第一實施例之干涉量測系統1 〇 1之波長的感光性而達到不穩 定性(instability)之外,其亦可能造成雷射二極體之問 題、於雷射模式之間造成了不可預期的振盪。 另一種可自干涉量測系統1 01獲得干涉量測資料的方法 • 為相移位方法(phase-shi f ting method),其利用偵測器175 以量測出干涉狀態(interf erence state)之數量,並且在經 由量測光束(measurement beam)與參考光束(reference be am)之間之0PD的導入(introducing)下,相移位資料 (phase-shi f ted data)便可被獲得。經由繞射格柵中之一者广 之薄膜内面移動(in-plane translation)可產生上述0PD, 零 例如:垂直於格柵劃線之方向》 就另一種用以導入0PD之方法而言,其包括了將一微小 差值(si ight di f f erence)導入於兩格柵之間之格柵周期 (grating period)之中,如此將造成了量測前導波110、參 考前導波11 5之間的傾斜(t i 11 ),並且由於偵測器1 75之量 測前導波11 0、參考前導波11 5是相互干涉,如此將導致一 空間載波條紋圖案(spatial carrier fringe pattern)的形 成。根據本實施例中一技術可知,其係可自偵測器之單一曝 光(single exposure)而取得高度資訊(height 1057-6541-PF;Ahddub 28 1331211 • information)。舉例而言,其是可採用傅利葉轉換相位量測 (Fourier transform phase flieasurement) 〇 就照明系統150而言,照明光源(iiiuminati〇n S〇Urce)152所發出光線160是經由一光束調節器(beam conditioner)154所接收。光束調節器154係用以將一調節 光束(conditionered beam)162 指向於複數照明鏡片 (illumination optics)156,其中,光線164經由照明鏡片 156而傳輸通過一遮罩(mask)或線網(reticie)i58、且光線 166經由投影鏡片(projectionoptics)157而投射至物件30 之界面(interface)38 上。 般而..5 ’照明光源152為紫外光源(ultraviolet source)(例如:可發出一紫外雷射光束(ultravi〇let User beam)之雷射)。於部分實施例中,就照明光源j 52所發出之 光線160而a ’其波長可為248奈米(nanometers,nm)、193nm 或157nm。此外,照明光源152亦可為一脈衝雷射(pulsed laser)或連續波雷射(continuoUs wave laser)。光束調節 器154是用以對於自照明光源152所接收之光線ι6〇進行調 卽’如此以產生具有限定剖面(defined cross-section)之 一校正光束(col 1 imated beam) 1 62。光束調節的例子可包括 了 折射及 / 或反射鏡片(refractive and/or reflective optics) ’ 並且在 U.S. Pat. No. 5, 631,721,titled Hybrid
Illumination System for Use in photolithography, by S. Stanton,et al.已對相關技術提出說明.,並且此專利案是 以參考方式併入本案之中。 照明鏡片156接收調節光束162與輸出光線164而形成 了一照明場(i 11 um i na t i on f i e 1 d),藉此照明場以對於遮罩 或線網1 5 8進行照射。當照明場之尺寸改變時,照明鏡片丨5 6 除了可3又6十為用以提供具有均勻照度(uniform irradiance) 的照明场之外,照明場之角度分佈(angulardistribution) 與特性亦可經由照明鏡片i 56而維持一定。一般而言,遮罩 l〇57-6541-PF;Ahddub 29 1331211 *或線網159包括一圖案(例如:電路圖案(circuit pattern)),此圖案是被投影至一物件之上。舉例而言,照 明鏡片156可將圖案聚焦於物件之一子集之上。 請仍參閱第6圖,一大工作距離(large w〇rking distance)是經由干涉量測系統101而提供至物件環境界面 38、參考表面130,其中,工作距離是表示一樣本表面與最 近光學元件(closest optical component)之間的距離。於 掠入射系統(grazing incidence system)101中之大工作距 離可表示為:在不必考量可能造成物件環境界面38或其它 元件之損害的情況下,物件環境界面38可以採用相當容易 的方式進行定位。 如同於上述所提出之各種干涉量測系統,光學系統1 〇 〇 可包括一定位平台(positioning Stage)119,此定位平台119 -是用以對於與其它物件(例如:照明系統150之投影鏡片之 表面130)有關之物件30進行定位。定位平台119是經由電 . 腦控制(coinputei· control)所操作,於電腦控制中具有來自 於干涉量測系統101所獲得之資料(data)之回授 (feedback),並且在定位平台119所提供之平移與轉動定位 (translational and rotational positioning)的作用下, 物件30可被定位在與其它物件有關之一所需空間關係广 (desired spatial relationship)的狀態。舉例而言,基於籲 外表面39或物件之子集4(h所反射之前導波所獲得之干^量 測資料可知,由於光學系統丨00可對於物件3〇進行巧妙的 操控,如此使得外表面39或物件之子集40i可被定位在與參 考表面1 30有關之一既定空間關係的狀態。此外,藉由光學 系統100可在基底層界面36、參考表面130之間對於物件 3 0進行相同的空間關係的操控。 清參閱第4圖,Mach-Zehnder干涉量測系統 (Mach-Zehnder interferometry system)250 為掠入射干涉 量測儀之另一例子,藉由Mach-Zehnder干涉量測系統2^〇 1057-6541-PF;Ahdd\xb 30 1331211 • 可對於具有複數界面之一物件之空間性質進行決定。於本實 施例中,由於複數鏡片可採用相當緊密的方式進行設置,系 統便具有小型化(smal 1 footprint)、可與其它系統連結以 對於一物件進行操控之特點。 在光線以入射掠入角對於物件進行照射之下,
Mach-Zehnder干涉量測系統250可對於一物件252之空間性 貝進行決疋。糸統250包括一光源(light source)254 ,此 光源254可為一頻寬及/或可發出一光束255之擴大光源。 典型的光源包括一白光LED(white 1 ight LED)及一氙弧光燈 (xenon arc lamp) ’ 其中,白光 LED 具有 550nm 之一中心波 長(central wavelength)及 I20nm 之半高全寬(full width half maximum, FWHM),而氙弧光燈則是具有2〇〇nm之FWHM。 一般而言,光束255之FWHM與光束255之中心波長的比值 (ratio)為至少5%、至少10%、至少15%(例如:至少20%)。 光束255是由一透鏡(例如:一透鏡256)所接收,藉此 , 透鏡256以對於一校正光束257進行準備。透鏡256之聚焦 長度(focal length)為(如本實施例中所示)150mm,此聚焦 長度疋相對於0.004之照明數值孔徑(iiiuminati〇n numerical aperture, ΝΑ)。 一光束分離器(beam splitter)258將光束257分離成為一量測光束259與一參考 光束261。經由一鏡子(mirror)262所反射之量測光束259 是以入射掠入角α衝擊於物件252之上。舉例而言,物件252 可為具有光阻(photoresist)之一晶圓(wafer),此晶圓是經 由一微影系統(photolithography system)所照射。 Mach-Zehnder干涉量測系統250具有物件252之表面的25 X 80mm 視角(field of view)。 由物件252所反射之光線263是由一光束分離器264所 接收。置測光束之光程可包括一補償器(c〇mpensat〇r)260, 此補償器260是用以對於通過密介質(dense media)(例如: 玻璃(g 1 a s s ))、且由量測光束所行經之距離進行修改及/或 1057-6541-PF;Ahddub 31 1331211 " 對於量測光束259之側向位移(lateral di splacement)進行 修改。由第7圖可知’量測光束259與光線263是佈滿在平 行於X-Y平面之一平面上。 光束分離器2 64結合了光線263、參考光束261以共同 形成了 一光束265,並且經由一/f貞測器(detector)(例如:具 有複數偵測器元件(例如:像素(pixels))之一成像偵測器 (imagining detector))對於光束265進行偵測。成像光學 鏡片(imagining optics)(例如:遠心光學鏡片(teiecentric 〇卩1:&5)272、274)將光束265成像於偵測器275,如此便可 經由不同的像素對於相對於物件2 5 2之不同作用點進行偵 測。參考光束261可沿著具有鏡子276、270及補償器268 之路徑(path)進行移動,其中,補償器268之功能是相同於 補償器260。 在對於Mach-Zehnder干涉量測系統250進行適當的設 计下,於光束分離器258'光束分離器264之間之量測光束 259所行經之一光程是相同於光束分離器258、光束分離器 2 6 4之間之參考光束2 61所行經之一光程。因此,於量測光 束2 59與參考光束261之間的光程差(〇PD)是可被忽略或視 之為零。當光束之間的0PD小於所測得光線(detected丨ight) 之一同調長度時,其所產生之干涉現象便可被觀察。此外,广 系統250可被設計成可經由光程差範圍^抓“ 〇f 〇ptical _ path differences)以偵測出量測光束259、參考光束261。 舉例而言,在系統250之適當的設計之下,量測光束259、 參考光束261中之一者是可行經一初始較長光程(initiaUy longer optical path)。隨後,系統25〇便移動至少一部分 (component)以對於0PD(例如:直到〇PD為零或直到立它光 束行經了一較長光程(1〇nger 0ptical pa讣))進行掃描。於 部分實施例中,系統252經由一 0PD範圍以對於量測光束 259、參考光束261進行㈣,其中,此_範圍是以至少 與偵測器(deteCt〇r)276所測得光線之同調長度一樣大之一 1057-6541-PF;Ahddub 1331211 量值而改變。偵測器276之不同的偵測器元件(detector elements)記錄了 一干涉信號,此干涉信號用以做為〇pd的 函數。在包括了經由一包絡線所調變之一或多個干涉信號之 情況下,此干涉信號是相當近似於干涉信號90。如上所述, 在系統5 0對於干涉信號進行分析之下,一物件之空間性質 (例如:光阻層(a layer of photoresist)之一外表面之空 間性質)是可被決定的。 i 由於光源254為一擴大光源(extended source),則有 可能造成了 Mach-Zehnder干涉量測系統250之不重合 (mislign)’如此使得變化的0PD成為通過量測光束259、參 考光束261之位置的函數,其中,量測光束259、參考光束 261在遠心光學鏡片 272、274 之光瞳平面(pupi 1 plane)276’的位置上形成了複數影像。在上述之不重合 (mislignment)現象中,參考腳與量測腳(reference and
measurement legs)之光軸(optical axies)可為相互平行、 但不具同等範圍(coextensive)(例如:平行(paral lei )但橫 向變位(laterally displaced)),如此造成了照明束 (illumination bundle)之橫向剪切(lateral shear)現象。 在XY平面内之約為5〇em的剪切力(shear)可減少50%之條 紋對比(fringe contrast)。為了降低或預防上述之條紋對 比的損失,藉由對齊系統2 5 0是將橫向剪切現象修正至1 〇 Am或少於10/zm、5//m或少於5//m(例如:2/zm或少於2 仁m)之範圍。 在光線261之傳播(propagation)軸向之光線261的一 相對轉動(corresponding rotation)不存在的情況下,另一 種對比損失(contrast loss)則是經由光線263之傳播輪向 之光線263的轉動所造成的。上述之光線263的轉動可源自 於繞著一 U軸之物件的轉動’其中,U軸是延伸通過了物件。 實際上,用以減少橫向剪切之對齊方式便可能引起此一轉動 現象的產生。U軸佈滿在由量測光束259與光線263所定義 1057-654l-PF;Ahddub 33 1331211 • 之平面上。一 V軸是正向於量測光束259與光線263所定義 之平面。以橫向方式傾向於ϋ或V軸之物件252是可對於位 在光曈平面2 7 6 ’之光源的影像進行變位。然而,傾向於U 轴之物件252是同樣可以沿著光線263之軸向進行迴轉。 請參閱第4a、4b圖’以下將針對由行經參考腳〇之光 線所形成之光源2 5 4的一影像、由行經掠入射干涉儀之量測 腳◊之光線所形成之光源254的影像之相對位移(reiative displacement)之模擬(simulation)進行討論〇光源影像 (source images)是藉由參考光束261、光線263而形成於遠 心光學鏡片272、274之光瞳平面276’之上。此模擬所使用 光線之入射條件是基於入射角度80。、0.004數值孔徑而進 行。如第4a圖所示’就參考腳與量測腳之影像是呈現出扭 曲(distort ion)現象,此扭曲現象是源自於圍繞於u轴之0.5 。之物件轉動(〇b ject rotation)。量測腳◊影像是以相對於 參考腳〇影像而進行0. 44mm之橫向移位。如第4b圖所示, • 在對於量測腳影像之剛體運動(r i g i d body mo t i on)進行削 減下是可以得到位移向量(displacement vector);除了橫 向位移之外,此位移向量是表示光線263在相對於參考光束 261之下、以〇.96°所進行的迴轉。由物件所反射之最大水 平及垂直扭曲(maximum horizontal and vertical distortion)約為 ± 21em,此 ± 21//m 約為 〇.44mm 移位之土 5% »上述的轉動造成了干涉條紋之節距與方向之± 5%的變化 (variation),這些變化是可經由偵測方式而獲得。因此, 經由债測器之視角的作用下,源自於物件傾向於U軸所進行 的迴轉是可以建立出一實質對比變化(substantial contrast variation)。舉例而言,在將系統之參考腳、量 測腳之各段部(segment)之光軸限定在同一平面内時,則被 對齊之系統250便可具有最小橫向與轉動剪切(lateral and rotational shear)現象。物件散焦(〇bjec1; def〇cus)並不 會對於低數值孔徑干涉儀(1〇w numerical aperture 1057-654l-PF;Ahddub 1331211 • interferometers)之條紋對比(對於第一近似值(first approximat i on))造成任何影響〇 請參閱第5圖,在藉由具有一入射掠入角之光線對於物 件進行照射之下’一干涉量測系統300可對於物件之一空間 性質進行決定。光學系統200包括一干涉儀,此干涉儀包括 了一參考腳與一量測腳。根據上述可知,成對之光學平面鏡 是用以做為一光束分離器(beain splitter and beat combiner)。行經參考腳之光線是通過位於光學平面鏡之間 的一間隙(gap)。行經量測腳之光線是由光學平面鏡之間的 間隙而離開,並且當此光線再次進入間隙之前,此光線是由 物件所反射。 · 光學系統200包括一光源202,此光源202可為寬頻及/ 或擴大光源(broadband and/or extended),並且利用光源 • 202可產生一光束204。一鏡片206是用以接收光束2〇4且 用以輸出一校正光束207,其中,校正光束207是衝擊於成 • 對且相互間隔之光學平面鏡208、210之上。校正光束2〇7 疋經由光學平面鏡208所折射且通過一間隙233,並且校正 光束207是在位置(site)235’而衝擊於光學平面鏡21〇之 上。間隙233所具有之折射率是不同於光學平面鏡2〇8、21〇 中之任一者。於部分實施例中,間隙233包括了 一氣體_ (gas)(例如:空氣(air))、液體(liquid)(例如:水(water)) · 或一真空(vacuum)。光學平面鏡208、210可由任何適當的 光學介質(optical medium)所製成’例如:玻璃(glass)或 石英(fused silica)。 校正光束207之一部分(portion )220是在位置235,而 經由光學平面鏡210所反射。校正光束207之一部分222是 在位置235 而經由光學平面鏡210所折射,並且校正光束 207之部分222是以一入射掠入角α而衝擊於物件30之上。 校正光束207之部分222是由物件30所反射且衝擊於物件 30之上,並且校正光束207之部分222是由光學平面鏡210 l〇57-6541-PF;Ahddxib 35 1331211 所折射。舉例而言’物件30可為具有光阻之一晶圓,此晶 圓是經由一微影系統所照射。由物件3 0所反射之校正光束 207之部分222與校正光束207之部分220是在光學平面鏡 210之位置235”上相互結合’如此便可在間隙233中形成 了一結合光束(combined beam) 224。此結合光束224衝擊於 光學平面鏡208之上且由光學平面鏡208所折射。成像光學 鏡片(imaging optics)226、228將結合光束224成像於一偵 測器2 3 0之上,其中,偵測器2 3 0可為具有複數像素之之二 維偵測器(two-dimensional detector)。镇測器230之各像 素是對於物件30之不同作用點所反射之光線進行偵測。因 此,對於物件3 0之不同部分之空間性質所靈敏之干涉信號g 是可經由不同的像素以進行偵測。 光學系統200包括一參考腳及一量測腳。校正光束go? 之部分220是行經位於位置235,與235”之間的一參考光 程(reference optical path),校正光束 207 之部分 222 是 行經位於位置235,與235,’之間的一量測光程 (measurement optical pathh位於參考光程與量測光程之 間的一光程差(〇PD differences)可利用數種方式來進行改 變。於部分實施例中,光學系統2〇〇包括壓電間隔件 (pieZ〇electric spacers)237,此壓電間隔件237是設計用 以改變間隙233之厚度。當利用壓電間隔件237改變間隙233 之厚度時,利用偵測器230便可對於包含有物件3〇之複數 作用點之影像進行偵測。此外,藉由對於與光學平面鏡2 〇 8、 210有關之物件30進行移動之下,其是可對於〇pD進行掃描。 :部分實施例中’ —_是利用一楔形物(wedge)引入 :::面鏡208、21"之至少一者(例如:光學平面鏡2〇8)。 具有楔形物之一光學平面鏡之頂面及底面是相互不平行 的,並且此楔形物是以相對於校正光束2〇7 式而對於校正光束207 W 22。的前導波進:傾斜的【 此,結合光束224便可在偵測器230之上形成了一空間干涉 1057-6541-PF;Ahddub 36 1331211 Μ * 圖案(spatial interference pattern)。在對於空間干涉圖 案進行處理之下,平行於x軸之作用點連線(lineof points:) 之上的物件環境界面38的高度便可被決定。由此可知,在 單一偵測器取得(single detector acquistion)作業的過程 中,利用光學系統200是可以提供相對於物件30之空間資 訊(spatial information)。因此,在對於空間干涉圖案之 尖_峰干涉對比(peak interference contrast)之橫向位置 (lateral location)進行監控之下,物件30是可在相對於 光學系統200之下而完全被定位。 不論0PD是經由掃描而改變、或是〇pD是經由改變以形 成一空間干涉.圖案,藉由0PD範圍是可對於結合光束224進 行债測’此0 P D範圍的大小是至少與所測得光線之同調長度 一樣大。基於上述說明可知,光學系統200可對於包絡線所 調變之干涉圖案進行偵測,並且與干涉信號90有關之光線 的同調長度是利用包絡線以進行指示。再者,由光學系統2〇〇 • 所獲传之干涉圖案可於任何位置進行分析,如此以決定物件 之空間性質。 在光學平面鏡208、210所具有之光學及機械性質 (optical and mechanical properties)的作用下,光學系 統200是可對於物件30之一所需視角(desired field of v i ew)(例如:10 〇mm x 1 0 0mm)進行成像。於部分實施例中, 光學平面鏡是由石英所製成,其厚度至少為2mm、至少為 5mm(例如:至少為i〇mm)。 在對於光學平面鏡208、210之表面進行修改之下,由 各光學平面鏡208、210所反射或折射之光線的量值是可被 決定的。舉例而言,光學平面鏡208、210之部分230是可 利用一抗反射塗層(anti-ref lection coating)以進行修 改’並且在相較於反射光線之量值之下,此抗反射塗層是可 用以增加反射光線之相對量值(relative amount)。此外, 抗反射塗層為可配合於光源 202之射出光譜(emission 1057'6541-PF;Ahddub 37 1331211 spectrum)之一寬頻塗層(broadband coating),並且由光學 平面鏡210之部分235所入射的光線是同時被反射與折射 的。因此,在不需塗層或塗層方式的作用下,光學平面鏡21〇 之部分235是可以獲得所需之反射率與折射率。光學平面鏡 208之部分231可具有高反射性(例如:金屬或介電)塗層 (highly reflective coating)。 上述所提出之掠入射干涉儀是可用以決定出相對於干 涉儀之一絕對位置(absolute position)。干涉儀之參考腳、 量測腳是用以做為三角量測感測器(triangulati〇n sens〇r) % 之使用。為了將干涉儀之參考腳、量測腳做為三角量測感測 器之使用,開孔(aperture)(例如:槽縫(si it))是定位在照 明鏡片之中,如此才能使得開孔成像於物件表面之上。雖然 於干涉量測系統1〇1(第3圖)具有之開孔96,但就任何上^ 所提出之干涉儀均可據此以進行適當的修改。 上述開孔是用以做為一顯微鏡(micr〇sc〇pe)之場闌 (fleld stop)。如第6a圖所示,開孔之第一影像2〇〇&、第 二影像20 la是被成像於干涉儀之偵測器之上。 是^對於物件収射之錢I物件料 而第一影像201a則為相對於沿著干涉儀之參考路徑 (refe;ence path)移動之光線的一參考影像( wage)。由於開孔的尺寸是相當 ?nna、筮-炎你八疋以使侍第一影像 0a第“象2()la僅佔據了偵測器之視角的一小部分
Ctl〇n)。在相對於干涉儀的方式下,一平台機槿 mechanism)(例如:干涉量測系統1〇1之移;平台 19)疋用以對於物件進行定位,此平 (normal)方向上進行相當精確的移位。、/、法線 第一影像、第二影…之間是以彼此第相=:當 1057-6541-PF;Ahddub 38 ⑸ 1211 線Γ向進行移位時,物件與干涉儀之相對位置 移4、古ΐΐ t於移動平台119的移動作用下,物件的位 準Γ被谓測出來,並且隨後可獲得-第二 成ί之:像(seeond pair Qf images)2嶋(―第:物件影像 (econd object image))、2〇ib(一第 _ 銮者旦 reference i.age)) 〇 第一參考影像(second 之伯ί = Ϊ有第一影像2〇〇a與第二影像2〇la(第_ βΛ Signal)、包含有影像 20〇b、2(Hb(第 ^圖)之偵測器信號進行處理下,其可與兩偵測器信號中之 用It像、200b之位置產生相互關連。舉例而言,利 用在偵測器(例如:若干的像素)之位置上的空間單元 是可表示物件影像200a、2°°b的相對位置。 ί - ^ 200a' 200b"τ . 牛:像位移(object而ge displacement)做為物件位移 的二I::: dlSPlaCement)之函數之方式是可被決定 :二备物件影像之位移與物件位移之間的關係被決定時,則 :件=象2_、參考影像201b之間的位移是被決定 f,在基於物件影像200b與參考影像2〇lb之間的位移物 件影像位移與物件位移之間的關係之下,物件便可被 零0PD(zero 0PD)之位置上。 f於上述步驟的結果可知,物件是可在與具有物件表面 之;Ϊ::置之:涉儀有關之下而被定位’此方式是較採用 ::二圖案之-條紋之小部分的方式為佳。此外,在將槽縫 予以移除之下,掠入射干涉儀是可被轉換回干涉儀模式 (interferoineter mode)。隨後,在利用上述所提出之 信號的作用下,物件表面之位置是可被改良。另外,由於= 件表面是被成像於偵測器之上,基於物件影像、泉考影像的 =:ranguiati〇n)便不會受到物件傾斜(— 請參閱第7a、7b圖’經由一基底與覆蓋於基底之一層 1057-6541-PF;Ahddub 39 1331211 結構之間的一界面所散射之光線是可利用一光學系統4〇〇以 進行成像。在對於散射光線進行成像之下,光學系統4〇〇受 到界面之空間性質的影響將大於受到物件之外表面的影 響。具有一薄膜之一基底是可經由光學系統4〇〇而達到定 位其中,薄膜疋與一微影系統(photolithography system) 有關。 光子系統400包括一結構光投影機(structured light pr〇ject〇r)408 與一遠心成像系統(telecentric imaging system)406 ’其中’結構光投影機408將光線之一圖案402 成像於物件之上,遠心成像系統4 0 6則是將圖案4 0 2成像於 一偵測器420之上。遠心成像系統406可對於源自於物件之@ 光線進行偵測’並且在此物件所引起的一角度(angle)的作 用下’由基底層界面36或物件環境界面38所反射的光線407 疋可避免產生如鏡面般的反射。舉例而言,在遠心成像系統 406的作用下,在與光線407有關之一角度α進行對齊、沿 著一光軸散射的光線4 0 9是可被偵測的。 擴散散射(diffuse scattering)可能是起因於基底32 之圖案外貌29。此圖案外貌的尺寸可相當的小、且與光線之 波長有關’例如:1 β m或小於1 // m ’或是0. 5微米或少於 0.5微米。由於層結構34之上表面(top surface)39是近似 _ 於平滑,如此便可在相對於基底層界面36之下對於擴散散· 射進行集中。在物件之上表面39、在物件中之各界面(例如: 基底層界面36)的作用下,照明光線便可以入射角(angie〇f incidence)、沿著一特定方向(specular direct ion)且以遠 離於成像系統之入口光瞳(entrance pupi 1)之條件下而被反 射。由此可知’經擴散散射之光線409便為被偵測光線之主 導部分(dominate component),並且在基於被偵測且經擴散 散射的光線之下,系統35 0便可提供了用以表示基底層界面 之空間資訊。 於較佳實施例中,結構光投影機404為包括了 一光源408 1057-6541-PF;Ahddub 40 1331211 ·»· 之 Michelson 干涉儀(Michelson interferometer),其中, 光源408可發出一光束411’此光束411是經由一鏡片4i〇(例 如:透鏡’此透鏡是定位在延伸自光源408、且於透鏡自身 之聚焦長度之上),如此對於光束進行校正。於部分實施例 中’光源408可為寬頻且/或於空間上可擴大,例如:一發 % 光二極體(1 ight emi tt ing diode)。此外,光源408亦可為 窄頻(narrow band) ’或是為以下所提出之可於窄頻、寬頻 之間進行切換之光源。一光束分離器415將光束411分離成 為第一部分(first portion)與第二部分(second port ion) ’此第一部分、第二部分的光束是分別經由鏡子 412、41 4所反射。此第一部分、第二部分的部分光束可在光 東分離器415的再結合作用下而形成一結合光束417。 一遠心光學中繼器(telecentric optical relay)416 是 以一入射掠入角α將結合光束417轉送至物件。結合光束417 之第一部分、第二部分是以一 〇PD衝擊於物件之上,此光程 差是不同於通過物件之光程差(第llb圖)。因此,結合光束 417之第一部分、第二部分便在晶圓表面(wafer surface)36 上形成干涉且形成了干涉條紋,亦即,圖案4 〇 2。即使光源 408為為寬頻且/或於空間上可擴大,由於結合光束417之第 一部分、第二部分可在光束分離器41 5、物件之間具有相同 的光程(path lengths),如此便可對於干涉條紋進行觀察。 此外由於光源可產生某程度的不同調性(incoherence), 圖案402與被偵測影像(detected image)是可避免同調失真 (coherent artifact)(斑點(speckles))的產生。雖然結構 光投影機404可在基於干涉條紋之下而形成了 一圖案,在不 必依賴干涉以形成圖案之特徵的情況下,結構光投影機404 仍可利用投影一影像的方式以形成一圖案。 口月再參閱第7a、7b圖,由圖案光投影機(patterned 1 i ghl; pro j ector)所形成之複數條紋是以平行於X轴 (X axis)進行延伸,並且這些條紋是沿著物件之y軸(y_axis) 1057-6541-PF/Ahddub 41 1331211 - 方向而相互間隔。來自於條紋之擴散散射光線(diffusely * scattered light)409是成像於偵測器420之上,此偵測器 420 可為二維该測器(two-dimensional detector)(例如: CCD)。在基於被彳貞測條紋(detected fringes)之下,物件之 一空間性質是可經由數種方式而求得。 就空間載波方法(spatial carrier approach)中可知, 偵測器通常是設計用以偵測複數平行條紋(parallel fringes),例如:條紋402是採用了通過偵測器之完整視角 (entire field of view)的方式而進行投影。高度變化 (height variations)(例如:基底的階級(steps)或表面不 連續(surface discont inuities))將會以條紋之相位進行移@ 動。如第7b圖所示,於複數條紋之間的間隔(spacing)As 為結合光束417之波長、入射角α之函數。即使是當物件與 結合光束417是以相對方式進行固定時,入射角α將會改變 且為表面圖貌(surface topography)之函數。在特定的情況 • 下’由於部分的界面36是分別傾斜朝向於(tilt toward)或 傾斜遠離於(t i 11 away )結合光束41 7,則條紋間隔△ s將會 減少或增加’如此將可造成了入射角α的減少或增加。由此 可知’即使是在圖案4 〇 2之單一影像的作用下,物件之空間 性質仍可被決定的》 — 根據上述實施例之說明可知’經由空間載波方式是可求$ 得圖案402之一或多個偵測器影像(detect〇r images)。在 基於圖案402之下(例如:在基於間隔as之下),表面之被 债測部分(detected portion)之一空間性質(例如:基底之 一或多個作用點之高度)是可被決定的。在利用如FDA、經由 影像之轉換(transformation)或直接來自於影像本身之轉 換作用下’被偵測影像是可被偵測的。舉例而言,間隔△ s 可直接經由被偵測條紋、與物件之圖貌有關者而被決定。 就相移位方法(phase shifting approach)中可知,告 圖案402被移位通過了物件時,圖案之多偵測器曝光 1〇57-6541-PF;Ahddxxb 42 1331211 4i “ (multiple detector· exposures)是可被記錄的。此外,在 對於結合光束之第一部分、第二部分中之一者的光程 (optical path length)進行修改下,圖案是可被移位的。 舉例而s ’藉由虔電轉換器(piezoelectric transducer)是 可對於鏡子412、414進行平移或傾斜。此外,於基底之每 一作用點所測得之光線相位是可用以指示出基底之圖貌。 於 Interferogram analysis: digital fringe pattern measurement techniques,,, D. Robinson, G. Reid Eds’ IOP Publishing, 1 993之例子中,其揭露了在基於投 影圖案402之下之用以決定界面36之空間特性的方法,並 且此專利案是以參考方式併入本案之中。 於部分實施例中,裝置3 5 0是利用具有寬頻及/或空間 擴大光源來進行相關操作,其中,一暫時空間同調包絡線 -("^emP〇ra 1 and spat i a 1 coher ence enve 1 ope)是用以對於 圖案4 0 2之條紋的振幅進行調變,甚至此包絡線亦可對於偵 • 測器406之視角中之條紋進行調變。由此可知,偵測器42〇 可對於複數平行條紋進行成像,這些條紋除了分別平行於X 軸之外,各條紋所具有之密度是不同於其所相鄰條紋之密 度。包絡線之最大值是相對於結合光束之第一部分與第二部 分之間之零0PD的位置。經由與被偵測條紋有關之包絡線之 最大值位置的作用下,物件之絕對位置與方向是可被指示 的。因此’在包絡線之最大值的作用下,物件便可在相對於 系統350而完全被定位(absolutely l〇caiize)。 於部分實施例中,光學系統400包括了一參考表面42?, 此參考表面427可採取鄰接於物件30之方式進行定位,並 且參考表面427的高度是可近似於表面35或表面39的高 度。一可移動平台(translation stage)119是可對於物件 3〇、參考表面427進行固定。在適當的設計下,參考表面是 可用以對於光線進行擴散式的散射。舉例而言,參考表面^ 為經餘刻(etched)或磨砂(frosted)的玻璃表面。在參考表 1057-6541-PF;Ahddub 43 1331211 面的作用下,藉由具寬頻光源之系統350是可以提供一調變 包絡線(modulating envelope)。由於參考表面427是被固 定的’如此便可經由偵測器將圖案投影且成像於參考表面之 上。此外,由於可移動平台119是被固定的(因而使得參考 表面427被固疋的),在包絡線最大值(envei〇pe職又^⑽) t便包括了具有觀察條紋圖樣(observed fringe pattei*i〇 之一既定關係(predetermined relationship),例如:中心 定位(centrally located)。隨後,物件30便可被平移至偵 測器的視角之中。在相對於剩餘(remainder)條紋有關之 下,包絡線最大值之位置中的一改變量(change)是可對於參 考表面與物件30之間的高度差(height differ ence)進行指· 不。由於平台119是可被移動的,如此使得包絡線最大值可 再次處於具有觀察條紋圖樣之既定關係。因此,物件便可在 # # ^ ^ # #-Φ ^ T it ^- (p〇si-tioned absolutely)。 於部分實施例中,光學系統400是可在一光譜寬頻光源 (spectrally broadband source)與一窄頻光源(narr〇wband source)之間進行切換。根據上述說明可知 是可建立-振幅調變干…一ude上= interference pattern),此振幅調變干涉圖案甚至可對於 偵測器之視角中之條紋進行改變。物件是可在基於干涉圖案 之最大值位置之下而被定位,如此可使得零〇pD之位置與物 件之既定部分(predetermined port ion)相互一致。因此, 物2便可在相對於光學系統4〇〇之下而被完全定位。當物件 被定位之後,光學系統400便被切換至具有足夠同調長度 (coherence length sufficiently l〇ng)之窄頻光源,並且 偵測器之視角中的條紋於實質上是不會被調變的。經分析後 之條紋的性質(properties)是可用以決定物件之性質。另 外,在一光譜過濾器(spectral f i lter)的作用下於系統 中之光束411是可在寬頻光源、窄頻光源之間進行切換的。 1057-654l-PF;Ahddub 44 1331211 及8b,,一干涉系統50是可經由物件3〇 及,、匕物件(例如:不具任何底層之物件、或是包括 型層結構之物件)而獲得低同調干涉信號。干涉系、統50可對 條?(mu—·—10之-物件之複數作 用點進灯照明,此照明條紋是沿著一第一維度進行延伸,並 且可利用干涉系統50對於源自於各作用點之—干涉圖案進 行偵測。這些干涉圖案是沿著一偵測器之—第—維$ (f&討 dimension)而延伸、沿著偵測器之一第二維度(sec〇nd dimension)而相互間隔。因此,在不需要移動任何用以修正 光程差(optical path length differences)之相關元件的 情況下,干涉系統50便可獲得干涉圖案。雖然可不必在掠 入射模式(grazing incidence mode)下進行操作,干涉系統 50仍可快速地自一物件之複數物件作用點(〇b 而取得干涉圖案,此物件是正處於其它處理步驟 (processing steps)下進行相關的操作。 % 一光源(例如:光源52)發出一光束54,此光束54是沿 著平行於X軸之方向而伸長。光源52可為具有半高全寬 (FWHM)頻寬之一寬頻光源(broadband source),其中,此半 咼全寬頻寬為光源之公稱波長的至少5%、至少1〇%、至少 15%、至少20%。於部分實施例中,光源所具有之公稱波長是 大約介於30 0nm與i〇〇〇nm之間(例如:大約介於5〇〇nm與 6 0Onm 之間)。 光束54是由一柱狀透鏡(cylindrical lens)Ll所接 收。透鏡L1具有一主縱軸(main longitudinal axis)與一 次縱軸(main longitudinal axis),其中,主縱轴是同時平 行於X轴與光束54,而次縱軸是平行於丫軸。經由透鏡li 可於Y-Z平面(Y-Z plane)上對於光束54進行校正,並且藉 由透鏡L1可將一校正光束55傳送至一光束分離器B1,此光 束分離器B1用以將校正光束55之一第一部分反射至一枉狀 透鏡L2,並且利用光束分離器B1將校正光束55之一第二部 1057-6541-PF;Ahddub 45 1331211 分反射至-柱狀透鏡L3。-般而言’光束分離器β1為非極 化(non-polarizing)。透鏡L2具有一主縱軸與一次縱軸, 其中,主縱軸是平行於X轴,而次縱轴是平行於2轴。透鏡 L3具有-主縱軸與-次縱軸,其中,主縱減平行於χ轴, 而次縱軸是平行於γ軸。 請參閱第4圖,在透鏡L2的作用下,來自於物件3〇之 上、光束分離H Β1之校正光束的被反射冑分是可被聚焦在 一伸長物件焦點(elongated object focus)(例如:一昭明 線(iHumination line)67)之上,此伸長物件焦點是平^於 X軸。相較於沿著照明線67之主縱軸(例如··平行於χ軸、 所取得之照明、線67 & —尺寸、沿著垂直於照明、線67之主縱· 軸(例如:平行於Υ軸)所取得之照明線67的__尺寸可知、,- 兩尺寸之間的比可至少為5、至少為1〇、至少為25、至 5J),例如:至少為1〇〇。根據相對於最大照明密度
Ulummation lntensity)之25%的位置下,此照明線67之 尺寸是可被決定的。此外,根據沿著照明線67之長度方 可知,照明線67是具有均勻或緩慢改變的密度,並且這些 照明線67是照射在物件30之複數作用點之上。在沿著物件 30之-照明方向上,這些作用點可被視為是相互間隔的,例 如:X軸。 請參閱第3a圖,由物件3〇所反射之光線(例如:由界· 面36及/或表面39所反射之光線)是可經由透鏡^所接收, 其中’透鏡L2可對於γ_Ζ平面中之反射光線進行校正,並 且藉由透鏡L2將校正光線傳送至光束分離器Bl,此光束分 T器B1用以將光線之一部分傳送至一光束分離器B2,此光 束分離器B2為非極化。就經由光束分離器β1料出、由物 件30所反射、且傳送至光束分離器”之光線可知,此光線 是前進至一量測光程。 由光束分離器B1所傳送之校正光束之第二部分是經由 透鏡L3所接收,此透鏡L3是將-收敛光束(議vergi ng beam) 1057-654l-PF;Ahddub 46 1331211 ♦傳送至90直角鏡子(roof mirror)62。隨後,收斂光束抵 達一焦點fl,其中,此焦點fl是以平行於χ軸的方式進行 伸長及擴大。直角鏡子62將一發散光束(diverging beam) 傳送至一柱狀透鏡L4’此透鏡L4具有一主縱轴與一次縱軸, 其中,主縱轴是與X轴排成一線,而次縱軸是與Y轴排成一 線。透鏡L4將Y-Z平面中所校正之光束傳送至光束分離器 B2。透鏡L2、L3、L4可分別具有個別的光學性質,例如: 聚焦長度。透鏡與系統50之其它鏡片可為無色 (achromatic)。經由直角鏡子62、且經由光束分離器B1傳 送至光束分離器B2之光線是前進至一參考光程。量測光程 是用以對於系統5 0之干涉儀8 9之量測腳進行定義,而參考· 光程則是用以對於系統50之干涉儀89之參考腳進行定義。 光束分離器B2將來自於干涉儀89之量測腳、參考腳之 光線進行結合,並且藉由光束分離器B2對於一結合光束59 進行傳送。同時來自於量測腳、參考腳之結合光束89的表 現是可在Y-Z平面之中被校正。光束59可在γ_ζ平面之中 進行發散。結合光束59是經由一柱狀透鏡L5所接收,並且 藉由透鏡L 5將光線聚焦於一债測器之上。一般而言,此债 測器可為包括了複數像素7 3之二維偵測器71,其中,成列 (rows)狀排列之像素是沿著γ軸進行延伸,而成行 狀排列之像素是沿者X轴進行延伸。位於不同行位置之像素· 疋具有不同的Y座標(Y-C00rdi nate),位於不同列位置之像 素疋具有不同的X座標(X-C00rdinate)。偵測器71可為一 電荷耦合裝置(charge coupled device,CCD)或其它成像偵 測器。透鏡L5具有一主縱軸與一次縱軸,其中,主縱軸是 與Y軸排成一線,而次縱軸是與Z轴排成一線。於實質上, 透鏡L5在χ-ζ平面上之聚焦能力(focusing p〇wer)是大於 γ-ζ平面上之聚焦能力,例如:透鏡L5於實質上是可在 平面上不具有任何的聚焦能力。 請參閱第4圖,干涉儀50將來自於量測腳、參考腳之 1057-6541-PF;Ahddub 47 1331211 偵测器71之上。由物件30之各照明作用點所反 一 疋成像為一伸長焦點(elongated focus),例如: ,測線^detection 1 ine)。來自於一照明作用點81a之光 —疋以’口著偵測器71之一像素列(pixel row)83而成像至一 相對伸長焦點(c〇rresp〇nding el〇ngated f〇cus)8ib,而來 ^於照明作用•點8 5 a之光線是以沿著偵測H 71之一像素 列87,而成/象至—相對伸長焦點85b。來自於參考腳之焦點 的光線疋成像為一參考焦點(reference f〇cus)99,此參 考:t點99是疊置在相對於物件3〇之被照明作用點 (1 Uminated P〇int)之複數伸長焦點(elongated foci)之 相較於沿著伸長焦點81b與85b之主縱軸(例如:平行 於X軸)所取得之各伸長焦點81b與85b的一尺寸、沿著垂 ^於,長焦點81b與85b之主縱轴(例如:平行於γ軸)所取 付之各伸長焦點81b與8 的 〇 _i_ -r . 工 , 興8bb的一尺寸可知,兩尺寸之間的比 值可至少為5、至少a in E , Λ ΛΓ. 乂為10、至少為25、至少為50 ,例如: 至 > 為100。如第4圖所示,就相對於沿物件3〇之照明尺寸 而相互間隔之作用點之複數仲 ^ a沾Ε Α θ vu 〜艰·默1甲長焦點可知’迢些伸長焦點是 / 口者偵測器71之第一福測雉疮,f , . 、維度(firstdetectiondimension: 而相互間隔,例如:相對於才 v, e ^ 仲ΪΤ於相互間隔之作用點81 a、85a之 伸長焦點81 b、85b是祜忐德·^ 疋被成像於像素7 3的不同列之間,並且 伸長焦點81 b、8 5 b是沿箬描也丨ag 7, , ,,,A 疋,口者偵測器71之X軸而相互間隔。各 ,. . 者第一偵測維度(second detect ion dimensioii)而延4申,其Φ,货_,上 ^再甲第二偵測維度通常是垂直於第一
偵測維度,例如:伸長隹β ! u n P 我…、點81 b與85b是以通過像素73之 複數行而延伸,並且伸县隹赴0 ^ .. ^ 7食川、點8lb與85b是沿著偵測器71 之 Y轴而延伸。因此,撼 ..... 根據沿著一物件之一照明維度 U11um1nation dimension、而;ΐ 从 … 从it )而延伸之複數作用點所反射的光 線可知,經由干涉儀 θ J . U疋可將此光線成像為二維影像
Qtwo-dimensional image") 〇 令备、、儿社时 。就沿著照明維度而相互間隔之 1057 - 6541-PF;Ahddub 1331211 用點而言’這些照明作用點是被成像為複數伸長 隔、,並日、#且#長焦點是沿著影像之—第-維度而相互間 且伸長焦點是沿著影像之一第二維度而延伸。 2參閱第3a圖’當透鏡u_5以相對於干涉儀89的 ΐϋ為而且進右行置t設置時,干涉儀89之量測腳、參考腳 =為具有一公稱等光程長(n〇minaily equal path it二丨。在等光程長之狀態下,沿著偵測器71 (第4圖)之
方向上、於伸長焦點81b/85b與參考焦點99之間 =二可為常數的。就具有折射率一 J % 介質而- fi(SlliCa)或玻璃板件(gUsSplate))之光學 = 參考光程方向上、於光束 干斗傷w 間而被定位,如此以配合於兩 干〜儀腳(lnterferometei· legs)之介 f (inedia)中之光程。 用二部t實施例中’在垂直於參考光程之-量值Δ(1的作 用下(列如:平行於ζ軸(第4圖)之平移中 ==,几4),透鏡L4是可對於參考腳與量測腳 入作業。相較於不具有上述透鏡“之 f·作業(例如:在相對於—真實參考光程(true reference = = 可料參考光束進行偏移)、沿著參考 % β μ 士 ^光束而S,當透鏡(例如:透鏡L4)以垂直於參考光 僬銘進行平移時,如此將可使得沿著參考腳移動之光束 如傾斜)了-角度θ。角度θ的偏差是可=2 早十面(single plane)(例如:χ-γ平面)。 在參考光束的角偏差(angular deviati〇n)的 如此便可在各伸長焦點81b/85b與參考焦點 光程差變化⑽D variatio小更特別的是,於參=立: 是沿著位於债測器之上的各伸長焦點的主: 器。舉例而言,就成 仃9 1的光線而s,其夏測光程春 之間的- 0PD是不同於成像在偵測器71之行93(第4圖)之 1057-654l-PP;Ahddub 49 1331211 光線的OPD。由實施例中所示可知,於量測光程、參考光程 之間的0PD的變化為偵測器71之γ座標的函數。於部分實 ,例中’0PD的γ座標的線性函數(Hnearfuncti〇n),例如: PD,偵測器71之行的線性函數’其中,光線是成像於偵測 之上。以第4圖為例子,〇pd是沿著偵測器71之列而 改變(例如:線性(1 inearly)),如此將使得〇pD沿著伸長焦 點81b與85b之主縱軸而改變。就相對於物件3〇之各^ 射作用點之伸長焦點的主縱軸而言,由於伸長焦點之主縱軸 疋以通過偵測器71的方式而延伸,像素之各列便可對於一 干涉信號進行記錄,於此干涉信號中包括了一或多個干涉圖 ,。二般而言,一干涉信號之所有干涉圖案是源自於物件之$ 一特定界面(particular interface)。沿著一·給定列 row) _於各行中之偵測器像素(detect〇r s)是相對於 一不同0PD。因此,一偵測器維度(detect〇rdimensi〇n)是相 對於物件位置之一條線(1 ine),而其它的偵測器維度則提供 了干涉圖案之多相移位樣本(multiple phase_shifte/d samples),其中,干涉圖案是由各物件作用點所產生❶在沿 著Y方向(Y-directiori)對於物件進行掃描之下,完整物件 表面(entire object surface)的輪廓便可依序地被求出。 請再參閱第2圖,干涉信號90為利用沿著偵測器71之 複數列(例如:就物件30之作用點81a、沿著偵測器列· (detector row)83)所測得之密度變化(intensity variatlon)的一例子《在沿著偵測器列之下,其所測得光線 的0PD差異(OPD difference)是可以一量值而變化,此量值 的大小至多可與所測得光線的同調長度相同。根據沿著一或 多列的像素所測得光線的光程差範圍可知,其光程差範圍的 大小可大於對於一或多個被偵測干涉圖案所進行調變下之 包絡線的全寬(full width)»由於具有干涉信號之低同調本 質(low coherence nature)’在不需要相對於系統5〇之模 糊(ambiguity)的情況下’作用點8la之位置及/或高度仍是 1057-6541-PF;Ahddub 1331211 可被建立的。 由於利用系統.5 0所獲得之干涉信號是根據不同物件作 用點而產生空間上的散佈,在偵測器之單一曝光的作用下, 於物件表面上之作用點之完整線條(entire line)的輪廓便 可被形成。當物件3〇以相對於照明線67之下而被移動至一 初始焦點(lnitial focus)時,在不需要對於系統50之任何 部,進行移動之下,沿著物件30之一第一維度、相互間隔 之每一作用點的一干涉圖案便可被獲得。因此,根據上述之 部分實施例可知,干涉儀89不具有移動部分(m〇ving pai*tS)’或疋在干涉圖案之取得(acquisition)過程中,干 1步^、89可不必經由物件之相互間隔的各作用點而對於任一 $ ,分進行移動,同時干涉儀89是可被製作成為一剛性或固 定組合件(rigid or fixed assembly)。 藉由增加干涉儀89之透鏡之聚焦長度的作用下,位於 物件30之照明角度(i 1 lumination angles)之可為任意的小 範^因此’與入射角度有關、物件表面之光學性質中的變 化是可被有效地降低或消除。此外,如果在測試過程中或參 之光程疋採用連續式掃描時,其所測得之每一干涉圖案 是等效於在單一偵測器像素位置所可能測得之信號。 、根據上述說明可知,在相對於參考光束之—光程、將一 透鏡(例如.透鏡L4)去中心(decentering)的方式下,如此 ,可求得量測光束、參考光束之間的〇pD。於部分實施例中, 一f程差之獲得步驟中是包括了對於直角鏡子的調整,如此 便可將角偏差(angular deviation)引入至參考光束之 I=部分實施例中’ _ _之獲得步驟中是包括了對於光 束/刀離器B2進行繞著X轴之迴轉或傾斜。如第5a、5b圖所 :、在相對董測光束之下,於部分實施例中之系統5〇是可 以減少或消除參考光束之前導波反轉 in:rSl〇n)。在干涉儀中之各參考腳與量測腳之中、或是在 參考腳與量測腳之一偶數倍(even multiple)之中具有相同 1057-6541-pp;Ahddub 51 1331211 • 的反射數目時,前導波反轉是可被減少或消除的《如第5a · 圖所示,根據反射所得到之光束77a'77b的反轉(inversion) 可知,前導波反轉是經由直角鏡子62所造成的。如第5b圖 ’ 所示,經由光束77c、77d之光程的證實可知,三鏡子反射 器(three mirror reflector)62b是不會造成前導波反轉。 因此,藉由降低前導波反轉是可以提高干涉儀89之精確度 (accuracy) 〇 請再參閱第3a圖,光源52可包括一槽缝57,此槽缝 57之一主維度(major dimension)是以平行於X軸的方式而 延伸。Y方向上之槽縫57的凸出寬度(projected width)可 用以定義Y方向上之系統50之側向解析度(lateral % resolution),而X方向上之透鏡L5的數值孔徑可用以定義 X方向上之側向解析度。舉例而言,槽縫57可經由機械開孔 (mechanical aperture)或一線性纖維陣列(1 inear f iber array)而被定義。於部分實施例中,光源52包括一空間過 * 濾器(spatial fi Iter),此空間過濾器是用以限制在χζ平 面中之照明光束之發散(divergence)。舉例而言,一空間過 遽器包括一槽縫61與遠心鏡片(telecentric lenses)80a、 80b,其中’槽縫61之一主維度是以平行於z轴的方式而延 伸,而遠心鏡片80a、80b是用以將一朗伯發射器(Lambertian emitter)70成像於槽縫57之上。 攀 雖然在一般例子中之照明線67具有一均勻密度 (uniform intensity),但於部分實施例中之照明線的密度 則為不均勻(non-uniform)。舉例而言,光源52可包括複數 .光纖(optical fibers)之端部(ends),其中,這些光纖是以 一伸長陣列(elongated array)(例如:槽縫)的方式進行排 列》在透鏡LI、L2的作用下,由伸長陣列所發出之光線是 可被成像,如此便可利用不均勻密度之光線對於物件3 0進 行照射》 處理器(Processors) 1057-6541-PF;Ahddub 52 1331211 上述電腦分析方法(c 〇 m p u t e r a n a 1 y s i s m e t h 〇 d s )中之 任一者均可利用硬體(hardware)或軟體(software)、或兩者 之組合而加以實施。上述方法是可經由具有標準程式技術 (standard programming techniques)之程式而施行,並且 於此將配合方法、圖形以對於標準程式技術提出相關說明。 程式碼(program code)是應用在輸入資料(input data)之 上’藉此以實行其相關函數、產生輸出資訊(〇utput information)。輸出資訊可被應用在一或多個輸出裝置 (output devices) ’ 例如.:顯示器(display monitor)。各 程式(program)可經由一高階程序(high level procedural) 或物件導向程式語言(object oriented programming language)之實行而與一電腦系統(compUter system)之間進 行傳輸。然而,在不同需求情況下,這些程式是可經由組合 語&或機器程式語言(assembly or machine language)而實 行。於其它的實施例中,此程式語言可為一編譯或交談式程 式語 s (compiled or interpreted language)。另外,在根 據特定目的之下’此程式語言亦可在預程式專用積體電路 (dedicated integrated circuits preprogrammed)進行運 作。 上述之各類的程式語言是以存放在一儲存媒體或裝置 (storage medium or device)(例如:唯讀記憶體(read-only memory,ROM)或磁碟(magnet i c d i skette)),此儲存媒體或 裝置是可經由具有一般或特殊目的之可程式化電腦 (programmable computer)所讀取’並且藉由程式語言對於 電腦進行組態化(configuring)及操作(operating),因而可 在電腦讀取儲存媒體或裝置中之資料時以執行相關的程 序。此外,在程式執行的過程中,電腦程式亦可常駐於快取 區(cache)或主記憶體(main memory)。在一電腦程式所規劃 之電腦可讀取儲存媒體(computer-readable storage medium)的作用下,儲存媒體可使得一電腦進行一特定且既 1057-654l-PF;Ahddub 53 1331211 定行為之函數的操作,如此以執行相關的分析方法 (analysis method) ° 範例應用(Exemplary Applications) 上述所提出之低同調干涉方法及其系統(1 〇w coherence interferometry methods and systems)是可應用在以下的 表面分析問題(surface analysis problems):簡單薄膜 (simple thin film);多層薄旗(multilayer thin film); 尖銳邊緣(sharper edges)、及可以繞射(diffract)或是其 它可以產生複數干涉效果(complex interference effect) 之表面特徵(surface features);未解決表面粗链度 (unresolved surface roughness);未解決表面特徵 (unresolved surface features),例如:於其它具有平滑 表面(otherwise smooth surface)子波長寬度溝槽 (sub-wavelength width groove);不同物質(dissimi1ar materials) ; 表面之 極性依 附性質 (polarization-dependent properties);表面之撓曲 (deflections)、振動(vibrations)或運動(motions),或是 可造成干涉現象(interference phenomenon)之入射角依附 擾盪(incident-angle dependent perturbat ions)之可變形 表面特徵(deformabl e surf ace features)。就薄膜之例子 而言,興趣區(region of interest)之可變參數(variable parameter)可為薄膜厚度、薄膜之折射率(refractive index)、基底之折射率、或部分上述之組合。以下將針對具 有上述特徵之物件及裝置之應用範例分別提出說明。 微影裝置(photo 1 i thography ) 於許多微電子應用(microelectronics application) 中’微影裝置是用以對於覆蓋於一基底(例如:碎晶圓)之上 的光阻層進行圖案化。在物件30的作用下,基底32可相對 於一晶圓及具有光p且薄層(thin layer of photoresist)之 覆蓋層34。物件環境界面38是相對於光阻之上表面(Upper 1057-654l-PF;Ahddub 54 1331211 wrface),而基底層界面36是相對於晶圓光阻界面 (wafer-photoresist interface)。基底之表面 35 可包括了 變化圖貌(Varying t〇P〇graphy)之複數圖案外貌(patterned features)及/或成份(composition),其中,圖案外貌是用 以將光阻設置於底部。因此,物件可呈現出複數界面,這些 界面是位於光阻層之外表面的底部。 一 β微影裝置是用以將圖像成像於物件之上。舉例而言,圖 像疋可與電路(electr〇nic circuit)(或電路之負極 (negative))之元件(elements)相互調合。在進行成像之 後、且經由移除部分光阻之下,則位於被移除之底部的基底 便可被顯露出來。被顯露之基底可進行姓刻處理、沈積材料 之覆蓋或進行其它的修改。相反地,剩餘之光阻的其它部分 便可保護基底,如此以避免受到上述之修改的破壞。 為了增加製作效率,經由單一晶圓所製作之裝置的數量 係會超過1’其中’這些裝置可完全相同或不同,並且一圖 案是必須成像於各裝置之晶圓的子集之上。於部分的例子 中’圖像是依序地成像於不同的子集之上。順序成像 ^sequent ial lmaging)是可經由數種理由(reas〇n)而加以實 行在光予像差(opt 1 cal aberrations)的作用下,於晶圓 ,較大面積上便可避免形成了適當圖帛聚焦品質(㈣㈣ focus quaiityh即使是在光學像差不存在的情況下,經由 晶圓與光阻之空間性質亦可避免於晶圓之較大面積上形成 了適當圖案聚焦品質。以下將針對晶圓/光阻之空間性質及 聚焦品質提出相關說明。 請再參閱第lb圖,物件3〇具有數字為N之複數子集 4(h ’其中’各子集之大小是小於成像物件3〇上之總面積 (total area)4卜於各子集4〇i之中,空間性質變化 property Variati〇n)(例如:晶圓或光阻之高度及斜度 (slope)變化)是小於所總面積41。然而,不同子集4〇;之晶 圓或光阻是具有不同的高度與斜度。舉例而言,於覆蓋層34 1057-6541-PF;Ahddub 1331211 所顯示之厚度A t!、△ t2係會改變外表面39(第10a圖)之高 度與斜度。因此’物件之各子集是與其所使用之微影成像^ (Photo 1 ithography imager)而有不同的空間關係(spatial relationship) 〇聚焦的品質是與空間關係(例如:於物件、 微影成像器之間的距離)有關聯性。當欲將物件之不同的子 集被置入於適當聚焦位置時,其需要經由物件、微影成像器 之相對再定位(relative repositioning)而達成。此外,在 物件之高度與斜度變化的影響作用下、且基於遠離於被成像 子集(例如:物件之侧邊(si(le)43)之部分物件可知,適當的 子集聚焦是無法僅僅經由物件之位置、方向之決定而達成。 當被成像(或經由其它的製作)之物件中一子集的空間 性質被決定之後,如此便可被完成適當的聚焦。此外,當子 集之位置被決定之後,則物件(及/或微影成像器之位置)便 可被移動(例如:移動、轉動及/或傾斜),如此便可對於與 一參考(例如:微影成像器之一部分)有關之位置進行修改。 因此,上述的決定與移動(必要時)是同樣可重複應用在成像 之各子集上。 子集之空間性質的決定是可包括了對於物件之薄膜層 之外表面上之一或多個作用點之位置及/或高度之決定,此 或多個作用點之位置是位於被成像物件的子集之中。舉例 而言’基於子集中之作用點42 ,-422的位置之下,子集4〇2(第 la圖)之外表面39的位置與方向是可被決定的。被成像子集 之二間性質的決定是可包括:使用具有光線之一干涉儀對於 子,進行照亮、對於包含了被照亮之子集所反射之光線之干 涉信號進行偵測。於部分實施例中,複數子集是經由光線而 ,t進行成像,如此以獲得複數干涉信號。上述之干涉信號 是分別表示一子集之一或多個空間性質。因此,在複數子集 的作用下,用以表示物件之圖貌之一影像是可經由干涉信號 而臬,。在子集之微影過程中,當子集經由複數干涉信號而 被決定時,基於各子集之圖貌便可對於晶圓進行定位。因 1057-654 l-PF;Ahddxib 56 1331211 * 此,在微影裝置的作用下,各子集是可根據其最佳焦點 . (optimum focus)而進行定位。 就源自於被成像之一物件之各子集之一干涉信號的偵 · 測方式是可包括了:藉由一 0PD範圍以對於源自於子集、參 考光線所反射之光線進行偵測,其中,此〇pD範園的大小係 至少相同於被偵測光線之同調長度。舉例而言,光線係至少 可經由其同調長度而進行偵測。於部分實施例中,在適當地 對於干涉儀之作用下,經由被照明子集所反射之光線是可經 由一外界面(outer interface)(例如:外表面39)或一内界 面(inner interface)(例如:界面36)所支配。於部分實施 例中’一物件之空間性質是可僅根據干涉信號之一部分而決 % 定。舉例而言,如果在干涉信號中包括了 2個或2個以上的 重疊干涉圖案時,則物件之一空間性質可根據其中之一干涉 圖案的一部分而決定,而此干涉圖案是由物件之單一界面之 表現所支配。 • 銅金屬連接層結構與化學機械研磨(Copper
Interconnect Structures And Chemical Mechanical Polishing) 晶片製造商(chip maker)通常是採用所謂的‘雙金屬鋼 鑲鼓法(dual damascene copper)’ 製程來進行一晶片之不 々 同零件(parts)之間的電性連接。由此例子可知,利用一表 ® 面圖貌系統(surface topography system)是可有效地呈現 出製程的性質。雙金屬鑲嵌法的製程可包括六個部分:d) 中間介電層(ILD)沈積(interlayer dielectric (ILD) deposition),其介電材料(dielectric material)(例如: 聚合物(polymer)或玻璃(glass))是沈積於一晶圓(包含複 數個別晶片(individual chips))之表面上;(2)化學機械研 磨(Chemical Mechanical Polishing, CMP),其介電層是經 由拋光處理而達到一光滑表面,如此以適用於精密光學钮刻 (precision optical lithography) ; (3)敍刻圖案化 1057 -654l-PF;Ahddub 57 1331211 (1 ithographic patterning)與離子反應蝕刻步驟(reactive ion etching steps)之結合,其建立有一複雜網狀組織 (complex network),此網路包括了複數窄溝槽(narr〇w trenches)與小介層窗(vias),其中’溝槽是以平行於晶圓 之表面進行延伸’而介層窗是由溝槽之底部延伸至一底(上 述說明中已經定義)導電層(lower electrically conducting layer); (4)金屬沈積步驟(metal dep〇siti〇n steps)之組合’其係會形成銅溝槽與介層窗之沈積;(5) 一 介電沈積(dielectric deposition step),其介電層是覆蓋 於銅溝槽與介層窗之上;(6)—最終CMP(finai CMP)步驟, 其用以將過多的銅(excess copper)予以移除、而留下了由 銅充填之溝槽(可能也包括了介層窗)所形成之網狀組織,此 網狀組織是由介電材料所包圍。 請參閱第12a圖’ 一裝置500為一膜結構(film structure)之範例,其中,膜結構是源自於沈積在銅圖貌 (copper features ) 5 02上之介電層504,此銅圖貌502是沈 積於一基底501之上。介電層504包括一非均勻外表面 (non-uniform outer surface) 506,此非均勻外表面 5〇6 呈 現出其所延伸之高度變化(height variations)»由裝置5〇〇 所獲付之干涉仏说可包括了干涉圖案,此干涉圖案是源自於 表面506、銅圖貌502與介電層504之間的一界面5〇8、基 底501與介電層504之間的一界面510。此外,裝置5〇〇亦 可包括了其它的複數圖貌’藉此以產生干涉圖案。 請參閱第12b圖,一裝置500’表示當裝置5〇〇完成了 最終CMP步驟之後的狀態,其中,上表面5〇6經由平垣化處 理而成為一表面506 ,此時之界面5068是外露於環境之 中,並且於基底表面上之界面510仍然未被接觸。裝置效能 (device performance)與均勻性(uniformity)是與表面 5〇4 之平坦化(planar izat ion)的監控有密切的關聯性。就抛光 速率(polishing rate)及完成拋光後之剩餘銅層(及介電層) 1057-654 l-PF;Ahddut) 58 1331211 厚度而言’其係與拋光環境(p〇lishing c〇nditi〇ns)(例如: 墊壓力(pad pressure)及撤光漿料成份(p〇Hshing sUrry composition))、與銅及其周圍介電區域之局部細節排列方 式(local detailed arrangement)(例如:方向、接近 (proximi ty)及形狀)之間具有相當嚴密且繁複的關係。因 此’相較於表面506之其它部位而言,位於銅圖貌502上之 表面506的部分結構是可採用不同的速率來進行银刻。此 外’當銅圖貌502之界面508外露時,介電層、銅元件便會 呈現出不同的蝕刻速率。 般而s ’ 位置有關拋光速率(position dependent polishing rate),是用以在許多側向長度尺寸(Uteral length scales)之上產生可變表面圖貌(variable surface 疇 topography)。舉例而言’相較於接近於晶圓之中央的晶片 而吕’接近於晶圓之邊緣的晶片是可採用較快的速率來進.行 拋光程序’如此便可在接近於晶圓之邊緣的位置上形成了較 薄之銅層區域、接近於晶圓之中央的位置上形成了較厚之銅 層區域。上述係為‘晶圓尺寸,製程非均勻性(‘ waf er scale’ process nonuni formi ty)的例子之一,亦即,在相 較於晶圓直徑(wafer diameter)、形成於長度尺寸上之例 子。此外’具有高密度溝槽之區域是採用較高的拋光速率, 而鄰接於兩逢、度溝槽之區域(具有底銅線(copper density) 社、度)則是採用較低的拋光速率。上述方式便形成了於高銅 密度區域(high copper density regions)所常見之 ‘CMP 感 應腐蝕(CMP induced erosion)’現象。上述係為‘晶片尺 寸 製程非均勻性(‘ chip scale ’ process non-uni formi ty)的例子之一,亦即,在相較於單一晶片之 線性尺寸(1 inear dimensions)、形成於長度尺寸上之例子。 晶片尺寸非均勻性之另一類型為‘淺碟化(dishing)’ ,其 多半發生在由銅充填溝槽的區域(相較於周圍之介電材料而 言’在此區域是傾向採用較高速率來進行拋光)之中。就寬 1057-6541-PF;Ahddub 59 1331211 ΐίtv具有於幾微米之較大溝槽而t,淺碟化的現象便 ‘.、· ’並且影響線路而產生了過大電阻(excessive H IT1 reSiStanCe) ’ 因而造成晶片失效(chiPfailure) 等情況發生。 & M· f質上,CMP感應(CMP illdUCed)之晶圓與晶片製程非均 :wafer and chip process n〇nunif〇rmities)是相當難 以進行預測,並且當相關的條件(c〇nditi〇ns)於製程系 統=ΜΡ processing system)之中進行發展時,則晶圓與晶 m 片,程非均勻f生疋會隨者時間而改變。$ 了有效的達到監 控且藉由適當的調整製程條件以確保任何非均勻性均可落 在可接又限制條件(acceptable 之内,製程工程師 (process engineers)特別必須針對位於大數量且寬範圍位 置上之複數晶片進行經常性非接觸表面圖貌量測(化叫此^ non contact surface topography measurements),其可利 用上述所提出之干涉測量方法及其系統之實施例而達成。 m 於部分實施例中,就結構在經由CMp處理之前及/或處 理中所獲得之低同調干涉信號而言,此低同調干涉信號是可 以對於一或多個空間性質(例如:表面5〇6之圖貌及/或介電 層504之厚度)進行監控。基於空間性質之下,經由變更拋 光條件是可以達到所需之平坦化表面5 〇 6 ’ 。舉例而言,基 於空間性質之下,墊壓力、墊壓力分佈(pad distribution)、拋光劑特徵(p〇iishing agent characteristics)、溶劑成份(comp〇siti〇n)及流量(fl〇w) 及其它條件是可以被決定的。在完成了部分的拋光階段之 後,空間性質可再被決定,並且拋光條件可根據所需而更 改’並且就用以做為圖貌及/或厚度之指示用的末作用點(例 如.表面504 )亦可被達成。由此可知,在低同調干涉信號 的作用下,經由拋光範圍超過物件之不同區域所造成之信號 衰減(depressions)的情況是可以避免的。根據上述可知, 低同調干涉信號方法及其系統所具有之優點在於:即使多界 1057-6541-PF;Ahddub 60 1331211
Hul\lple interfaces)存在的情況下,裝置之空間性質 銅元件502之上及(b)在界面510之上、但鄰 ίΠ牛502之介電層表面之相對高度)仍是可被決定的。 鲜接凸塊製程(Solder Bump PrQcessing) 。月參閱第13a、13b圖,一結構(structure)55〇為經由 :接凸塊製程中所形成之結構中的一範例。結構55〇包括一 ,底551、經由銲接且不可熔溼的(n〇nwettabie)之複數區 502及經由銲接且可熔溼的(wettable)之一區域503。 區域502包括了一外表面5〇7,區域5〇3包括了一外表面 5〇9。因此,於區域502、5〇1之間便可形成了一界面5〇5。 在上述之製作過程中,一軟焊料(s〇lder)5〇4是被放置 接觸於可熔澄區域503 ±。在軟焊料5〇4之流動作用下,軟 焊料504疋可確實接觸(secure c〇ntact)於可熔溼區域 之上。鄰接的不可熔溼區域5〇2就如同一壩結構(dam),藉 此以防止在結構上之流動焊料產生不當的遷移。因此,就結 構之空間性質中所包括之表面5〇7與5〇9之相對高度、相關 於表面502之軟焊料504之尺寸而言,是有其了解的必要 性。根據其它討論的決定方式可知,結構55〇包括了複數界 面其中,這些界面是可分別產生一干涉圖案。根據習用干 涉技術可知,相互疊置之干涉圖案是可以防止產生精確的空 間性質。於此所討論之低同調干涉系統及其方法是可對於空 間性質進行決定。 根據結構550所決定之空間性質可知,製造條件 (maiuifacturing conditions)是可被改變的,例如:銅圖貌 502/可熔溼區域503等層結構之沈積次數、可熔溼區域5〇3 之單位面積下之軟焊料5〇4的需求量。因此,基於空間性質 之下,對於軟焊料進行加熱而使其流動的加熱條件(heating conditions)亦可被更改,如此以使得軟焊料達到適當的流 動及/或防止軟焊料之不當的遷移。 液晶顯示器(Liquid Crystal Displays) 1057-6541-PF;Ahddub 61 1331211 請參閱第14圖,一被動式矩陣液晶顯示器(passive matrix LCD)450是由複數層結構所組合而成,其主要零件 (main parts)為兩玻璃板件(glass plates)452、453,並且 兩玻璃板件452、453之間是經由密封材料(seai)454所連 接。一偏光膜(polarizer)456被設置於前玻璃板件453之 上,如此以對於單一方向(single directi on)之入射光 (incoming light)進行偏極化,並且被偏極化的光線會通過 前玻璃板件453。一氧化銦錫(Indium Tin Oxide,IT0)層 458是用以做為一電極(eiectrode)之使用。由SiOx為基底 之一鈍化層(passivation layer)460、或可稱之為硬殼層 (hard coat layer)是塗覆於IT0層458之上,如此以對於 其表面進行電性的絕緣。聚亞醯胺(p〇lyimide)462是印刷於 鈍化層460之上,如此以對於液晶流體(liqui(1 crystal fluid)進行對齊。液晶流體是對於電場的變化是相當敏感 的。當一電場(electric field)施加於液晶流體時,液晶 流體會改變方向。此外’液晶(1 iquid crystal )具有光學活 性(optically active)且對於入射光之極性方向 (polarization direct ion)進行迴轉。晶胞間隙(cell gap) △ g,亦即,液晶層(1 iquid crystal layer)464之厚度是根 據間複數間隔件(spacers)466而決定,其中,間隔件466是 用以將兩玻璃板件452、453之間保持在一固定距離(fixed distance)。當前玻璃板件453至後玻璃板件452之間不具 有電壓(electric potential)時,則通過液晶層464之偏極 化光線(polarized light)會被迴轉90度。當一電壓經由一 玻璃板件而施加於另一玻璃板件時,則通過液晶層464之偏 極化光線是不會產生迴轉《當光線通過液晶層464之後,此 光線陸續會通過另一硬殼層470、一後IT0電極472及後玻 璃板件452。當光線抵達一後偏光膜(rear polarizer)474 時’不論是穿透或被吸收的光線均會被迴轉90度。此外, LCD 450可包括複數過濾器(filters)或其它上色元件 1057-654l-PF;Ahddub 62 1331211 (colorizing elements) ’如此以提供一彩色顯示器(c〇1〇r display) ° 晶胞間隙△ g決定了 LCD之大部分(great extent)的光 電性質(optoelectrical properties),例如:對比值 (contrast ratio)及亮度(brightness)。在製作過程中,晶 胞間隙之控制好或壞對於可否獲得均勻、具品質的顯示器有 相當大的關係。在顯不盗的組裝過程中,由於壓力或真空是 用以導入液晶材(liquid crystal medium)、密封枯料454 是用以固化且可用以改變尺寸、且被加入之液晶材可於兩玻 璃板件452與453之間產生毛細力量(capiiiary f〇rces:), 實際晶胞間隙的尺寸是可以不同於間隔件466的尺寸。不論 是在液晶層464被加入或加入之後,經由玻璃板件452、453 之表面480、482所反射之光線便會產生了代表晶胞間隙之 一干涉圖案。此外,於製作過程中,不論是就干涉信號之低 同調本質(low coherence nature)之本身、或是干涉信號之 低同調本質與其它干涉信號技術(interference signal processing techniques)相互結合而言,即使所處理的界面 疋由a日胞之其匕層結構所形成,其仍可經由干涉信號之低同 調本質以對於具有晶胞間隙之晶胞性質進行監控。 =表面干涉分析方法之一例子可知,當液晶層464被加 入之則’在方法作用下是可獲得了包括用以指示晶胞間隙△ g之一低同調干涉信號。除了可經由干涉圖案以決定晶胞間 隙(或晶胞之其它空間性質)之外,晶胞間隙亦可與一特定值 (spec 1 f 1 ed va 1 ue)之間進行比較。若晶胞間隙特定值、經 決疋後晶胞間隙之間的差值(difference)超過了公差 (tolerances)時,則經由改變兩玻璃板件452、453上之壓 力或真二疋可對於晶胞間隙△g進行調整。上述程序是可持 續進行,直到獲得所需晶胞間隙(desired ceU gap)為止。 隨後’液晶材被導入至晶胞之中,並且被加入之液晶材的總 量是可根據晶胞之所測得空間性質而決定,如此以避免晶胞 1057-6541-PF;Ahddub 63 1331211 中之液晶材之過多或填入不足的情況產生。此外,液晶材之 填入程序(filling process)亦可經由玻璃板件452、453之 表面480、482所獲得之干涉信號的方式而被監控。當晶胞 填入了液晶材之後,其它的低同調干涉信號(additi〇nal)便 可被可被獲得,如此以經由低同調干涉信號對於晶胞間隙進 行監控。再者,經由改變製造條件的作用下,晶胞間隙是可 被維持在或落入於公差之中。 雷射劃線及切割(Laser Scribing and Cutting) 經由雷射劃線於物件的作用下,則可同時對於不同的製 m 作結構(manufactured structures)(例如:微電子結構 (mijroelectronics structures))之分離作業(separati〇n) 進仃準備。分離作業的品質是與劃線條件(scribing C〇ndltion)(例如:雷射焦點尺寸(User f〇cus size)、雷 射功率(laser power)、物件之移動率(translati〇n rate)、 =線深度(scribe depth))有關。此外,由於結構之特徵 Matures)令的密度是可以較高,劃線線條une) 接於結構中之薄膜或層結構。與薄膜或層結構有關 之界面是可以對於干涉圖案進行建立,並且當干涉測 了劃線深度之後,干涉圖宰便可 ' 、 m 接薄膜或層結構,於上使劃線線條是鄰 劃線深度。 於上輪出之方法與系統仍可用以決定 於一表面干涉分析方法中,其可 進行一或多個電子社播夕制姑 \ J化署W線線條以 及/或完成之後:=畫二=業。在分離作業之前 度。其它的劃線條件包括:雷射點^用以決定劃線的深 雷射功率、物拌之移動I " 、(laser spot size)、 τ <移動率》干涉信號是可 + 度。在對於分離結構進行評估之下用; :广線的深 劃線深度)函數之分離作業的品質是可被為劃一線條件(包括了 :法的作用下’用以達成所需分離品質之气:條杜在此決定 決定。於後續的製作過程中,低同調干涉;二:件便可以被 τ /毡唬可經由劃線區 1057-6541-PF;Ahddub 64 1331211 如此以對於製作程序進行 ’劃線品質是可被維持在 監 或 域(scribed regions)而獲得,如 控。經由改變劃線條件的作用下, 落入於公差之中。 :然本發明已以較佳實施例揭露如上,然其並非 制本發明’任何熟習此項技藝者’在不脫離本發明之 I巳圍内,當可做更動與潤飾,因此本發明之保護範圍當事 附之申請專利範圍所界定者為準。 【圖式簡單說明】 第la圖表示包括一基底(substrate)與一被覆層 (overlying layer)(例如:薄膜(thin film)之一量測物件 (measurement object)之剖面圖。 第lb圖表示第la圖之物件之上視圖。 第2圖表示具有振幅(ampiitudes)之第一干涉圖案 (first interference pattern)與第二干涉圖案(second interference pattern)之一低同調干涉信號(1〇w coherence interference signal),其中,振幅是根據一相 對包絡線(envelope function)而變化。 第3圖表示一掠入射干涉量測系統(grazing incidence interferometry system) ° 第4圖表示一掠入射干涉量測系統。 第 5a圖表示在沿著量測光程(measurement optical path)之光線、第4圖之干涉量測系統之參考光程(reference optical path)之間的位移(shift),其造成了一量測物件 (measurement object)之傾斜》 第5b圖表示在沿著量測光程之光線、源自於第5a圖中 之傾斜量測物件之參考光程之間之位移向量(di sp 1 acement vectors)的轉動(rotation)。 第6圖表示一掠入射干涉量測系統。 第7a、7b圖表示應用在一掠入射干涉量測系統之三角 1057-6541-PF;Ahddub 65 1331211 測定模式(trangularation mode)之偵測器影像(detector images) 〇 第8a圖表示一掠入射干涉量測系統。 第8b圖表示第8a圖中之掠入射干涉量測系統之一部分 的放大》 第9a圖表不一低同調干涉里測系統(i〇w coherence interferometry system) 〇 第9 b圖為沿著第9 a圖中之X轴所觀察之干涉量測系統。 第10圖表示一量測物件之被照明作用點(illuminated points)、個別伸長影像(respective, elongated images) 之間的通訊(correspondence),其中,個別伸長影像是利用 第9a圖之低同調干涉量測系統所測得,藉此以提供被照明 作用點之使用。 第11 a、11 b圖表示第9a圖之低同調干涉量測系統之一 參考腳(reference leg)的範例元件(exemplary components) ° 第12a、12b圖表示為具有銅金屬連接層(c〇pper interconnects)的範例結構(exempiary structure)。第 12a、12b圖分別表示結構在未進行平坦化(planarizati〇n) 之前、完成平坦化之後的圖式。 第13a、13b圖表示在銲接凸塊製程(s〇lder_ bump processing)中所形成之範例結構。第13a圖表示結構在未 施加軟焊料(solder)之前的圖式,而第13b圖表示施加焊料 之後、但進行焊接前的結構圖。 第14圖表示一液晶顯示器(liquid crystal display) 之部分結構。 Λ〜等效波長; 1 01 ~干涉量測系統; 【主要元件符號說明】 λ〜公稱波長; 100、200〜光學系統; 1057-6541-PF;Ahddub 66 1331211 1 Ο 2 ~光束; 104〜初始照明前導波; 105~繞射透鏡光束分離器 110、110’〜量測前導波; 119〜平台; 130〜參考表面; 1 5 2〜照明光源; 156〜照明鏡片; 1 5 8、1 5 9 ~ 線網; 162~校正光束; 1 6 6 ~光線; 170〜繞射透鏡光束結合鏡 173〜成像透鏡; 1 8 Γ 、1 81 ”〜反射射線; 185〜參考射線; 190〜影像; 200b、201b〜影像; 2 0 2〜光源; 206~鏡片; 208、210~光學平面鏡; 226、228〜成像光學鏡片; 230~偵測器; 233〜間隙; 237〜壓電間隔件; 2 5 4〜光源; 2 5 6〜透鏡; 258〜光束分離器; 260〜補償器; 2 6 2〜鏡子; 264〜光束分離器; 103〜擴充透鏡; I 0 9〜作用點; ; 11 1〜光源; II 5 ~參考前導波; 120~輸出前導波; 1 5 0 ~照明系統; 154~光束調節器; 157~投影鏡片; 1 6 0 ~光線; 164~輸出光線; 1 6 7 ~光線; 片;171~透鏡; 175-CCD ; 1 81 ~測量射線; 1 8 9〜作用點; 200a〜第一影像; 201a~第二影像; 2 0 4 ~光束; 207~校正光束; 220、222〜部分; 224~結合光束; 231〜部分; 235’ 、235” ~位置 252〜物件; 2 5 5 ~光束; 257~光束; 2 5 9 ~量測光束; 261〜參考光束; 2 6 3 ~光線; 2 6 5 ~光束; ❿ 1057-6541-PF;Ahddub 67 1331211 272、274〜遠心光學鏡片 276 ' 270〜鏡子; 29〜圖案外貌; 3 0 0 ~干涉量測系統; 34〜層結構; 3 5 0 ~系統; 37~底面; 39〜表面; 4〇2~子集; 404〜結構光投影機; 4 0 7 ~光線; 4 0 9 ~光線; 41〜總面積; 411〜光束; 41 5 ~光束分離器; 417~結合光束; 42ι-422〜作用點; 45 0〜被動式矩陣液晶顯示 454〜密封材料; 458〜氧化銦錫層; 462〜聚亞醯胺; 4 6 6 ~間隔件; 472〜後ITO電極; 480、482〜表面; 500 、 500’〜裝置; 502〜區域; 504〜介電層; 5 0 5 ~界面; 506’〜平坦化表面; 5 0 8〜界面; 268~補償器; 276’ ~光瞳平面; 30〜物件; 32~基底; 3 5〜表面; 36〜基底層界面; 38〜物件環境界面; 4 0 0 ~光學系統; 402〜圖案; 406~遠心成像系統; 4 0 8 ~光源; 40i〜子集; 410〜鏡片;. 412、414~鏡子; 41 6 ~遠心光學中繼器 420〜偵測器; 427~參考表面; 器;452、453~玻璃板件 456~偏光膜; 4 6 0〜純化層; 464-液晶層; 4 7 0〜硬殼層; 474〜後偏光膜; 5 0〜干涉系統; 5 01〜基底; 503〜區域; 504’ ~表面; 5 0 6 ~表面; 5 0 7〜外表面;. 5 0 9〜外表面; 1057-6541-PF;Ahddub 68 1331211 5 2〜光源; 5 5〜校正光束; 551〜基底; 5 9〜結合光束; 62〜直角鏡子; 6 7 ~照明線; 71〜偵測器; 77a、77b、77c、77d〜光 8 1 a ~作用點; 83〜偵測器列; 85a〜照明作用點; 8 9〜干涉儀; 91、93〜行; 94~部分; 96〜第二重疊干涉圖案; 9 9 ~參考焦點; D ~格柵節距; LI、L2、L3、L4、L5〜透鏡 U、V ~ 轴; X、y〜軸 ; α〜掠入角; △ g~晶胞間隙; △ ti、△ t2〜厚度; Θ〜角度; 250〜Mach-Zehnder 干涉量 54~光束; 5 5 0 ~結構; 57〜槽縫; 6卜槽縫; 62b〜三鏡子反射器; 70~朗伯發射器; 73~像素; ;80a、80b~遠心鏡片; 81 b、8 5 b ~伸長焦點; 83〜像素列; 8 7〜像素列; 90〜干涉信號; 92〜第一重疊干涉圖案 95、97~同調包絡線; 9 8、9 9 ~ 條紋;
Bl、B2〜光束分離器; Π ~焦點; ;P~極化; X、Y、Z〜軸; X-Y、Y-Z〜平面; △ d~量值; △ s ~間隔; △ α ~範圍; λ ~波長; 1057-6541-PF;Ahddub 69

Claims (1)

1331211 修正曰期:99·ό.2 第093127851號中文申請專利範圍修正: 竹年6月)日修(更)正替換頁 十、申請專利範圍: 1. 一種光學系統,包括 一微影系統,經設計利用一光線圖案對於一量測物件之 一部分進行照射,該微影系統包括一參考表面; 一低同調干涉儀,具有一參考光程與—量測光程,沿 該參考光程所移動之該光線是經由該參考表面而至少反射 一次,沿著該量測光程所移動之該光線是經由該量測物件 至少反射一次;以及 一偵測器,設計用以偵測一低同調干涉信號,該低同調 干涉信號包括了已經過該參考光程之該光線、已經過該量測 光程之該光線,該低同調干涉信號是用以指示出該參考表 面、該量測物件之間的一空間關係。 D > A / 2.如申請專利範圍第丨項所述之光學系統,其中,該微 影系統包括一照明鏡片,該照明鏡片具有—照明透鏡表面, 該光線圖案之該光線是沿著包括有該照明透鏡表面之一光 程進行移動’其中,該照明透鏡表面、該 部分同等範圍。 巧衣®,主y θ 3·如申請專利範圍第1項所述之光學系統,其中,沿著 該量測光程進行移動之該光線是經由該量測物件之該部分 而至少反射一次,如此便可被該微影系統所照射。 ,4.如申請專利範圍第1項所述之光學系i,其中,已經 ^該參考光程之該低同調干涉信號的該光線、已經過該量測 同調干涉信號的該光線是具有光程差範圍,該等 九程差範圍疋至少為該低同調干涉儀之—同調長度的2〇%。 _5其=請專利範圍第4項所述之光學系統,其中’該等 尤耘差乾圍疋至少與該低同調干涉儀之該同調長度一樣大。 6·如申請專利範圍第1項所述之光學系統,苴中,該偵 ;==;摘測器元件,該等㈣器元件用以㈣= 干涉信號進行偵測,該等低同調干涉信號分別包 丄仏該參考光程之一個別不同部分的該光線、已經過 1057-6541-PF1 70 1331211 該量測光程之—加 ^ ν , 個別不同部分的該光線,該等低同碉千、,牛产 號分別用以指示屮咕曰 ^ 丨j -周干涉k 丁出該$測物件之一不同作用點與該參考表 面之間的一空間關係。 1衣 7.=申請專利範圍第6項所述之光學系統,包括. -”計成為: μ & ^疋該里測物件之各該等不同作用點、該參考表面之Μ 的該空間關係,兮夯本Λ β ^ 1衣面之間 至少-個別低π i 於該等低同調干涉信號中之 至少個別低同調干涉信號。 8 ·如申請專利範圍第7項所述之光學系統,包括一可 w 口,該移動階段用以控制該量測物該 的-:對位置與方向,其中,該處理器是設計成為糸,、先之間 相對妆Γ二空:關係以對於該量測物件與該微影系統之-相對位置進仃修改。 、M兮I t t請專利範圍第6項所述之光學系統,其中,已經 匕〜,考光程之該個別不同部分之各該等低同調干涉信 2 H 2經過該量測光程之該個別不同部分之該低同調 干^ 的該光線是具有複數光程 是至少為該低同調干涉儀之一同調長度的—差範圍 10. —種分析一量測物件之方法,包括: 將該量測物件沿著一微影系統之一光程進行定位; ,於來自於该微影系統之一參考表面之一光源之光線 的一第一部分進行反射; .對於來自於该量測物件之該光源之該光線的一第二部 刀進行反射步驟’其中光線的該第二部分不同於光線的該第 一部分;以及 以形成步驟形成-低同調干涉信號,該低同調干涉信號 包括了由該參考表面所反射之該光線、由該量測物件所反射 之該光線,該低同調干涉信號是用以指示出該量測物件、該 微影系統之間的一空間關係。 11.如申請專利範圍第10項所述之分析一量測物件之方 1057-6541-PF1 1331211 法 每 包括 ??年乙月)日修Λ)正替換 Πίί!該微影系統之該參考表面之複數位置中之 位置之該光源之該光線的一個別第— 干之 驟; 布邻/刀進行反射步 對於來自於該量測物件之複數位置中之一 光源之該光線的一個別第二部分進行反射;以及一立置之該 進行複數低同調干涉信號之形成步驟, 涉信號包括了經由該參考表面之該等不同位詈X -同調干 不同位置所反射之光線、經由該量測物件之該個別 之:個別不同位置所反射之光線,各該低同調干涉 系統之間的一空間關係。 至乂一者、邊微影 12·…如申請專利範圍第10項所述之分析—量測物件之 、、,在凡成了該量測物件之定位之後,該方法包括了 光線之該第一部分之反射、該光線之該第二部分之反射。Μ 13. 如申請專利範圍第1〇項所述之分析一量測物件之 關ί之下’該方法更包括了對於該量測物 1干興忒參考表面之一相對位置進行改變。 14. 如申請專利範圍第10項所述之分析一量測物件之方 法,其中,該參考表面為該微影系統之一鏡片的一表面。 、I5.如申請專利範圍第14項所述之分析一量測物件之方 法,更包括利用該微影系統將一紫外線光投影成像於該量測 物件之上,形成該紫外線影像之該光線是經過了 一光程,其 中’該光程包括該鏡片之該表面。 16. 如申請專利範圍第1 〇項所述之分析一量測物件之方 法,其中,該量測物件包括一基底與一薄膜,該薄膜具有一 外,面,並且於該形成步驟包括了對於該參考表面所反射之 該光線、該薄膜之該外表面所反射之該光線進行結合,該空 間關係是介於該薄膜之該外表面、該微影系統之間。 17. 如申請專利範圍第1 6項所述之分析—量測物件之方 1057-6541-PF1 72 1331211 -___ 月;曰修(更)正替換頁 法’其中,來自於該光線之該光線之該第二部分的光線於實 質上是被該薄膜所減弱。 、 1 8 ·如申請專利範圍第1 6項所述之分析一量測物件之方 法’其中’該薄膜包括光阻’並且來自於該光源之該光線之 該第二部分的光線所具有之能量是不足以對於該光阻 曝光。 1 9.如申請專利範圍第1 〇項所述之分析一量測物件之方 法,其中,該量測物件包括一基底與一薄膜,該薄膜具有一 外表面,並且於該形成步驟包括了對於該參考表面所反射之 該光線、該基底所反射之該光線進行結合, 於該基底、該微影系統之間。 ]關係疋" 20.如申請專利範圍第丨9項所述之分析一量測物件之方 法,其中,該量測物件之該光源之該光線之一第二部分的該 反射步驟是包括了在布魯斯特角度上對於該量測物件^ 照射。 21 如申請專利範圍第丨〇項所述之分析一量測物件之方 法,其中,該形成步驟包括了使用一干涉儀,已經過該參考 光程之該低同調干涉信號的該光線、已經過該量測光程之該 低^調干涉信號的該光線是具有複數光程差範圍,該等光程 差範圍疋至少為該低同調干涉儀之一同調長度的2〇 %。 、22.如申請專利範圍第21項所述之分析一量測物件之方 法,其中,對於來自於該量測物件之一第二部分的光線的該 反射步驟是包括了 :對於至少為50。之入射角對於該量測物 件進行照射之該光線進行引導。 ^ 2 3. —種用以決定一量測物件之一空間性質之系統,該 系統包括: 一光源; 一光學系統’設計成為: 以來自於該光源之光線之一第一部分、於一入射掠入角 之下對於該量測物件進行照射,該光線之該第一部分之至少 1〇57-6541-PFl ο Π年Μ;日修(更)正替換頁 —部分是經由該量測物件所反射; 以來自於該光源之該央绩之一楚—Α 统雄耔日3如4上& 第一部分對於一照明系 系之該第二部分之至少-部分經由該照明 、’的一表面所反射’其中該光線的該第 線的該第一部分; 丨刀不门於該九 的表物件所反射的光線和經由該照明系統 j衣面所反射的先線之間的光程差; 在該等光程差範圍之下,對於自贫吾、& 光線、自#日自Μ |纟# M t 〇 '、丨物件所反射之該 喂自該照明糸統的表面所反射之該光線“丨以及 一偵測器’當複數干涉條紋分別且古 偵測恶i + iΓ 具有—尖峰振幅時,該 測,^等光程差雀圍^ ^該光線進行偵 幅進=圍…對於該等干涉條紋之該等尖峰振 24. 如申請專利範圍第23項所述之用以決 之一空間性質之系統,其中,1等弁 、疋直J物件 學系統之-同調長度-ΐΐ。料^差抵圍是至少與該光 25. 如申請專利範圍第23項所述 之一空間性皙糸 4之用以決定一量測物件 工Π 生質之系統,其中,該處理器設 基於該等干涉條紋以決定該量測物件之=與 之-空間性質之系統,i中,該光量測物件 α “认—上E 予系統設計成為: 以來自於該光源之—個別第一部 掠入角之下對於該量測物件進行照射先線、於一入射 紛夕马氺始Y A由該量測物件所反 射之該光線中之部分的該個別第一八 光線之一個別部分; 刀刀|可視為該反射 將該反射光線之各部分斑诉自於丨。^ H對二 相同該光源之該光線 之一相對第—部分之間進行結合, 線;以及 如此以製作出個別結合光 該積測器包括複數偵測器元件,誃一 別對於複數個別干涉條紋進行偵測盗70件用以分 订谓』該4個別干涉條紋分別 1057-6541-PF1 74 ΙόόΙΙΙΙ 丨r?年6月)曰修(更)正替換買丨 ’ 包括了來自於一個別結合光線之表g-y 之該結合光線具有複數光程差範圍,各心=:涉條紋 以對於該等相對干涉條紋之該尖峰振幅進行調二广圍是足 27.如申請專利範圍第26項所述之用以決 之—空間性皙夕备从 ^ . 又 里,則物件 芙於·^榮/質 包 處理器,該處理器設計成A . 基於該專個別干涉條紋之下 ^為. 間性質。 4伏疋合忑寺作用點之空 之一 i8門如申凊專利範圍第25項所述之用以決定-量測物件 上質之糸統,其中,該量測物件包括一基底與一 覆溥膜,該被覆薄膜具有一外表面, 質^ 覆薄膜之該外表®之一空間性#。 /工間㈠為该被 之- i9門如專利範圍第28 $所述之用以決定-量測物件 質之糸統,其中,該處理器是與一可移動平台之 严通、該可移動平台是設計用以改變該量測物件盥一參者 巧的-相對位置’並且在基於該㈣性質之下,該處= 疋°又计用以改變該相對位置。 30. —種分析一量測物件之方法,包括: 以來自於一光源之光線之一第一部分、於一入射掠入角 之下對於該量測物件進行照射,該照射光線之至少一部分是 經由該量測物件所反射; ,來自於該光源之光線對於一照明系統之表面進行照 射’ 1照射光線之至少—部分經由該照明系統所反射; 提供經由該量測物件所反射的光線和源自於該光源的 光線的該第一部分之間的光程差範圍; 在該等光程差範圍之下,對於自該量測物件所反射之該 光線、自該照明系統的表面所反射之該光線進行結合;以及 對於在該等光程差範圍所結合之該光線進行偵測且視 之為複數干涉條紋’該等干涉條紋分別具有一尖峰振幅,該 等光程差範圍是足以對於該等干涉條紋之該等尖峰振幅進 行調變。 1057-6541-PF1 75 1331211 月J日修(更)正替換頁 31 ·如申請專利範圍第30項所述之分析一量測物件之方 法,該結合步驟包括了採用具有一同調長度之一干涉儀,該 等光程差範圍是至少與該光學系統之一同調長度一樣大。
X057-6541-PF1 76
TW093127851A 2003-09-15 2004-09-15 Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object TWI331211B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US50290703P 2003-09-15 2003-09-15
US50293003P 2003-09-15 2003-09-15
US50293303P 2003-09-15 2003-09-15
US50293203P 2003-09-15 2003-09-15
US53943704P 2004-01-26 2004-01-26

Publications (2)

Publication Number Publication Date
TW200528686A TW200528686A (en) 2005-09-01
TWI331211B true TWI331211B (en) 2010-10-01

Family

ID=34382261

Family Applications (4)

Application Number Title Priority Date Filing Date
TW093127851A TWI331211B (en) 2003-09-15 2004-09-15 Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object
TW093127843A TWI358614B (en) 2003-09-15 2004-09-15 Triangulation methods and systems for profiling su
TW093127842A TWI334921B (en) 2003-09-15 2004-09-15 Surface profiling using an interference pattern matching template
TW093127841A TWI331210B (en) 2003-09-15 2004-09-15 Methods and systems for interferometric analysis of surfaces and related applications

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW093127843A TWI358614B (en) 2003-09-15 2004-09-15 Triangulation methods and systems for profiling su
TW093127842A TWI334921B (en) 2003-09-15 2004-09-15 Surface profiling using an interference pattern matching template
TW093127841A TWI331210B (en) 2003-09-15 2004-09-15 Methods and systems for interferometric analysis of surfaces and related applications

Country Status (8)

Country Link
US (7) US7292346B2 (zh)
EP (3) EP2275868B1 (zh)
JP (3) JP5340539B2 (zh)
KR (3) KR20060084852A (zh)
AT (1) ATE421716T1 (zh)
DE (1) DE602004019231D1 (zh)
TW (4) TWI331211B (zh)
WO (2) WO2005029193A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI489083B (zh) * 2013-06-26 2015-06-21 賽格股份有限公司 使用相位移之干涉量測信號的同調掃描干涉量測方法
TWI682150B (zh) * 2018-12-27 2020-01-11 財團法人工業技術研究院 自動校準光干涉裝置及光干涉裝置自動校準方法
TWI685640B (zh) * 2018-12-26 2020-02-21 財團法人工業技術研究院 光學同調斷層掃描裝置及其光學干涉儀
US10976151B2 (en) 2018-12-26 2021-04-13 Industrial Technology Research Institute Optical interferometer with reference arm longer than sample arm

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6359144A (ja) * 1986-08-29 1988-03-15 Canon Inc ロ−カルエリアネツトワ−クの回線監視方式
US7057741B1 (en) * 1999-06-18 2006-06-06 Kla-Tencor Corporation Reduced coherence symmetric grazing incidence differential interferometer
US7050155B2 (en) 2001-10-30 2006-05-23 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6623995B1 (en) * 2002-10-30 2003-09-23 Taiwan Semiconductor Manufacturing Company Optimized monitor method for a metal patterning process
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
EP1526408A1 (en) * 2003-10-22 2005-04-27 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method, and measurement systems
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7930206B2 (en) 2003-11-03 2011-04-19 Google Inc. System and method for enabling an advertisement to follow the user to additional web pages
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US7777499B2 (en) * 2004-08-05 2010-08-17 Little Jr Jack R High-resolution, nondestructive imaging of dielectric materials
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004062256A1 (de) * 2004-12-23 2006-07-13 Basf Ag Hochempfindliches Verfahren zur Detektion von Unterschieden zwischen den physikalisch messbaren Eigenschaften einer Probe und einer Referenz
EP2108919B1 (en) * 2005-01-20 2015-03-11 Zygo Corporation Interferometer for determining characteristics of an object surface
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
KR101117826B1 (ko) * 2005-02-18 2012-06-05 호야 가부시키가이샤 투광성 물품의 검사 방법, 마스크 블랭크용 투광성 기판의 제조 방법, 마스크 블랭크의 제조 방법, 노광용 마스크의 제조 방법, 반도체 장치의 제조 방법 및 위상 시프트 마스크의 제조 방법
FR2883369B1 (fr) 2005-03-18 2007-06-01 Sagem Dispositif de mesure optique par triangulation optique
TWI394930B (zh) * 2005-05-19 2013-05-01 Zygo Corp 取得薄膜結構資訊之低同調干涉信號的分析方法及裝置
US7330258B2 (en) * 2005-05-27 2008-02-12 Innovative Technical Solutions, Inc. Spectrometer designs
US7411667B2 (en) * 2005-06-03 2008-08-12 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US20070042510A1 (en) * 2005-08-19 2007-02-22 Wafermasters, Incorporated In situ process monitoring and control
JP2007067165A (ja) * 2005-08-31 2007-03-15 Matsushita Electric Ind Co Ltd 光照射条件抽出方法および光照射条件抽出装置およびはんだ付け装置
DE102006016131A1 (de) * 2005-09-22 2007-03-29 Robert Bosch Gmbh Interferometrische Messvorrichtung
EP1931941A1 (de) * 2005-09-22 2008-06-18 Robert Bosch Gmbh Interferometrische schichtdickenbestimmung
US20070118361A1 (en) * 2005-10-07 2007-05-24 Deepen Sinha Window apparatus and method
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
KR101321861B1 (ko) * 2005-11-15 2013-10-25 지고 코포레이션 광학적으로 분석되지 않은 표면 형상의 특징을 측정하는방법 및 간섭계
DE102005056914A1 (de) * 2005-11-29 2007-05-31 Carl Zeiss Smt Ag Projektionsbelichtungsystem
EP1793243A1 (de) * 2005-12-05 2007-06-06 Leica Geosystems AG Verfahren zur Auflösung einer Phasenmehrdeutigkeit
US7542148B2 (en) * 2005-12-06 2009-06-02 Tokyo Electron Limited Method for measuring physical quantity of measurement object in substrate processing apparatus and storage medium storing program for implementing the method
US7697137B2 (en) * 2006-04-28 2010-04-13 Corning Incorporated Monolithic Offner spectrometer
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
WO2008035685A1 (fr) * 2006-09-19 2008-03-27 Olympus Medical Systems Corporation Dispositif de mesure de polarisation
US7710580B2 (en) * 2006-10-27 2010-05-04 Zygo Corporation Vibration resistant interferometry
WO2008133650A2 (en) * 2006-11-07 2008-11-06 Rudolph Technologies, Inc. Method and system for providing a high definition triangulation system
CN1975386B (zh) * 2006-11-16 2010-10-13 南京大学 红外光谱仪的多次透射-反射测量附件
US7704565B2 (en) * 2006-11-22 2010-04-27 The Boeing Company Method of making a layered component with vector discrimination in a variable deposition rate process
WO2008064470A1 (en) * 2006-11-27 2008-06-05 Roctest Ltée High selectivity band-pass interferometer with tuning capabilities
US7684050B2 (en) * 2006-12-22 2010-03-23 Canon Kabushiki Kaisha Shape measuring apparatus, shape measuring method, and exposure apparatus
JP5502491B2 (ja) * 2006-12-22 2014-05-28 ザイゴ コーポレーション 表面特徴の特性測定のための装置および方法
JP5137526B2 (ja) * 2006-12-22 2013-02-06 キヤノン株式会社 形状測定装置、形状測定方法、および露光装置
US7889355B2 (en) * 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
JP5013968B2 (ja) * 2007-02-21 2012-08-29 キヤノン株式会社 信号処理装置、プログラムおよび計測装置
WO2008151266A2 (en) * 2007-06-05 2008-12-11 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP4936287B2 (ja) * 2007-06-14 2012-05-23 独立行政法人産業技術総合研究所 内径測定装置
JP2008309638A (ja) * 2007-06-14 2008-12-25 National Institute Of Advanced Industrial & Technology 寸法測定装置及び寸法測定方法
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7720004B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Interfering packet streams in packet networks
US7961647B2 (en) * 2007-11-13 2011-06-14 Avaya Inc. Detecting interfering packet streams in packet networks
US7720005B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Estimating network-layer topology using end-to-end measurements
JP5222954B2 (ja) * 2007-11-13 2013-06-26 ザイゴ コーポレーション 偏光スキャンを利用した干渉計
WO2009079334A2 (en) 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
TWI454655B (zh) * 2007-12-31 2014-10-01 Ind Tech Res Inst 光譜影像處理方法
KR100988454B1 (ko) * 2008-01-31 2010-10-18 에스엔유 프리시젼 주식회사 두께 측정방법
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
JP5084558B2 (ja) * 2008-02-28 2012-11-28 キヤノン株式会社 表面形状計測装置、露光装置及びデバイス製造方法
US7847954B2 (en) * 2008-05-15 2010-12-07 Kla-Tencor Corporation Measuring the shape and thickness variation of a wafer with high slopes
JP5268425B2 (ja) * 2008-05-16 2013-08-21 キヤノン株式会社 表面形状測定装置及び露光装置
KR101010189B1 (ko) * 2008-06-30 2011-01-21 에스엔유 프리시젼 주식회사 두께 또는 표면형상 측정방법
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
US8654344B2 (en) * 2008-12-04 2014-02-18 Ecole Polytechnique Device for generating a secondary source by laser-material interaction comprising an optical device for controlling the orientation and the position of a surface in movement
FR2941780B1 (fr) * 2009-01-30 2011-04-01 Commissariat Energie Atomique Procede de mesure et procede de visualisation d'une surface d'onde par spectrophotometrie.
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
US20100245829A1 (en) * 2009-03-31 2010-09-30 Nikon Corporation System and method for compensating instability in an autofocus system
US8675205B2 (en) * 2009-06-15 2014-03-18 Artur G. Olszak Optical coherence tomography using spectrally controlled interferometry
JP2011040547A (ja) * 2009-08-10 2011-02-24 Canon Inc 計測装置、露光装置及びデバイスの製造方法
US8426119B2 (en) * 2009-10-21 2013-04-23 GM Global Technology Operations LLC Dynamic projection method for micro-truss foam fabrication
WO2011089010A1 (de) * 2010-01-22 2011-07-28 Universität Stuttgart Verfahren und anordnung zur robusten interferometrie
US20120008150A1 (en) 2010-04-23 2012-01-12 Nikon Corporation Autofocus system and method
US8462349B1 (en) * 2010-07-20 2013-06-11 Science Applications International Corporation System and method for a self-referencing interferometer
JP5663758B2 (ja) * 2010-08-17 2015-02-04 株式会社ミツトヨ 形状測定方法及び形状測定装置
US20120045855A1 (en) * 2010-08-20 2012-02-23 Beck Markus E Position-sensitive metrology system
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
DE102010041558A1 (de) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie sowie Verfahren zur mikrolithographischen Belichtung
DE102010041556A1 (de) 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
US8797537B2 (en) * 2010-11-15 2014-08-05 Zygo Corporation Interferometer with a virtual reference surface
TWI479160B (zh) * 2010-12-20 2015-04-01 Hon Hai Prec Ind Co Ltd 測試裝置及方法
EP2482031A1 (en) * 2011-01-26 2012-08-01 Mitutoyo Research Center Europe B.V. Method and apparatus for performing film thickness measurements using white light scanning interferometry
US8804129B2 (en) 2011-01-26 2014-08-12 Mitutoyo Corporation Method and apparatus for performing film thickness measurements using white light scanning interferometry
DE102011111900A1 (de) * 2011-08-30 2013-02-28 Dr. Johannes Heidenhain Gmbh Vorrichtung zur interferometrischen Abstandsbestimmung
NL2009273A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
JP5959104B2 (ja) * 2011-09-27 2016-08-02 芝浦メカトロニクス株式会社 貼り合せ板状体検査装置及び方法
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
DE102011085599B3 (de) * 2011-11-02 2012-12-13 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
DE102012002174B4 (de) 2012-02-07 2014-05-15 Schott Ag Vorrichtung und Verfahren zum Erkennen von Fehlstellen innerhalb des Volumens einer transparenten Scheibe und Verwendung der Vorrichtung
US10112258B2 (en) * 2012-03-30 2018-10-30 View, Inc. Coaxial distance measurement via folding of triangulation sensor optics path
DE102013203211A1 (de) * 2012-06-15 2013-12-19 Dr. Johannes Heidenhain Gmbh Vorrichtung zur interferentiellen Abstandsmessung
EP2677271B1 (en) * 2012-06-18 2017-04-26 Mitutoyo Corporation Broadband interferometer for determining a property of a thin film
GB2508874B (en) 2012-12-13 2017-09-20 Univ Of Huddersfield Interferometric apparatus and sample characteristic determining apparatus using such apparatus
US10054423B2 (en) * 2012-12-27 2018-08-21 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
RU2522775C1 (ru) * 2013-02-12 2014-07-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Новосибирский национальный исследовательский государственный университет" (Новосибирский государственный университет, НГУ) Способ пассивной локализации ребер прямоугольного металлического параллелепипеда в инфракрасном излучении
US20160004058A1 (en) * 2013-03-15 2016-01-07 Leonard Rodenhausen Wayne Lightsheet microscopy with rotational-shear interferometry
US20140268314A1 (en) * 2013-03-15 2014-09-18 Robert H. Dueck Three-beam Coherent Beam Combining System
US9377292B2 (en) 2013-08-06 2016-06-28 Zygo Corporation Interferometry employing refractive index dispersion broadening of interference signals
DE102014211004A1 (de) * 2014-06-10 2015-12-17 Dr. Johannes Heidenhain Gmbh Optische Positionsmesseinrichtung
JP6322069B2 (ja) * 2014-07-02 2018-05-09 Dmg森精機株式会社 変位検出装置
US9500468B2 (en) 2014-08-25 2016-11-22 Board Of Trustees Of Michigan State University Scanning interferometry technique for through-thickness evaluation in multi-layered transparent structures
WO2016069520A1 (en) 2014-10-27 2016-05-06 Kla-Tencor Corporation Quality estimation and improvement of imaging metrology targets
US10030964B2 (en) * 2014-12-12 2018-07-24 Sunedison Semiconductor Limited (Uen201334164H) Systems and methods for performing phase shift interferometry while a wafer is vibrating
NL2016121A (en) * 2015-02-06 2016-09-29 Asml Netherlands Bv A method and apparatus for improving measurement accuracy
JP7197270B2 (ja) * 2015-02-25 2022-12-27 ザ・チャールズ・スターク・ドレイパ・ラボラトリー・インコーポレイテッド ゼロ光路長差光フェーズドアレイ
JP6702666B2 (ja) * 2015-07-28 2020-06-03 株式会社ミツトヨ 変位検出装置
TWI582382B (zh) * 2015-10-16 2017-05-11 高準精密工業股份有限公司 光學裝置
WO2017081541A1 (en) * 2015-11-11 2017-05-18 Scopio Lab Ltd. Microscope having a refractive index matching material
DE102016103605A1 (de) * 2016-03-01 2017-09-07 Heidelberg Engineering Gmbh Verfahren für die Signalverarbeitung bei der optischen Kohärenztomografie mit einer durchstimmbaren Lichtquelle
US10563973B2 (en) * 2016-03-28 2020-02-18 Kla-Tencor Corporation All surface film metrology system
CN112504116B (zh) * 2016-04-21 2023-04-07 诺威有限公司 在对图案化样本的计量测量中使用的测量系统
US10234694B2 (en) 2016-07-15 2019-03-19 Canon U.S.A., Inc. Spectrally encoded probes
JP6762608B2 (ja) * 2016-09-06 2020-09-30 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
US10571248B2 (en) * 2017-01-09 2020-02-25 Kla-Tencor Corporation Transparent film error correction pattern in wafer geometry system
JP6853572B2 (ja) * 2017-03-31 2021-03-31 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
JP6876576B2 (ja) * 2017-08-17 2021-05-26 日本電子株式会社 三次元像構築方法
US11346747B2 (en) * 2017-10-27 2022-05-31 Harris Corporation QTIP—quantitative test interferometric plate
NL2020619B1 (en) 2018-01-16 2019-07-25 Illumina Inc Dual optical grating slide structured illumination imaging
US10529096B2 (en) * 2018-03-02 2020-01-07 Synaptive Medical (Barbados) Inc. System and method for characterizing tissue organization using polarization sensitive optical coherence tomography
WO2020004544A1 (ja) * 2018-06-29 2020-01-02 株式会社荏原製作所 バンプ高さ測定装置、基板処理装置、バンプ高さ測定方法、記憶媒体
WO2020021411A1 (en) * 2018-07-18 2020-01-30 Nova Measuring Instruments Ltd. Time-domain optical metrology and inspection of semiconductor devices
US11035665B2 (en) * 2019-07-30 2021-06-15 Kla Corporation System and method for enhancing data processing throughput using less effective pixel while maintaining wafer warp coverage
JP7283324B2 (ja) * 2019-09-18 2023-05-30 株式会社島津製作所 欠陥検査装置
US11150195B2 (en) * 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168611A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168610A1 (en) * 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems having light source with extended spectrum for semiconductor chip surface topography metrology
CN113654653B (zh) * 2021-08-13 2023-06-09 中国工程物理研究院激光聚变研究中心 一种超快激光时空耦合特性的单次测量方法

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) * 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
EP0075032B1 (de) * 1981-09-17 1986-01-08 Ibm Deutschland Gmbh Verfahren zur interferometrischen Oberflächentopographie
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) * 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS59105508A (ja) * 1982-12-08 1984-06-18 Canon Inc 白色干渉膜厚測定方法
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4626103A (en) * 1984-03-29 1986-12-02 At&T Bell Laboratories Focus tracking system
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4794550A (en) * 1986-10-15 1988-12-27 Eastman Kodak Company Extended-range moire contouring
JPS63263404A (ja) * 1987-04-21 1988-10-31 Nikon Corp 微細深さ測定方法及びその装置
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
JPH0654217B2 (ja) * 1987-08-28 1994-07-20 株式会社日立製作所 干渉膜厚測定方法
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) * 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5489986A (en) * 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) * 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5158991A (en) 1990-08-24 1992-10-27 General Electric Company Epoxy-functionalized siloxane resin copolymers as controlled release additives
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) * 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
EP0502679B1 (en) * 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
US5133601A (en) * 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5392749A (en) * 1991-10-11 1995-02-28 Caterpillar Inc. Hydraulically-actuated fuel injector system having separate internal actuating fluid and fuel passages
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) * 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) * 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) * 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
JP3735426B2 (ja) * 1996-12-11 2006-01-18 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US5973784A (en) * 1997-01-08 1999-10-26 Electro-Optical Sciences, Inc. Common path, interferometric systems and methods using a birefringent material for topographic imaging
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JPH1123229A (ja) * 1997-07-08 1999-01-29 Tokyo Seimitsu Co Ltd 膜厚測定方法
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5923425A (en) * 1997-11-20 1999-07-13 Tropel Corporation Grazing incidence interferometry for measuring transparent plane-parallel plates
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) * 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) * 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6615072B1 (en) 1999-02-04 2003-09-02 Olympus Optical Co., Ltd. Optical imaging device
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
TW490596B (en) * 1999-03-08 2002-06-11 Asm Lithography Bv Lithographic projection apparatus, method of manufacturing a device using the lithographic projection apparatus, device manufactured according to the method and method of calibrating the lithographic projection apparatus
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) * 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) * 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) * 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6195168B1 (en) 1999-07-22 2001-02-27 Zygo Corporation Infrared scanning interferometry apparatus and method
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6545761B1 (en) * 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
AU2001260975A1 (en) 2000-01-25 2001-08-20 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6597460B2 (en) * 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) * 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
AU2001281361A1 (en) 2000-07-27 2002-02-13 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
ATE464534T1 (de) * 2000-11-02 2010-04-15 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
JP4583611B2 (ja) * 2001-01-11 2010-11-17 富士フイルム株式会社 斜入射干渉計装置
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
KR100393429B1 (ko) 2001-04-09 2003-08-02 한국과학기술원 각기 다른 금속 물질의 단차 측정을 위한 두 파장 백색광간섭법과 간섭계
WO2002088705A2 (en) 2001-05-01 2002-11-07 The General Hospital Corporation Method and apparatus for determination of atherosclerotic plaque type by measurement of tissue optical properties
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
WO2003025497A1 (en) 2001-09-21 2003-03-27 Kmac Apparatus for measuring thickness profile and refractive index distribution of multiple layers of thin films by means of two-dimensional reflectometry and method of measuring the same
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
WO2003062802A2 (en) 2002-01-24 2003-07-31 The General Hospital Corporation Apparatus and method for rangings and noise reduction of low coherence interferometry lci and optical coherence tomography (oct) signals by parallel detection of spectral bands
DE10229818A1 (de) * 2002-06-28 2004-01-15 Carl Zeiss Smt Ag Verfahren zur Fokusdetektion und Abbildungssystem mit Fokusdetektionssystem
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
JP4414235B2 (ja) 2002-03-14 2010-02-10 テイラー・ホブソン・リミテッド 表面プロファイリング装置及び表面プロファイルデータ作成方法
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
KR100984809B1 (ko) * 2002-05-02 2010-10-04 지고 코포레이션 주사 간섭계를 위한 위상 갭 분석
US7012700B2 (en) * 2002-06-17 2006-03-14 Zygo Corporation Interferometric optical systems having simultaneously scanned optical path length and focus
WO2003106920A1 (en) * 2002-06-17 2003-12-24 Zygo Corporation Interferometry methods and systems having a coupled cavity geometry for use with an extended source
WO2004003463A2 (en) * 2002-07-01 2004-01-08 Lightgage, Inc. Interferometer system of compact configuration
AU2003266136A1 (en) 2002-09-09 2004-03-29 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) * 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
JP2004340680A (ja) * 2003-05-14 2004-12-02 Toray Eng Co Ltd 表面形状および/または膜厚測定方法及びその装置
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005067579A2 (en) * 2004-01-06 2005-07-28 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
US7321430B2 (en) 2004-04-22 2008-01-22 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
WO2005114096A2 (en) 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) * 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
EP2108919B1 (en) * 2005-01-20 2015-03-11 Zygo Corporation Interferometer for determining characteristics of an object surface
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI394930B (zh) 2005-05-19 2013-05-01 Zygo Corp 取得薄膜結構資訊之低同調干涉信號的分析方法及裝置
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI489083B (zh) * 2013-06-26 2015-06-21 賽格股份有限公司 使用相位移之干涉量測信號的同調掃描干涉量測方法
TWI685640B (zh) * 2018-12-26 2020-02-21 財團法人工業技術研究院 光學同調斷層掃描裝置及其光學干涉儀
US10976151B2 (en) 2018-12-26 2021-04-13 Industrial Technology Research Institute Optical interferometer with reference arm longer than sample arm
TWI682150B (zh) * 2018-12-27 2020-01-11 財團法人工業技術研究院 自動校準光干涉裝置及光干涉裝置自動校準方法
US10890429B2 (en) 2018-12-27 2021-01-12 Industrial Technology Research Institute Automatic calibration optical interferometer and automatic calibration method of optical interferometer

Also Published As

Publication number Publication date
KR101185473B1 (ko) 2012-10-02
JP5340539B2 (ja) 2013-11-13
TWI358614B (en) 2012-02-21
WO2005029193A3 (en) 2005-10-06
US7456975B2 (en) 2008-11-25
US7289225B2 (en) 2007-10-30
TW200517638A (en) 2005-06-01
JP2011221027A (ja) 2011-11-04
WO2005029192A2 (en) 2005-03-31
KR20060084852A (ko) 2006-07-25
TW200526925A (en) 2005-08-16
US20050078319A1 (en) 2005-04-14
US20080068614A1 (en) 2008-03-20
JP5587241B2 (ja) 2014-09-10
KR101295207B1 (ko) 2013-08-09
WO2005029192A3 (en) 2005-09-29
TWI334921B (en) 2010-12-21
TWI331210B (en) 2010-10-01
EP1664932B1 (en) 2015-01-28
US20050078318A1 (en) 2005-04-14
US20100060898A1 (en) 2010-03-11
EP2275868A1 (en) 2011-01-19
US8107085B2 (en) 2012-01-31
EP1664931B1 (en) 2009-01-21
KR20060096054A (ko) 2006-09-05
KR20120040260A (ko) 2012-04-26
ATE421716T1 (de) 2009-02-15
US7298494B2 (en) 2007-11-20
JP2007506071A (ja) 2007-03-15
EP2275868B1 (en) 2018-02-28
US20050068540A1 (en) 2005-03-31
US20090096980A1 (en) 2009-04-16
TW200527145A (en) 2005-08-16
EP1664931A2 (en) 2006-06-07
EP1664932A2 (en) 2006-06-07
DE602004019231D1 (de) 2009-03-12
US20050057757A1 (en) 2005-03-17
WO2005029193A2 (en) 2005-03-31
US7292346B2 (en) 2007-11-06
TW200528686A (en) 2005-09-01
US7586620B2 (en) 2009-09-08
JP2007506070A (ja) 2007-03-15
US7289224B2 (en) 2007-10-30

Similar Documents

Publication Publication Date Title
TWI331211B (en) Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object
TWI335417B (en) Method and apparatus for thin film measurement
US7636168B2 (en) Interferometry method and system including spectral decomposition
TWI428559B (zh) 在低同調干涉下系統性效應之補償方法和系統
US8189202B2 (en) Interferometer for determining overlay errors
US7978338B2 (en) Compound reference interferometer
US7130056B2 (en) System and method of using a side-mounted interferometer to acquire position information
JP4898869B2 (ja) 角度分解した分光リソグラフィの特徴付けの方法および装置
US8107084B2 (en) Interference microscope with scan motion detection using fringe motion in monitor patterns
KR101223195B1 (ko) 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정 및 산란 측정을 위한 간섭측정 방법 및 장치
JP2004040067A (ja) 焦点検出方法および焦点検出系を備えた結像系
US20120069326A1 (en) Interferometric methods for metrology of surfaces, films and underresolved structures
TW200925796A (en) An optical focus sensor, an inspection apparatus and a lithographic apparatus
JP2003508799A (ja) 偏光保存光学系
TWI816991B (zh) 遮罩缺陷修正裝置、及遮罩缺陷修正方法
CN114509408A (zh) 一种散射测量装置及方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees