KR20040107477A - 서셉터를 포함한 처리 챔버에서 반도체 기판을 가열하기위한 공정 및 시스템 - Google Patents

서셉터를 포함한 처리 챔버에서 반도체 기판을 가열하기위한 공정 및 시스템 Download PDF

Info

Publication number
KR20040107477A
KR20040107477A KR10-2004-7014123A KR20047014123A KR20040107477A KR 20040107477 A KR20040107477 A KR 20040107477A KR 20047014123 A KR20047014123 A KR 20047014123A KR 20040107477 A KR20040107477 A KR 20040107477A
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
support structure
semiconductor wafer
support
Prior art date
Application number
KR10-2004-7014123A
Other languages
English (en)
Inventor
이영재
왕로날드엘.
엘와이스티븐
디바인다니엘제이.
Original Assignee
맷슨 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 테크놀로지, 인크. filed Critical 맷슨 테크놀로지, 인크.
Publication of KR20040107477A publication Critical patent/KR20040107477A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

처리 챔버에서 서셉터 상의 반도체 기판을 가열하기 위한 공정 및 시스템이 개시된다. 본 발명과 관련하여, 서셉터가 개시된다. 본 발명과 관련하여, 서셉터는 서셉터의 상부에 웨이퍼를 부유되도록 하기 위해 비교적 낮은 열 전도도를 갖는 재료로 구성된 지지 구조부를 포함한다. 상기 지지 구조부는 고온 공정 중에 웨이퍼 내에 형성되는 반경 방향 온도 구배를 제지 또는 방지하는 소정의 높이를 가진다. 필요한 경우, 리세스는 지지 구조부의 위치 설정 및 배치를 위해 서셉터 내에 형성될 수 있다. 상기 서셉터는 가열 주기 중에 웨이퍼의 형상에 적응하도록 구성된 포켓을 한정하는 웨이퍼 지지 표면을 포함할 수 있다.

Description

서셉터를 포함한 처리 챔버에서 반도체 기판을 가열하기 위한 공정 및 시스템{PROCESS AND SYSTEM FOR HEATING SEMICONDUCTOR SUBSTRATES IN A PROCESSING CHAMBER CONTAINING A SUSCEPTOR}
집적 회로 및 다른 전기 장치의 제작 중에, 반도체 웨이퍼는 통상적으로 열 처리 챔버 내에 위치되어 가열된다. 가열 중에, 다양한 화학 공정 및 물리 공정이 발생될 수 있다. 예를 들어, 가열 주기 중에 반도체 웨이퍼가 어닐링되거나 또는 다양한 코팅 및 필름이 웨이퍼 상에 증착될 수 있다.
특히, 에피택셜(epitaxial) 공정 중에, 웨이퍼가 처리 챔버 내에서 가열되는 일 방식은 웨이퍼를 가열된 서셉터에 위치시킬 수 있다. 서셉터는 예를 들어, 유도 가열기 또는 전기 저항 가열기를 사용하여 가열될 수 있다. 서셉터를 포함한 많은 시스템에서, 가열 공정 중에 바람직하지 못한 입자 또는 오염물을 생성하는 벽 상의 어떠한 증착을 회피하기 위해, 처리 챔버 벽은 서셉터보다 더 낮은 온도를 유지한다. 이러한 유형의 처리 챔버는 "저온 챔버 벽"으로 불리고, 열 비평형 상태에서 작동된다.
도1을 참조하면, 저온 벽 처리 챔버의 도면은 일반적으로 10으로 도시된다. 처리 챔버(10)는 단열재로 구성될 수 있는 벽(12)을 포함하고, 적극적으로 냉각될 수도 있다. 챔버(10) 내에는 예를 들어 탄화 규소로 구성된 서셉터(14)가 있다.본 실시예에서, 서셉터(14)는 코일(16)에 의해 가열된다.
도1에 도시된 실시예에서, 처리 챔버(10)는 다중 반도체 웨이퍼를 동시에 처리하도록 구성된다. 도시된 바와 같이, 다수의 웨이퍼(18)는 서셉터(14)의 상부에 위치된 포켓(20) 내에 위치된다. 처리 가스(22)는 챔버의 전체에 걸쳐 순환한다.
처리 중에, 반도체 웨이퍼(18)는 서셉터에 의해 약 1000℃ 내지 약 1200℃의 온도까지 가열될 수 있다. 불활성 가스 또는 반도체 웨이퍼와 반응하도록 구성된 가스와 같은 처리 가스는 웨이퍼가 가열되는 동안 또는 가열된 후에 반응기 내로 유도된다.
도1에 도시된 시스템에서, 상기 웨이퍼(18)는 주로 전도에 의해 서셉터로부터 가열된다. 그러나 가열 중에, 상기 웨이퍼는 물과 처리 가스 사이의 온도차로 인해, 방사에 의해 주변 챔버 벽(12)으로 열을 손실한다. 또한, 소량의 열도 웨이퍼로부터 처리 가스로 전달된다. 열이 웨이퍼를 통과하기 때문에, 온도 구배는 웨이퍼 두께를 통해 전개된다. 상기 온도 구배는 웨이퍼를 굽힘 및 변형시킬 수 있다.
상기 처리 중에, 웨이퍼를 평면 상에 위치시키는 것을 일반적으로 유리하지 못하다. 특히, 굽힘 중에 상기 웨이퍼는 오직 서셉터의 중심과 접촉되어, 웨이퍼 중심의 온도를 상승시키고, 웨이퍼 내의 반경 방향 온도 구배를 발생시킨다. 웨이퍼 내의 상기 반경 방향 온도 구배는 웨이퍼 내의 온도 응력을 발생시킬 수 있고, 상기 온도 응력이 결함이 있는 중심에 응집되도록 변위를 발생시킬 수 있다. 응력 발생 변위는 유리한 결정면 및 방향을 따라 많이 이동하여, 수직 단차에 의해 결정면의 일부가 다른 일부로부터 변위되는 가시 슬립 라인을 남긴다. 이러한 현상은 일반적으로 "슬립"으로 불린다.
처리 중에 웨이퍼 상의 슬립을 감소시키기 위한 다수의 공정이 과거에 제안되어 왔다. 예를 들어, 과거에는 서셉터의 표면에는 가열 중에 웨이퍼의 가능한 굽힘 만곡부와 정합하도록 웨이퍼 하부에 포켓을 형성하기 위한 얕은 함몰부를 제공했었다. 그러나, 이는 웨이퍼가 서셉터와 균일하게 접촉하는 경우, 포켓의 설계 및 제작이 어렵다. 오정렬로 인해, 반경 방향 온도 구배 및 슬립이 발생될 수 있다.
다른 실시예에서, 서셉터는 웨이퍼의 가능한 굽힘 보다 더 깊은 깊이를 가지도록 설계된 포켓을 구비하여 설계되었다. 본 실시예에서, 웨이퍼가 가열되면 웨이퍼는 서셉터 포켓의 에지에 의해 그 에지에서만 지지되고, 포켓의 다른 위치와는 접촉하지 않는다. 웨이퍼가 에지에서 서셉터와 접촉하기 때문에, 웨이퍼의 에지는 웨이퍼의 중심과 관련하여 그 온도가 상승될 수 있고, 반경 방향 온도 구배를 형성할 수 있다. 그러나 상기 기술은 8 in 보다 더 작은 직경을 갖는 웨이퍼에서 성공적으로 사용된다. 그러나, 더 큰 직경을 갖는 웨이퍼는 더 큰 반경 방향 온도 구배를 형성하여 더 많은 슬립을 형성하는 경향이 있다.
상술된 관점에서, 열 처리 챔버 내에서 서셉터 상에 반도체 웨이퍼를 가열하기 위한 시스템 및 공정이 제공될 필요가 있다. 특히, 열 처리 챔버 내에서 웨이퍼를 지지 및 가열할 수 있고, 웨이퍼를 균일하게 가열하면서 동시에 웨이퍼의 굽힘을 수납할 수 있는 서셉터 설계가 제공될 필요가 있다. 이러한 시스템은 6 in이상의 직경을 갖는 더 큰 웨이퍼에 대해 특히 유용할 수 있다.
당해 기술 분야의 일반적인 숙련자들에서 가장 유리한 모드를 포함하는 본 발명의 전체의 가능한 개시 내용은 특히 첨부된 도면의 참조 번호를 포함하여 본 명세서의 나머지 부분에 설명된다.
도1은 종래의 열 처리 챔버의 측면도이다.
도2는 도1에 도시된 바와 같이, 열 처리 챔버 내에 사용되기 위해 본 발명과 관련되어 구성된 서셉터의 일 실시예의 절결부의 측면도이다.
도3은 본 발명과 관련되어 구성된 지지 구조부의 일 실시예의 측면도이다.
도4a 내지 도4c는 본 발명과 관련되어 구성된 지지 구조부의 다른 실시예의 측면도이다.
도5는 본 발명과 관련되어 구성된 링형 지지 구조부의 일 실시예의 사시도이다.
도6은 본 발명과 관련되어 구성된 서셉터의 다른 실시예의 평면도이다.
도7은 본 발명과 관련되어 구성된 서셉터의 또 다른 실시예의 평면도이다.
본 발명의 동일한 또는 유사한 특징 또는 요소를 나타내기 위해, 본 명세서 및 도면의 참조 번호가 반복되어 사용된다.
본 발명은 상술된 단점 및 종래의 다른 구조 및 공정을 인식하고 처리한다.
본 발명의 대체로 열 처리 챔버 내에 서셉터를 구비하는 반도체 웨이퍼를 가열하기 위한 공정 및 시스템에 관한 것이다. 본 발명에 따르면, 상기 서셉터는 서셉터 상에 웨이퍼를 지지하기 위한 지지 구조부를 포함한다. 상기 지지 구조부는 어닐링, 증착 또는 에피택셜 처리와 같은 처리 및 가열 중에 웨이퍼 내이 형성될 수 있는 반경 방향 온도 구배를 감소시킨다. 웨이퍼 내에 반경 방향 온도 구배를 감소시킴으로써, 웨이퍼 내에 발생되는 슬립이 제거되거나 또는 최소화될 수 있다. 또한, 웨이퍼가 더 균일하게 가열되기 때문에, 본 발명의 시스템 및 처리는 또한 코팅 공정 중에 웨이퍼 상의 증착 균일성을 개선시킬 것이다.
예를 들어, 일 실시예에서 본 발명은 처리 챔버에 포함되는 반도체 기판을 처리하기 위한 시스템에 관한 것이다. 서셉터는 처리 챔버 내에 위치된다. 상기 서셉터는 챔버 내에 포함된 반도체 웨이퍼를 가열하기 위한 유도 가열기 또는 전기 저항 가열기와 같은 가열 장체와의 작동 관계로 위치된다. 상기 서셉터는 반도체 웨이퍼를 수납하기 위한 웨이퍼 지지면을 더 포함한다. 상기 웨이퍼 지지면은 적어도 하나의 리세스와, 상기 리세스 내에 위치된 상응하는 지지 구조부를 포함한다. 상기 지지면은 웨이퍼의 열 처리 중에 반도체 웨이퍼를 서셉터의 상부로 들어 올리도록 구성된다.
본 발명에 따르면, 지지 구조부는 1100℃의 온도에서 약 0.06 cal/cm-s-℃이하의 열 전도도를 가진다. 예를 들어, 상기 지지 구조부는 석영, 사파이어 또는 다이아몬드로 구성될 수 있다.
다수의 응용에서, 상기 처리 챔버는 저온 벽 챔버일 수 있다. 서셉터를 가열하는데 사용되는 상기 유도 가열기는 예를 들어, 탄화 규소로 둘러싸인 흑연 요소일 수 있다.
열 처리 중에 웨이퍼 굽힘을 수납하기 위해, 서셉터의 웨이퍼 지지면은 웨이퍼가 포켓의 상부면과 접지하지 않고 가열 중에 반도체 웨이퍼가 구부러질 수 있도록 구성된 형상을 갖는 포켓을 포함할 수 있다. 예를 들어, 상기 포켓은 포켓의 상부면이 가장 높은 처리 온도에서 반도체 웨이퍼로부터 약 1 mil 내지 약 20 mil로 이격되는 형상일 수 있다. 또한, 상기 포켓은 가장 높은 처리 온도에서 웨이퍼와 포켓의 상부면 사이의 간격이 대체로 균일하며 약 2 mil 이하로 변경되는 형상일 수 있다.
상술된 바와 같이, 상기 지지 구조부는 반도체 웨이퍼를 서셉터의 표면 상부로 들어올린다. 상기 지지 구조부의 높이가 계산되므로, 가장 높은 처리 온도에서 반도체 웨이퍼를 통과하는 열 흐름이 균일하다. 일반적으로, 상기 지지 높이는 이하와 같이 계산된 거리의 약 5% 이내일 수 있다.
상기에서, dg는 서셉터와 반도체 웨이퍼 사이의 거리이며, Kg는 지지 구조부의 열 전도도이며, Kg는 처리 챔버 내의 존재하는 가스의 열 전도도이다.
본 발명에 사용된 지지 구조부는 다양한 형태와 형상을 가질 수 있다. 예를 들어 일 실시예에서, 상기 지지 구조부는 상응하는 복수개의 리세스 내에 위치된 복수개의 핀을 포함할 수 있다. 상기 핀은 반도체 웨이퍼를 지지하기 위해 공통 반경을 따라 이격될 수 있다. 다르게는, 상기 지지 구조부는 트랜치형 리세스 내에 위치된 링을 포함할 수 있다. 대부분의 응용에서, 상기 지지 구조부는 약 0.02 in 내지 0.1 in의 높이를 가질 수 있다. 또한, 리세스의 깊이는 약 0.01 in 내지 0.08 in 일 수 있다.
상기 지지 구조부는 웨이퍼의 에지 부근의 반도체 웨이퍼를 지지할 수 있다. 다르게는, 상기 지지 구조부는 다수의 웨이퍼의 중심 부근에서 반도체 웨이퍼를 지지할 수 있다. 본 발명의 시스템은 어떠한 크기 및 형상의 반도체 웨이퍼를 처리할 수 있다. 그러나, 상기 시스템은 6 in 이상의 직경을 갖는 반도체 웨이퍼를 균일하게 가열하는데 특히 적절하다. 이러한 웨이퍼는 상당한 양의 슬립이 형성되지 않고 가열될 수 있다.
본 발명의 처리 중에, 상기 반도체 웨이퍼는 적어도 800℃, 특히 적어도 1000℃, 및 특히 적어도 1100℃의 온도로 가열될 수 있다. 본 발명과 관련하여, 웨이퍼는 웨이퍼의 반경 방향 거리에 걸쳐 약 5℃ 이하의 온도차가 있도록 최대 처리 온도까지 가열될 수 있다. 웨이퍼가 균일하게 가열됨으로써, 웨이퍼 상에 필름 및 코팅을 균일하게 증착시키는 것이 가능하다. 본 발명의 다른 특징, 양태 및 장점은 이하에 자세하게 설명될 것이다.
당해 기술 분야의 일반적인 숙련자들은 제공된 설명이 예시적인 실시예를 오직 설명하는 것이며, 본 발명의 더 넓은 양태를 제한하지 않으며, 상기 더 넓은 양태는 예시 구성에 예시되는 것을 이해할 수 있다.
본 발명은 대체로 열 처리 챔버 내의 서셉터 상의 반도체 웨이퍼를 더 균일하게 가열하기 위한 시스템 및 공정에 관한 것이다. 본 발명에 따르면, 반도체 웨이퍼는 슬립 또는 다른 웨이퍼 결함을 발생시킬 수 있는 반경 방향 온도 구배를 감소 또는 제거하면서, 서셉터 상에서 가열될 수 있다. 본 발명에 따르면, 반도체 웨이퍼는 석영과 같은 비교적 낮은 전도도의 재료로 구성된 지지 구조부를 사용한 가열된 서셉터 상부에 부유되어 있다. 상기 지지 구조부는 핀 형상, 링 형상, 아크 형상 등과 같은 소정의 형상을 수 있다. 상기 지지 구조부는 서셉터 표면에 형성된 리세스와 정합하여 위치될 수 있다. 상기 리세스는 웨이퍼 하부의 선택된 위치에서 가능한 조합체에 위치될 수 있다.
본 발명과 관련하여, 상기 리세스의 깊이 및 지지 구조부의 높이는 지지 구조부를 통한 열 전달 저항이 서셉터의 표면과 웨이퍼 사이의 공간 또는 간극을 통과하는 열 전달과 유사하거나 또는 대체로 동일하도록 구성된다. 이러한 방식으로, 가열 중에 지지 구조부 바로 상부의 웨이퍼 온도가 웨이퍼 하부면의 나머지 부분과 대체로 동일하게 유지되어, 반경 방향 온도 구배가 제거된다.
지지 구조부의 높이 또는 서셉터 내의 리세스의 깊이와 같은 본 발명의 시스템의 실제 설계는 작동 온도 범위, 챔버 내의 가스 유형 및 지지 구조부를 형성하는데 사용되는 재료와 같은 작동 조건에 따를 것이다.
일 실시예에서, 상기 지지 구조부는 서셉터의 표면에 형성된 포켓의 상부에서 반도체 웨이퍼를 부유되도록 한다. 웨이퍼가 웨이퍼의 굽힘을 발생시키는 충분한 열로 가열되는 경우, 상기 포켓은 가열 중에 반도체 웨이퍼의 형상과 대체로 정합하는 형상을 가질 수 있다. 서셉터의 슬로프가 웨이퍼의 굽힘 슬로프에 정합함으로써 가열 공정 중에 반경 방향 온도 균일성을 유지하는 것을 더 지원할 수 있다. 반경 방향 온도 균일성을 유지하는 것은 웨이퍼 내의 슬립을 감소 또는 제거시키고, 웨이퍼 상의 코팅 형성 중에 증착 균일성을 향상시킨다.
본 발명의 공정 및 시스템은 저온 벽 처리 챔버의 사용에서 특히 적절하다. 그러나, 본 발명의 시스템 및 공정이 다른 다양한 챔버에서도 사용될 수 있는 것이 이해되어야 한다. 또한, 본 발명의 시스템 및 공정은 어닐링 또는 에피택셜 공정과 같은 모든 유형의 웨이퍼 가열 공정 중에 사용될 수 있다.
도2를 참조하면, 서셉터의 일 실시예는 본 발명과 관련하여 일반적으로 114로 표시된다. 서셉터(114)는 도1에 도시된 처리 챔버에 위치될 수 있도록 설계된다.
도2에 도시된 바와 같이, 상기 서셉터(114)는 반도체 웨이퍼를 가열하기 위해 가열 장치(116)와 작동 관계로 위치된다. 상기 가열 장치는 무선 주파수 유도 코일과 같은 적절한 가열기일 수 있다. 다르게는, 상기 서셉터는 전기 저항 가열기에 위해 가열될 수 있다. 일 실시예에서, 예를 들어, 가열 장치가 탄화 규소로 둘러싸인 흑연 요소를 포함하는 유도 가열기이다. 상기 가열 장치(116)는 반도체 웨이퍼를 지지하도록 설계된 서셉터의 일부에 일체될 수 있거나 또는 이격 관계로 서셉터의 표면을 가열할 수 있다.
도2에 도시된 바와 같이, 서셉터(114)는 반도체 웨이퍼(118)를 수납하기 위한 포켓(120)을 포함한다. 본 발명과 관련하여, 웨이퍼(118)는 지지 구조부(124) 상에 위치된다. 상기 지지 구조부(124)는 적어도 하나의 리세스(126) 내에 위치된다. 도시된 바와 같이, 상기 지지 구조부(124)는 리세스(126)의 하부 내에 고정된다. 그러나 일반적으로 리세스(126)의 내부 벽은 서셉터(114)와 지지 구조부 사이의 직접적인 열 전달을 방지하기 위해 지지 구조부(124)와 비접촉 관계에 있다.
상기 지지 구조부(124)의 목적은 웨이퍼(118)를 상기 포켓(120)의 상부 표면의 상부에 부유되도록 하고, 상당한 반경 방향 온도 구배가 없도록 웨이퍼를 더 균일하게 가열하는 것을 지원하는 것이다. 상술된 바와 같이, 특히 저온 벽 처리 챔버에서 반도체 웨이퍼(118)는 방사에 의해 주변 챔버 벽으로 열을 손실할 수 있다. 웨이퍼를 통한 열 전달로 인해, 온도 구배는 웨이퍼 두께를 통해 전개된다. 본 발명의 시스템 및 공정의 목적은 반경 방향 온도 구배가 전개 또는 발생되지 않고, 웨이퍼의 두께를 통한 열 전달을 허용하는 것이다. 반경 방향 온도 구배가 가열된 웨이퍼로 전개되는 본 발명에 따른 경향은 지지 구조부(124)의 사용에 의해 감소된다. 일반적으로, 상기 지지 구조부(124)는 가열 주기 중에 웨이퍼의 하부 표면을 대체로 동일한 온도로 유지시키고, 이는 반경 방향 온도 구배의 형성을 방지한다.
서셉터 상의 웨이퍼 온도 균일성을 이상적으로 증진시키기 위해, 지지 구조부는 웨이퍼의 하부 표면과 서셉터의 표면 사이에 존재하는 가스와 동일한 전도도를 가진다. 그러나 불행하게도, 가스의 전도도와 동일한 전도도를 갖는 고체 재료는 존재하지 않는다. 고체 재료의 전도도가 항상 더 높다.
그러나, 본 발명에 따르면 본 발명자는 서셉터를 형성하는데 사용된 재료의전도도 보다 훨씬 더 낮은 전도도을 갖는 지지 구조부의 재료를 사용하고, 지지 구조부에 서셉터 내에 형성되는 리세스 내의 소정의 높이가 제공됨으로써, 웨이퍼 내의 온도 균일성을 유지할 수 있는 것을 발견하였다.
예를 들어, 처리 가스 및 서셉터를 통한 열 저항과 동일하게 지지 구조부를 통한 열 저항을 설정함으로써, 다음의 식이 얻어진다.
여기서,
Ks- 지지 구조부의 전도도
ds- 지지 구조부의 높이
ksu- 서셉터의 전도도
dr- 리세스의 높이
Kg- 처리 가스의 전도도
dg- 웨이퍼와 서셉터 사이의 거리
Tg1- 리세스 하부의 서셉터 온도
Tg2- 서셉터 상부 표면 온도
Tw- 웨이퍼 하부 표면 온도
σ- 슈테판 볼츠만(Stefan-Boltzmann) 상수
εs- 서셉터의 방사율
εw- 웨이퍼의 방사율을 나타낸다.
도3을 참조하면, 지지 구조부(124)가 서셉터(114)의 상부에 웨이퍼(118)를 지지하는 확대도이다. 도시된 바와 같이, 지지 구조부(124)는 리세스(126) 내에 위치된다. 상기 지지 구조부(124)는 리세스의 내부 벽과 접촉하지 않고 리세스(126)의 내부에 위치된다.
도3에는 상술된 식에서 사용된 다양한 거리 및 변수가 도시된다. 상술된 바와 같이, 상기 식은 지지 구조부를 통과하는 열 유속(130)이 서셉터와 웨이퍼 사이의 간극과 서셉터를 통과하는 열 유속(132)과 동일한 상황을 나타내도록 의도되었다. 도3에서, 처리 가스(128)는 웨이퍼와 서셉터 사이의 공간에 존재한다.
본 발명에 따르면, 지지 구조부(124)의 전도도가 서셉터(114)의 전도도 보다 더 많이 낮고(Ks<<Ksu), 웨이퍼와 서셉터 사이의 방사 에너지가 무시할 만한 정도라면, 상술된 식은 이하와 같이 단순화될 수 있다.
또는
서셉터가 흑연 또는 탄화 규소와 같은 높은 열 전도도를 갖는 재료로 구성된경우, 상기 단순화는 특히 적절하다. 상술된 바와 같이, 상기와 같은 경우 지지 구조부의 높이는 처리 가스의 전도도와 지지 구조부의 전도도의 비율로 배가된 웨이퍼와 서셉터 사이의 거리와 동일하다.
본 발명에 따른 서셉터를 구성할 때, 지지 구조부의 거리는 위에 계산된 거리와 가능한 한 유사한 거리를 갖는 것이 대체로 유리하다. 그러나, 지지 구조부의 높이가 상기 계산된 거리의 약 25% 이내, 특히 상기 계산된 거리의 약 10% 이내, 특히, 상기 계산된 거리의 약 5% 이내인 경우, 허용 가능한 결과가 달성된다.
본 발명에 사용된 지지 구조부(124)의 실제 높이는 다양한 요인에 따라 변경될 것이다. 이러한 요인은 지지 구조부의 구성에 사용된 재료, 처리 가스의 전도도, 웨이퍼와 서셉터 사이의 거리, 처리 온도 등을 포함한다. 일반적으로, 지지 구조부(124)의 높이는 일 실시예에서, 약 0.02 in 내지 약 0.1 in, 특히 약 0.03 in 내지 약 0.08 in 일 수 있다. 상기 높이에서, 리세스(126)의 깊이는 약 0.01 in 내지 약 0.08 in, 특히 약 0.02 in 내지 0.05 in 일 수 있다. 서셉터 내에 리세스가 제공됨으로써 소정의 지지 구조부가 가능하지만, 웨이퍼는 소정의 서셉터의 상부 표면과 유사하다.
예를 들어, 웨이퍼(118)는 가열 주기 중에 서셉터의 상부 표면으로부터 약 1 mil 내지 약 20 mil, 특히 5 mil 내지 10 mil의 거리이어야 한다. 일 실시예에서, 서셉터의 표면은 웨이퍼를 수납하기 위해 포켓(120)을 형성한다. 유리한 실시예에서, 포켓의 상부 표면은 가장 높은 처리 온도에서 웨이퍼의 형상과 대체로 적응되는 형상을 가진다. 예를 들어, 가장 높은 처리 온도에서 웨이퍼가 구부려지는 경향을 갖는 경우, 포켓(120)의 상부 표면은 웨이퍼 내에서 구부려지도록 적응되어야 한다. 웨이퍼 전체에 걸친 개선된 온도 균일성은 웨이퍼가 서셉터와 접촉하지 않고, 서셉터와 웨이퍼 사이의 균일한 거리를 유지함으로써 유지된다. 이상적으로는, 가장 높은 처리 온도에서, 포켓(120)의 상부 표면과 웨이퍼(118)의 하부 표면 사이의 거리는 약 2 mil 이하, 특히 약 1 mil 이하로 변경되어야 한다.
다양한 재료가 본 발명과 관련된 지지 구조부(124)를 형성하는데 사용될 수 있는 것이 신뢰된다. 일반적으로, 지지 구조부를 형성하도록 선택된 재료는 가장 높은 온도에서 비교적 낮은 열 전도도를 가지며, 가열 될 때, 처리 챔버가 오염되지 않아야 한다. 예를 들어, 지지 구조부를 형성하는데 사용된 재료는 웨이퍼가 가열되는 온도에서 금속 가스를 형성하지 않아야 한다.
일반적으로, 지지 구조부의 열 전도도는 약 1100℃ 이상의 온도에서 약 0.06 cal/cm-s-℃ 이하, 특히 0.0037 cal/cm-s-℃ 내지 0.06 cal/cm-s-℃ 일 수 있다. 본 발명에 사용되기에 적절한 소정의 재료는 석영, 사파이어, 다이아몬드를 포함한다.
본 발명의 시스템 및 공정을 통해, 웨이퍼가 상당한 반경 방향 온도 구배 없이 열 처리 챔버 내에서 가열된 서셉터 상에 매우 효과적으로 가열될 수 있다. 예를 들어, 웨이퍼는 본 발명에 따라 반경 방향으로 10℃ 이하의 온도차, 특히 5℃ 이하의 온도차를 갖도록 처리될 수 있고, 일 실시예에는 반경 방향으로 약 3℃ 이하로 처리될 수 있다.
상술된 바와 같이, 지지 구조부(124)는 일반적으로 서셉터(114) 내에 형성되는 리세스(126)에 위치된다. 상기 지지 구조부(124)는 리세스 내에 위치될 때, 리세스의 내부 벽으로부터 소정의 거리로 이격되어야 한다. 그러나 상기 지지 구조부는 리세스 내에 위치되면 위치를 유지하여야 한다.
도4a 내지 도4c를 참조하면, 지지 구조부 및 리세스 구성의 다양한 실시예가 도시된다.
예를 들어, 도4a에 도시된 바와 같이, 지지 구조부(124)는 일반적으로 균일한 폭 또는 직경을 가진다. 그러나 리세스(126)는 소정의 위치에서 지지 구조부를 유지하도록 설계된 만입부(134)를 포함한다.
또한 도4b에 도시된 실시예에서, 지지 구조부(124)는 리세스 내의 정렬된 지지 구조부(124)를 지지하기 위한 풋 부분 또는 탭 부분(136)을 포함한다.
도4c를 참조하면, 지지 구조부 및 리세스 구성의 다른 실시예가 도시된다. 본 실시예에서, 리세스(126)는 만입부(134)를 포함하는 반면, 지지 구조부(124)는 상응하는 협소부(138)를 포함한다. 상기 협소부(138)는 만입부(134) 내에 단단히 고정된다.
지지 구조부의 높이를 제외하고는, 그 크기 및 형상은 일반적으로 위에 제공된 수식과 무관하다. 따라서, 지지 구조부는 반도체 웨이퍼의 지지를 가능케 하는 적절한 형상으로 제공될 수 있다. 예를 들어, 도5를 참조하면, 일 실시예에서 상기 지지 구조부(124)는 링 형상일 수 있다. 상기 링(124)은 서셉터(114) 내에 형성된 리세스(126) 내에 고정될 수 있다. 본 실시예에서, 상기 리세스(126)는 트랜치 형상을 가질 수 있다.
일 실시예에서, 지지 구조부가 도5에 도시된 바와 같이 링형이면, 상기 링은 약 0.25 in의 폭을 가질 수 있고, 리세스는 약 0.3 in의 폭을 갖는 트랜치 형상일 수 있다.
도5에 도시된 링형을 갖는 것 이외에, 상기 지지 구조부는 도6 및 도7에 도시된 바와 같이 핀 형상(140)일 수 있다. 도시된 바와 같이, 상기 핀은 반도체 웨이퍼의 균일한 지지를 위한 공통 반경을 따라 이격될 수 있다. 일반적으로, 웨이퍼를 지지하기 위해 세 개 이상의 핀이 요구된다.
도6에 도시된 실시예에서, 핀(140)은 그 에지에 또는 그 부근에서 반도체 웨이퍼를 지지하기 위해 위치된다. 그러나, 도7에서 상기 핀은 무게 중심에 또는 그 부근에서 반도체 웨이퍼를 지지하도록 위치된다. 그러나, 상기 지지 구조부가 모든 적절한 웨이퍼 직경에 위치될 수 있는 것이 이해되어야 한다.
상기 핀의 단면의 형상은 일반적으로 중요하지 않다. 예를 들어, 도6에서 상기 핀은 원통형 형상을 갖는 것이 도시되지만, 도7에서는 상기 핀이 정사각형 또는 직사각형을 갖는 것이 도시된다. 오직 예시만을 목적으로, 원통형 형상인 경우, 상기 핀은 약 0.25 in의 직경을 가질 수 있고, 약 0.3 in의 직경을 갖는 리세스 내에 위치될 수 있다.
상기 핀(140)의 상부 표면은 웨이퍼를 지지하기 위해 적절한 형상일 수 있다. 예를 들어, 다수의 응용에서, 핀의 상부 표면은 편평해야 한다.
본 발명의 상기 및 다른 개조 및 변형이 본 발명의 정신 및 범주를 벗어나지 않고, 당해 기술 분야의 일반적인 숙련자에 의해 실행될 수 있으며, 첨부된 청구항에 자세히 설명된다. 또한, 다양한 실시예의 양태가 전체 또는 부분적으로 교환될 수 있는 것이 이해되어야 한다. 또한, 당해 기술 분야의 숙련자들은 상술된 설명이 예시만을 위한 것이며, 첨부된 청구항에서 더 설명되는 바와 같이 본 발명의 한정하려는 것이 아님을 이해할 것이다.

Claims (41)

  1. 반도체 기판을 처리하기 위한 시스템이며,
    반도체 웨이퍼를 포함하도록 구성된 처리 챔버와,
    상기 처리 챔버 내에 위치된 서셉터와,
    서셉터 상에 지지된 반도체 웨이퍼를 가열하기 위한 서셉터와 작동 관계로 위치된 가열 장치를 포함하고,
    상기 서셉터는 반도체 웨이퍼를 수납하기 위한 웨이퍼 지지 표면을 포함하고, 상기 웨이퍼 지지 표면은 적어도 하나의 리세스와 상기 리세스 내에 위치된 상응하는 지지 구조부를 포함하고, 상기 지지 구조부는 웨이퍼의 열 처리 중에 서셉터 상부에 반도체 웨이퍼를 들어올리도록 구성되고, 상기 지지 구조부는 1100℃의 온도에서 약 0.06 cal/cm-s-℃ 이하의 열 전도도를 가지는 시스템.
  2. 제1항에 있어서, 상기 가열 장치는 전기 저항 가열기 또는 유도 가열기를 포함하는 시스템.
  3. 제2항에 있어서, 상기 가열 장치는 탄화 규소로 둘러싸인 흑연 요소를 포함하는 시스템.
  4. 제1항에 있어서, 상기 처리 챔버는 저온 벽 챔버를 포함하는 시스템.
  5. 제1항에 있어서, 상기 지지 구조부는 석영을 포함한 재료로 구성되는 시스템.
  6. 제1항에 있어서, 상기 웨이퍼 지지 표면은 웨이퍼가 포켓의 상부 표면과 접촉하지 않고 가열 중에 반도체 웨이퍼가 구부러질 수 있도록 형성된 형상을 갖는 포켓을 포함하는 시스템.
  7. 제6항에 있어서, 상기 포켓은 상기 포켓의 상부 표면이 가장 높은 처리 온도에서 반도체 웨이퍼로부터 약 1 mil 내지 약 20 mil로 이격되도록 형성되는 시스템.
  8. 제7항에 있어서, 상기 포켓은 가장 높은 온도에서 웨이퍼와 포켓의 상부 표면 사이의 간격이 대체로 균일하고 약 2 mil 이하로 변경되도록 형성되는 시스템.
  9. 제1항에 있어서, 상기 지지 구조부는 다음 식으로 계산된 거리, 즉
    의 약 5% 이내의 높이를 가지며,
    여기서,
    dg= 서셉터와 반도체 웨이퍼 사이의 거리
    Ks= 지지 구조부의 열 전도도
    Kg= 처리 챔버 내에 제공된 가스의 열 전도도를 나타내는 시스템.
  10. 제1항에 있어서, 상기 서셉터는 공통 반경을 따라 위치된 적어도 세 개의 리세스를 포함하고, 상기 지지 구조부는 상응하는 복수개의 핀을 포함하는 시스템.
  11. 제1항에 있어서, 상기 서셉터는 원형 리세스를 포함하고, 상기 지지 구조부는 링을 포함하는 시스템.
  12. 제1항에 있어서, 상기 지지 구조부는 약 0.02 in 내지 약 0.1 in의 높이를 갖는 시스템.
  13. 제1항에 있어서, 상기 지지 구조부는 약 6 in 이상의 직경을 갖는 웨이퍼를 지지하도록 구성된 시스템.
  14. 제1항에 있어서, 상기 리세스는 내부 벽을 포함하고, 상기 지지 구조부는 상기 내부 벽으로부터 소정 거리로 이격된 시스템.
  15. 제1항에 있어서, 상기 리세스는 약 0.01 in 내지 0.08 in의 깊이를 갖는 시스템.
  16. 제1항에 있어서, 상기 지지 구조부는 웨이퍼의 에지 부근에서 반도체 웨이퍼를 지지하도록 구성된 시스템.
  17. 제1항에 있어서, 상기 지지 구조부는 웨이퍼의 무게 중심 부근에서 반도체 웨이퍼를 지지하도록 웨이퍼 지지 표면 상에 위치된 시스템.
  18. 처리 챔버 내의 반도체 웨이퍼를 유지하고 가열하기 위한 서셉터이며,
    가열 장치와,
    반도체 웨이퍼를 수납하기 위한 웨이퍼 지지 표면과,
    상기 포켓의 상부 표면의 상부에 반도체가 부유되도록 하기 위해 웨이퍼 지지 표면으로부터 연장된 지지 구조부를 포함하고,
    상기 웨이퍼 지지 표면은 웨이퍼가 포켓의 상부 표면과 접촉하지 않고, 가열 중에 반도체 웨이퍼가 구부러질 수 있도록 구성된 형상을 갖는 포켓을 한정하고,
    상기 지지 구조부는 1100℃ 온도에서 약 0.06 cal/cm-s-℃ 이하의 전도도를 갖는 재료로 구성되는 서셉터.
  19. 제18항에 있어서, 상기 가열 장치는 전기 저항 가열기 또는 유도 가열기를포함하는 서셉터.
  20. 제18항에 있어서, 상기 포켓의 상부 표면은 탄화 규소를 포함하는 서셉터.
  21. 제19항에 있어서, 상기 지지 구조부는 석영을 포함하는 재료로 구성되는 서셉터.
  22. 제19항에 있어서, 상기 포켓은 상기 포켓의 상부 표면이 가장 높은 처리 온도에서 반도체 웨이퍼로부터 약 1 mil 내지 약 20 mil로 이격된 서셉터.
  23. 제22항에 있어서, 상기 포켓은 가장 높은 처리 온도에서 웨이퍼와 상기 포켓의 상부 표면 사이의 거리가 대체로 균일하고, 약 2 mil 이하로 변경되도록 형성되는 서셉터.
  24. 제23항에 있어서, 상기 지지 구조부는 다음 식으로 계산된 거리, 즉
    의 약 25% 이내의 높이를 가지며,
    여기서,
    dg= 서셉터와 반도체 웨이퍼 사이의 거리
    Ks= 지지 구조부의 열 전도도
    Kg= 처리 챔버 내에 제공된 가스의 열 전도도를 나타내는 서셉터.
  25. 제19항에 있어서, 상기 웨이퍼 지지 표면은 리세스를 한정하고, 상기 지지 구조부는 상기 리세스 내에 위치되는 서셉터.
  26. 제25항에 있어서, 공통 반경을 따라 위치된 적어도 세 개의 리세스를 포함하고, 상기 지지 구조부는 상응하는 복수개의 핀을 포함하는 서셉터.
  27. 제25항에 있어서, 원형의 리세스를 포함하고, 상기 지지 구조부는 링을 포함하는 서셉터.
  28. 제19항에 있어서, 상기 지지 구조부는 약 0.02 in 내지 약 0.1 in의 높이를 가지는 서셉터.
  29. 가열된 서셉터 상의 반도체 웨이퍼를 균일하게 가열하기 위한 공정이며,
    서셉터를 포함하는 처리 챔버를 제공하는 단계와,
    상기 지지 구조부 상에 반도체 웨이퍼를 위치시키는 단계와,
    웨이퍼 지지 표면과 접촉하지 않고 웨이퍼가 구부러지는 최대 처리 온도로 상기 반도체 웨이퍼를 가열하는 단계를 포함하고,
    상기 서셉터는 웨이퍼 지지 표면을 가열하고 한정하며, 상기 서셉터는 상기 웨이퍼 지지 표면으로부터 연장된 지지 구조부를 더 포함하고, 상기 웨이퍼 지지 표면은 표면과 접촉하지 않고 가열 중에 반도체 웨이퍼가 구부러질 수 있도록 형성된 형상을 갖고, 상기 지지 구조부는 약 1100 ℃의 온도에서 0.06 cal/cm-s-℃ 이하의 전도도를 갖는 재료로 구성되는 공정.
  30. 제29항에 있어서, 상기 최대 처리 온도는 적어도 1000℃ 인 공정.
  31. 제29항에 있어서, 상기 서셉터 및 웨이퍼는 전기 저항 가열기 또는 유도 가열기에 의해 가열되는 공정.
  32. 제29항에 있어서, 상기 지지 구조부는 석영, 사파이어 또는 다이아몬드를 포함한 재료로 구성되는 공정.
  33. 제29항에 있어서, 상기 웨이퍼 지지 표면은 상기 표면이 최대 처리 온도에서 반도체 웨이퍼로부터 약 1 mil 내지 약 20 mil로 이격되고, 웨이퍼와 지지 구조부 사이의 간격이 최대 처리 온도에서 대체로 균일하고 약 2 mil 이하로 변경되도록 형성되는 공정.
  34. 제29항에 있어서, 상기 지지 구조부는 최대 처리 온도에서 다음 식으로 계산된 거리, 즉
    의 약 5% 이내의 높이를 가지며,
    여기서,
    dg= 서셉터와 반도체 웨이퍼 사이의 거리
    Ks= 지지 구조부의 열 전도도
    Kg= 처리 챔버 내에 제공된 가스의 열 전도도를 나타내는 공정.
  35. 제29항에 있어서, 상기 지지 구조부는 공통 반경을 따라 위치된 적어도 세 개의 지지 핀을 포함하는 공정.
  36. 제29항에 있어서, 상기 지지 구조부는 링 형상인 공정.
  37. 제29항에 있어서, 상기 지지 구조부는 약 0.02 in 내지 약 0.1 in의 높이를 갖는 공정.
  38. 제29항에 있어서, 상기 웨이퍼 지지 표면은 리세스를 더 한정하고, 상기 지지 구조부는 상기 리세스 내에 위치되는 공정.
  39. 제29항에 있어서, 상기 웨이퍼는 저온 벽 처리 챔버에서 가열되는 공정.
  40. 제29항에 있어서, 상기 반도체 웨이퍼는 적어도 10 in의 직경을 갖는 공정.
  41. 제29항에 있어서, 상기 웨이퍼는 최대 처리 온도에서 반도체 웨이퍼 전체에 걸쳐 약 5℃ 이하의 온도차가 있도록 가열되는 공정.
KR10-2004-7014123A 2002-05-07 2003-04-15 서셉터를 포함한 처리 챔버에서 반도체 기판을 가열하기위한 공정 및 시스템 KR20040107477A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/141,515 2002-05-07
US10/141,515 US20030209326A1 (en) 2002-05-07 2002-05-07 Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
PCT/US2003/011734 WO2003096396A1 (en) 2002-05-07 2003-04-15 Process and system for heating semiconductor substrates in a processing chamber containing a susceptor

Publications (1)

Publication Number Publication Date
KR20040107477A true KR20040107477A (ko) 2004-12-20

Family

ID=29399681

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7014123A KR20040107477A (ko) 2002-05-07 2003-04-15 서셉터를 포함한 처리 챔버에서 반도체 기판을 가열하기위한 공정 및 시스템

Country Status (8)

Country Link
US (2) US20030209326A1 (ko)
JP (1) JP4786177B2 (ko)
KR (1) KR20040107477A (ko)
CN (1) CN100578734C (ko)
AU (1) AU2003221961A1 (ko)
DE (1) DE10392595T5 (ko)
TW (1) TWI278935B (ko)
WO (1) WO2003096396A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100808342B1 (ko) * 2005-11-30 2008-02-27 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 균열 장치
US7781276B2 (en) 2006-11-16 2010-08-24 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuits that utilize insulating layers with high stress characteristics to improve NMOS and PMOS transistor carrier mobilities
US7785951B2 (en) 2006-09-28 2010-08-31 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having tensile and compressive stress layers therein and devices formed thereby
US7800134B2 (en) 2007-03-27 2010-09-21 Samsung Electronics Co., Ltd. CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
KR20170088419A (ko) * 2014-11-28 2017-08-01 아익스트론 에스이 기판 유지 장치

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
DE10323085A1 (de) * 2003-05-22 2004-12-09 Aixtron Ag CVD-Beschichtungsvorrichtung
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
JP5071703B2 (ja) * 2006-08-08 2012-11-14 独立行政法人物質・材料研究機構 半導体製造装置
JP5117146B2 (ja) * 2006-12-15 2013-01-09 日本碍子株式会社 加熱装置
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
JP4924395B2 (ja) * 2007-12-07 2012-04-25 東京エレクトロン株式会社 処理装置及び処理方法
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101612502B1 (ko) * 2008-12-18 2016-04-14 주성엔지니어링(주) 반도체 소자의 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013053355A (ja) * 2011-09-05 2013-03-21 Taiyo Nippon Sanso Corp 気相成長装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102828238B (zh) * 2012-08-24 2015-11-04 东莞市中镓半导体科技有限公司 用于改良外延过程中衬底晶片表面温场的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102075994B1 (ko) 2014-03-25 2020-02-12 삼성전자주식회사 기판 분리 장치 및 기판 분리 시스템
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20170030581A (ko) * 2014-07-10 2017-03-17 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 반응기에서의 서셉터의 설계
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102446726B1 (ko) 2015-09-11 2022-09-26 삼성전자주식회사 투명 플레이트 및 그를 포함하는 기판 처리 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106906516A (zh) * 2015-12-23 2017-06-30 财团法人工业技术研究院 氮化物半导体基板结构以及载具
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
DE102016103530A1 (de) * 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN116200821A (zh) * 2016-03-28 2023-06-02 应用材料公司 基座支撑件
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11979965B2 (en) * 2017-01-10 2024-05-07 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
EP3569035B1 (en) * 2017-01-10 2023-07-12 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11574888B2 (en) * 2017-12-15 2023-02-07 Panasonic Intellectual Property Management Co., Ltd. Component joining apparatus, component joining method and mounted structure
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
EP3597790A1 (en) * 2018-07-19 2020-01-22 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI751078B (zh) * 2021-04-28 2021-12-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及金屬有機化學氣相沉積裝置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113430492B (zh) * 2021-08-26 2021-11-09 陛通半导体设备(苏州)有限公司 一种pvd镀膜设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4335951A1 (de) * 2022-09-08 2024-03-13 Siltronic AG Suszeptor mit austauschbaren auflageelementen

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6489318A (en) * 1987-09-29 1989-04-03 Nec Corp Vapor growth susceptor
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
SE465100B (sv) * 1989-06-30 1991-07-22 Inst Mikroelektronik Im Foerfarande och anordning foer att i en kallvaeggsreaktor behandla en kiselskiva
JPH04266011A (ja) * 1991-02-20 1992-09-22 Hitachi Ltd 半導体基板の形成方法及びその実施装置
US5198071A (en) * 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
DE4407377C2 (de) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaktionskammer eines Schnellheizsystems für die Kurzzeittemperung von Halbleiterscheiben und Verfahren zum Spülen der Reaktionskammer
DE4414391C2 (de) * 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Verfahren für wellenvektorselektive Pyrometrie in Schnellheizsystemen
US5858486A (en) * 1995-02-27 1999-01-12 Sgl Carbon Composites, Inc. High purity carbon/carbon composite useful as a crucible susceptor
DE19513749B4 (de) * 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US6123097A (en) * 1996-06-28 2000-09-26 Applied Materials, Inc. Apparatus and methods for controlling process chamber pressure
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JPH1097960A (ja) * 1996-09-19 1998-04-14 Toyo Tanso Kk 炭化ケイ素質ダミーウェハ
US5765890A (en) * 1996-10-03 1998-06-16 Memc Electronic Materials, Inc. Device for transferring a semiconductor wafer
US5871813A (en) * 1997-03-05 1999-02-16 Applied Materials, Inc. Apparatus and method for controlling process chamber pressure
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6099596A (en) * 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6276295B1 (en) * 1997-07-30 2001-08-21 Applied Materials, Inc. Thermal reflow method employing microwave energy
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6022465A (en) * 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6310328B1 (en) * 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100808342B1 (ko) * 2005-11-30 2008-02-27 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 균열 장치
US7785951B2 (en) 2006-09-28 2010-08-31 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having tensile and compressive stress layers therein and devices formed thereby
US7781276B2 (en) 2006-11-16 2010-08-24 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuits that utilize insulating layers with high stress characteristics to improve NMOS and PMOS transistor carrier mobilities
US7800134B2 (en) 2007-03-27 2010-09-21 Samsung Electronics Co., Ltd. CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
KR20170088419A (ko) * 2014-11-28 2017-08-01 아익스트론 에스이 기판 유지 장치

Also Published As

Publication number Publication date
WO2003096396A1 (en) 2003-11-20
TWI278935B (en) 2007-04-11
DE10392595T5 (de) 2005-06-16
AU2003221961A1 (en) 2003-11-11
US20030209326A1 (en) 2003-11-13
JP4786177B2 (ja) 2011-10-05
US20060032848A1 (en) 2006-02-16
JP2005530335A (ja) 2005-10-06
TW200402807A (en) 2004-02-16
CN100578734C (zh) 2010-01-06
CN1653591A (zh) 2005-08-10

Similar Documents

Publication Publication Date Title
JP4786177B2 (ja) サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム
JP4247429B2 (ja) 基板ホルダ、サセプタ、基板ホルダの製造方法
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
KR101116510B1 (ko) 반도체 제조시 웨이퍼를 지지하는 홀더
JP3348936B2 (ja) 縦型熱処理装置
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
JP2001525997A (ja) 処理装置
KR100709536B1 (ko) 가열 장치
WO2009076346A2 (en) Lift pin for substrate processing
JP2022064922A (ja) ウエハ処理システム向けの熱管理のシステム及び方法
KR100847367B1 (ko) 열 프로세싱 시스템을 저항적으로 가열하는 장치 및 방법
CN211045385U (zh) 基座
KR0159527B1 (ko) 열처리장치
JPH10242067A (ja) 熱処理用基板支持具
KR0175051B1 (ko) 핫-월형 고속 열처리장치
JP3297267B2 (ja) 熱処理用ウェハボート及びこれを用いた熱処理装置
JP2006100303A (ja) 基板の製造方法及び熱処理装置
JP5824082B2 (ja) 加熱装置、基板処理装置、及び半導体装置の製造方法
JPH09139389A (ja) 半導体デバイス製造用治具及び半導体デバイスの製造方法
JP2004079845A (ja) 基板処理装置
CN110878429A (zh) 基座和化学气相生长装置
JP2008078459A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
NORF Unpaid initial registration fee