CN100578734C - 在包含基座的处理室中加热半导体基板的工艺和系统 - Google Patents

在包含基座的处理室中加热半导体基板的工艺和系统 Download PDF

Info

Publication number
CN100578734C
CN100578734C CN03810217A CN03810217A CN100578734C CN 100578734 C CN100578734 C CN 100578734C CN 03810217 A CN03810217 A CN 03810217A CN 03810217 A CN03810217 A CN 03810217A CN 100578734 C CN100578734 C CN 100578734C
Authority
CN
China
Prior art keywords
wafer
supporting construction
pedestal
groove
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN03810217A
Other languages
English (en)
Other versions
CN1653591A (zh
Inventor
李荣载
唐纳德·L·王
史蒂文·莱
丹尼尔·J·迪瓦恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of CN1653591A publication Critical patent/CN1653591A/zh
Application granted granted Critical
Publication of CN100578734C publication Critical patent/CN100578734C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

公开了一种在基座上用于加热处理室中半导体基板的工艺和系统。根据本发明,公开了基座。根据本发明,基座包括一将晶片悬在基座上方的支撑结构,该支撑结构由具有较低导热率的材料制成。该支撑结构具有特定高度,禁止或者防止高温处理期间在晶片中形成径向温度梯度。必要时,可以在基座中形成用于设置和定位支撑结构的凹处。基座可以包括限定一槽的晶片支撑面,该槽具有被构造成与加热周期中晶片形状相符合的形状。

Description

在包含基座的处理室中加热半导体基板的工艺和系统
背景技术
在制造集成电路和其它电子器件的过程中,半导体晶片典型地放置在热处理室中并被加热。加热期间,可能发生各种化学和物理过程。例如,在加热周期中,半导体晶片可以退火,或者各种涂层和薄膜可以沉积在晶片上。
在处理室中加热晶片的一种方式,尤其是在外延工艺期间,是将晶片放置在被加热的基座上。基座可使用例如电感加热装置或电阻加热器被加热。在包含基座的许多系统中,处理室壁保持在低于基座的温度,从而避免在壁上的任何沉积因而在加热工艺中产生任何不期望的微粒或污染。这些类型的处理室被称作“冷壁室”,工作在热非平衡状态下。
参考图1,示出了通常的冷壁处理室10的示意图。处理室10包括壁12,它可由热绝缘体制成并且也可以被主动冷却。在室10内部,是由例如碳化硅制成的基座14。在本实施例中,通过线圈16来加热基座14。
在图1所示的实施例中,处理室10构造成同时操纵多个半导体晶片。如图所示,多个晶片18设置在位于基座14顶部的槽20内。工艺气体22在室内循环。
在处理中,半导体晶片18可通过基座从约1000℃加热至约1200℃的温度。工艺气体例如惰性气体或者构造为与半导体晶片反应的气体在晶片加热期间或加热后被引入反应室中。
在图1所示的系统中,晶片18主要通过传导从基座被加热。然而,在加热中,晶片通过辐射损失热量给周围室壁12,因为晶片和工艺气体之间的温度差异。而且,少量热也从晶片传递至工艺气体。由于热量经过晶片,经由晶片厚度产生温度梯度。温度梯度可引起晶片弯曲和变形。
在这些工艺中,将晶片放置在平坦表面上通常是不利的。具体地说,在弯曲过程中,晶片将仅仅在中心处接触基座,造成晶片中心处温度升高,并产生晶片中的径向温度梯度。晶片中的径向温度梯度可以引发晶片中的热应力,这可能造成位错在缺陷中心处成核。位错产生的应力大量地沿着理想结晶面和方向移动,在后面留下可看见的滑移线,在滑移线处,晶面的一部分从另一部分位移了垂直台阶。这种现象通常称为“滑移”。
过去已经提出了多种方法来减少处理过程中晶片上的滑移。例如,过去,基座的表面设置有浅的凸处以在晶片下方形成槽,以匹配加热期间晶片的可能弯曲曲率。然而,设计和制造使晶片与基座一致地接触的槽是很困难的。任何未对准可能造成径向温度梯度和滑移。
在另一实施例中,基座设计成具有被设计为深度大于晶片的任何可能弯曲的槽。在本实施例中,当加热晶片时,晶片仅在其边缘处被基座槽的边缘支撑,在任何其它位置均不会接触槽。由于晶片在边缘处触及基座,相对于晶片中心,晶片边缘的温度可能上升并形成径向温度梯度。然而,该技术已被成功地用于直径小于8英寸的晶片。但是,具有更大直径的晶片趋向于形成更大径向温度梯度,于是形成更多滑移。
考虑上述情况,目前需要一种在热处理室中加热基座上半导体晶片的系统和方法。更具体地,目前需要一种基座设计,可以在热处理室中支撑和加热晶片并且可以容许晶片弯曲,同时可以均匀地加热晶片。这种系统将尤其适用于直径为6英寸以上的较大晶片。
发明内容
本发明认识和解决了前述现有技术结构和方法中的不足和其它方面。
总之,本发明提供了一种在热处理室中利用基座加热半导体晶片的工艺和系统。根据本发明,基座包括用于支撑基座上晶片的支撑结构。支撑结构降低了加热和处理期间在晶片中可能形成的径向温度梯度,例如退火期间、沉积期间或外延工艺期间。通过降低晶片中的径向温度梯度,可以消除或最小化晶片中产生的滑移。而且,由于更均匀地加热晶片,本发明的系统和工艺还将改善被覆工艺期间晶片上的沉积均匀度。
例如,在一个实施例中,本发明提供一种用于处理半导体基板的系统,其包括一处理室。基座设置在处理室内部。基座设置为在工作中与一加热装置相关联,例如电感加热装置或电阻加热器,用于加热包含在室中的半导体晶片。基座还包括用于接受半导体晶片的晶片支撑面。晶片支撑面包括至少一个凹处和位于凹处内的相应支撑结构。支撑结构被构造成在晶片的热处理期间将半导体晶片抬起至基座上方。
根据本发明,支撑结构具有在1100℃温度下不大于约0.06Cal/cm-s-℃的热导率。例如,支撑结构可以由石英、蓝宝石或金刚石制成。
在许多应用中,处理室可以是冷壁室。用于加热基座的电感加热器可以是例如被碳化硅包围的石墨元件。
为了适应热处理期间的晶片弯曲,基座的晶片支撑面可以包括一槽,其具有构造成允许加热期间半导体晶片弯曲而不会使晶片接触槽顶面的形状。例如,槽的形状可以是使得在最高处理温度下槽顶面与半导体晶片间隔开大约1密耳至大约20密耳。而且,槽的形状可以是,在最高处理温度下,使得晶片与槽顶面之间的间距基本一致,并且变化不超过约2密耳。
如上所述,支撑结构抬高半导体晶片在基座表面上方。支撑结构的高度可以计算,使得在最高处理温度下流过半导体晶片的热量均匀。通常,支撑高度可以是由下式计算出距离的约5%内:
( d g ) ( k s ) ( k g )
其中dg是基座与半导体晶片间的距离,Ks是支撑结构的热导率,Kg等于处理室中存在的气体的热导率。
本发明所采用的支撑结构可具有不同的类型和形状。例如,在一个实施例中,支撑结构可包括多个针,位于对应的多个凹处中。针可以沿同一半径间隔开,用于支撑半导体晶片。替代地,支撑结构可包括位于沟状凹处中的环。许多应用中,支撑结构可具有从约0.02英寸至约0.1英寸的高度。另一方面,凹处的深度可以从约0.01英寸至约0.08英寸。
支撑结构可以接近晶片边缘处支撑半导体晶片。替代地,支撑结构可以在接近晶片质量中心处支撑晶片。本发明的系统可处理任何尺寸和形状的半导体晶片。然而,本系统尤其更适合于均匀加热直径为6英寸以上的半导体晶片。这种晶片可被加热,而不会形成显著的滑移量。
在本发明的处理期间,半导体晶片可加热至至少800℃的温度,尤其至少为1000℃,更加尤其至少为1100℃。根据本发明,晶片可加热到最高处理温度,使得晶片的径向距离上的温度差异不会超过约5℃。通过均匀地加热晶片,可以在晶片上均匀地沉积薄膜和覆层。下面更加详细地讨论本发明的方案和优点。
附图说明
对于本领域的普通技术人员来说,本发明的全面和实施公开,包括其最佳优选方式,在说明书的剩余部分包括参考附图中更加具体地阐明,其中:
图1是现有技术热处理室的侧视图;
图2是用于例如图1所示热处理室中的、根据本发明制作的基座的一个实施例的切开部分的侧视图;
图3是根据本发明制造的支撑结构的一个实施例的侧视图;
图4A~4C是根据本发明制造的支撑结构的不同实施例的侧视图;
图5是根据本发明制造的环形支撑结构的一个实施例的透视图;
图6是根据本发明制造的基座的另一实施例的俯视图;以及
图7是根据本发明制造的基座的又一实施例的俯视图;
本说明书和附图中参考标记的重复使用是表示本发明的相同或类似特征或元件。
具体实施方式
本领域的普通技术人员会理解,本讨论仅仅是示范性实施例的描述,不是用来限制本发明的更宽方案,更宽方案在示范性结构中被实施。
总之,本发明提供一种在热处理室中用于均匀加热基座上半导体晶片的系统和工艺。根据本发明,半导体晶片可以在基座上被加热,同时减少或清除可能造成滑移或其它晶片缺陷的径向温度梯度。根据本发明,利用由较低导热材料例如石英制成的支撑结构,半导体晶片悬浮在被加热基座上方。支撑结构可以具有任何期望形状,例如针、环、弧形断面等的形式。支撑结构可以设置在形成于基座表面的匹配凹处中。凹处可以任何可能组合方式位于晶片下方的选择位置处。
根据本发明,支撑结构的凹处深度和高度被构造成使得穿过支撑结构的传热阻抗接近或基本上等于穿过晶片和基座表面之间的空间或间隙的传热阻抗。在这种方式下,加热期间,正好在支撑结构上方的晶片温度与晶片底面的剩余部分保持基本上相同,于是消除了径向温度梯度。
本发明系统的实际设计,例如基座凹处的深度或支撑结构的高度,将取决于工作条件,例如工作温度范围、室内气体类型和用于形成支撑结构的材料。
在一个实施例中,支撑结构将半导体晶片悬浮在形成于晶片表面中的槽的上方。槽可以具有与加热期间半导体晶片的形状基本上匹配的形状,如果晶片被加热到足以使得晶片弯曲的温度。基座槽的斜率与晶片的弯曲斜率匹配可能进一步有助于加热工艺期间保持径向温度一致性。保持径向温度一致性降低或消除了晶片中的滑移,并且改善了在晶片上形成覆层期间的沉积均匀度。
本发明的工艺和系统尤其更适合用于冷壁处理室。然而,应当理解,本发明的系统和工艺也可用于其它各种类型室。而且,本发明的系统和工艺可用于任何晶片处理工艺类型中,如退火期间或外延工艺期间。
参考图2,示出了根据本发明制造的通用基座114的一个实施例。基座114设计成放置在处理室中,例如图1所示的处理室。
如图2所示,基座114设置成与用于加热半导体晶片的加热装置116工作上相关联。加热装置可以是任何适当的加热器,例如射频电感线圈。替代地,基座可以通过电阻加热器被加热。在一个实施例中,例如,加热装置是包括被碳化硅包围的石墨元件的电感加热器。加热装置116可集成到设计成握持半导体晶片的基座的一部分中,或者可以在间隔开的关系下加热基座表面。
如图2所示,基座114包括用于接受半导体晶片118的槽120。根据本发明,晶片118定位于支撑结构124上。支撑结构124定位于至少一个凹处126内。如图所示,支撑结构124锚定在凹处126的底部。然而,通常地,凹处126的内壁与支撑结构124处于非接触关系,以防止基座114与支撑结构之间的直接热传递。
支撑结构124的目的是将晶片118悬浮在槽120的顶面上方,并且有助于更加均匀地加热晶片,使得不存在显著的径向温度梯度。如上所述,尤其在冷壁处理室中,半导体晶片118可通过辐射而损失热量至周围室壁。由于经由晶片的热传递,穿过晶片厚度产生温度梯度。本发明的系统和工艺的目的是允许穿过晶片厚度的热传递,而不会发展或产生径向温度梯度。由于使用了支撑结构124,在根据本发明加热的晶片中发展径向温度梯度的趋势被降低了。总之,支撑结构124保持了在加热周期中晶片的底面处于基本上相同的温度下,这就防止了形成径向温度梯度。
为了促进基座上晶片温度的均匀性,理想地,支撑结构与存在于基座表面和晶片底面之间的任何气体具有基本上相同的导热性。然而,不幸地是,不存在热导率等于气体热导率的固体材料。固体材料的热导率总是较高。然而,根据本发明,本发明人发现了通过使用热导率大大低于用于形成基座的材料的热导率的材料来用于支撑结构,以及将支撑结构设置为在形成于基座中的凹处中具有一定的高度,可以保持晶片中的温度均匀性。
例如,通过设定穿过支撑结构的热阻等于穿过基座和工艺气体的热阻,得到下式:
(Tg1-Tw)Ks/ds=(1/(dr/Ksu+dg/kg))(Tg1-Tw)+σ*(1/(1/εs+1/εw-1))(Tg2 4-Tw 4)
其中Ks--支撑结构的热导率
ds--支撑结构的高度
Ksu--基座的热导率
dr--凹处的高度
kg--工艺气体的热导率
dg--晶片和基座间的距离
Tg1--凹处底部处的基座温度
Tg2--基座顶面温度
Tw--晶片底面温度
σ--史蒂芬-玻尔兹曼常数
εs--基座的发射率
εw--晶片的发射率
参考图3,示出支撑基座114上方晶片118的支撑结构124的放大图。如图所示,支撑结构124定位于凹处126内。支撑结构124座落在凹处126内而没有接触凹处的内壁。
图3示出了上述等式中所用的各种距离和参数。如上所述,上述等式用来表示穿过支撑结构130的热通量等于穿过基座和穿过基座与晶片132之间间隙的热通量的位置。在图3中,工艺气体128存在于晶片和基座之间的空间中。
根据本发明,如果支撑结构124的热导率大大低于基座114的热导率(Ks<<Ksu),且晶片和基座之间的辐射能可被忽略,则上式可简化为:
d s k s = d g k g ;
d s = ( d g ) ( k s ) k g
当基座由具有高热导率的材料(例如石墨或碳化硅)制成时,上述简化尤其有用。如上所述,在这种情况下,支撑结构的高度等于晶片和基座间的距离乘以支撑结构的热导率与工艺气体的热导率的比率。
当根据本发明构造基座时,通常希望支撑结构的高度尽可能接近上述计算出的距离。然而,如果支撑结构的高度在上述计算出距离的约25%内,尤其在上述计算出距离的约10%内,更尤其地在上述计算出距离的约5%内,得到可接受的结果。
本发明所用支撑结构124的实际高度将依赖于许多因素而变化。这些因素包括:用于构造支撑结构的材料,工艺气体的热导率,晶片和基座间的距离,工艺温度,等等。总之,在一个实施例中,支撑结构124的高度从约0.02英寸至约0.1英寸,尤其从约0.03英寸至约0.08英寸。在这些高度下,凹处126的深度可以从约0.01英寸至约0.08英寸,尤其从约0.02英寸至约0.05英寸。基座内凹处的存在允许具体的支撑结构高度,同时仍然保持晶片如期望般地靠近基座的顶面。
例如,在加热周期中,晶片118应当离开基座的顶面从约1密耳至约20密耳的距离,尤其从约5密耳至约11密耳。在一个实施例中,基座的表面形成用于接受晶片的槽120。在一个优选实施例中,槽的顶面具有与最高处理温度下的晶片形状大体符合的形状。例如,如果在最高处理温度下晶片趋于弯曲,则槽120的顶面将适合晶片的弯曲。通过保持基座和晶片间的一致距离而不使晶片接触基座,维持了整个晶片中的良好温度均匀性。理想地,在最高处理温度下,槽120的顶面与晶片118的底面之间的距离应当变化不超过约2密耳,尤其不超过约1密耳。
据认为,根据本发明各种材料可用于形成支撑结构124。总之,选取用于形成支撑结构的材料应当在较高温度下具有较低热导率,并且当被加热时不应当污染处理室。例如,用于形成支撑结构的材料在加热晶片的温度下不应当形成金属气体。
总之,支撑结构的热导率在约1100℃以上的温度下可以低于约0.06cal/cm-s-℃,可尤其从约0.0037cal/cm-s-℃至约0.06cal/cm-s-℃。非常适合于本发明的特定材料包括石英、蓝宝石或金刚石。
通过本发明的系统和工艺,热处理室中在被加热的基座上可以非常有效地加热晶片,不会出现显著的径向温度梯度。例如,认为根据本发明晶片可被处理以便在径向方向上具有不超过10℃的温度差异,尤其不超过约5℃的温度差,在一个实施例中,在径向方向上不超过约3℃的温度差。
如上所述,支撑结构124通常位于形成在基座114中的凹处中。支撑结构124当定位于凹处内时应当与凹处的内壁间隔一定距离。然而,支撑结构一旦设置于凹处中也应当保持在位置上。
参考图4A~4C,各种实施例示出了支撑结构和凹处构造。
例如,如图4A所示,支撑结构124总体上具体一致宽度或直径。然而,凹处126包括设计成保持支撑结构在特定位置上的凹部134。
在图4B所示的实施例中,另一方面,支撑结构124包括用于保持支撑结构124在凹处内对齐的底脚或台部136。
参考图4C,示出了支撑结构和凹处构造的另一实施例。在该实施例中,凹处126包括一凹部134,同时支撑结构124包括一对应窄部138。窄部138紧密配合在凹部134内。
除了其高度之外,支撑结构的尺寸和形状通常与上述数学等式无关。结果,支撑结构可设置成能够支撑半导体晶片的任何合适形状。例如,参考图5,在一个实施例中,支撑结构124可以是环形。环124可以适配在形成于基座114中的凹处126内。本实施例中,凹处126可具有类沟的形状。
在一个实施例中,当支撑结构具有图5所示环的形状时,环可具有约0.25英寸的宽度,凹处可以呈现出宽度约为0.3英寸的沟的形状。
除了具有图5所示的环形,支撑结构也可具有图6和7所示的针140的形状。如图所示,针可以沿着同一半径间隔开,用于均匀地支撑半导体晶片。通常,需要3个以上针来支撑晶片。
在图6所示的实施例中,针140定位成在其边缘或接近边缘处支撑半导体晶片。然而,在图7中,针定位成在靠近其质量中心处支撑晶片。然而,应当理解,支撑结构可设置于任何合适的晶片半径处。
针的断面形状通常不是关键的。例如,图6中,针显示为具有圆柱形,而在图7中,针具有正方形或矩形的形状。仅仅为了示例的目的,当具有圆柱形状时,针可以具有约0.25英寸的直径,并可设置于具有约0.3英寸直径的凹处中。
针140的顶面可以是用于支撑晶片的任何合适形状。例如,许多应用场合下,针的顶面应当是平的。
本领域的普通技术人员可以实践本发明的这些和其它修改和变化,而不脱离更具体地列举在所附权利要求中的本发明精神和范围。此外,应当理解,各种实施例的方案可以整体或部分互换。而且,本领域的普通技术人员将理解前面的描述仅通过举例的方式,不是用来限制进一步阐明于所附权利要求中的本发明。

Claims (38)

1.一种用于处理半导体基板的系统,包括:
适应于包含一半导体晶片的处理室;
定位在所述处理室内的基座,该基座包括用于接受一半导体晶片的晶片支撑面,该晶片支撑面包括至少一个凹处和定位在该凹处内的相应支撑结构,该支撑结构被构造成在晶片热处理期间将半导体晶片抬高在基座上方,在1100℃的温度下该支撑结构具有不超过大约0.06Cal/cm-s-℃的热导率;和
设置为用于加热被支撑在所述基座上的半导体晶片并与所述基座在工作上相关联的加热装置,
其中所述支撑结构具有由下式计算出的距离的5%以内的高度:
( d g ) ( k s ) ( k g )
其中:dg=所述基座和半导体晶片之间的距离
ks=所述支撑结构的导热率
kg=存在于所述处理室中的气体的导热率。
2.如权利要求1所述的系统,其中所述加热装置包括一电阻加热器或一电感加热器。
3.如权利要求2所述的系统,其中所述加热装置包括被碳化硅包围的石墨元件。
4.如权利要求1所述的系统,其中所述处理室包括冷壁室。
5.如权利要求1所述的系统,其中所述支撑结构由包括石英的材料制成。
6.如权利要求1所述的系统,其中所述晶片支撑面包括一槽,该槽具有被构造成允许半导体晶片在加热期间弯曲而该晶片不会触及该槽的顶面的形状。
7.如权利要求6所述的系统,其中该槽被成形为使得在最高处理温度下该槽的项面与半导体晶片间隔从约1密耳至约20密耳。
8.如权利要求7所述的系统,其中该槽进一步被成形为使得在最高处理温度下所述晶片与所述槽的顶面之间的空间基本一致并且变化不超过约2密耳。
9.如权利要求1所述的系统,其中所述基座包括沿着同一半径设置的至少三个凹处,并且其中所述支撑结构包括对应的多个针。
10.如权利要求1所述的系统,其中所述基座包括圆形凹处,和其中所述支撑结构包括一环。
11.如权利要求1所述的系统,其中所述支撑结构具有从约0.02英寸至约0.1英寸的高度。
12.如权利要求1所述的系统,其中所述支撑结构被构造成支持直径为6英寸以上的晶片。
13.如权利要求1所述的系统,其中所述凹处包括内壁,以及所述支撑结构与所述内壁间隔预定距离。
14.如权利要求1所述的系统,其中所述凹处具有从约0.01英寸至约0.08英寸的深度。
15.如权利要求1所述的系统,其中所述支撑结构被构造成在晶片的边缘附近支撑半导体晶片。
16.如权利要求1所述的系统,其中所述支撑结构被定位在所述晶片支撑面上以在晶片质量中心的附近支撑半导体晶片。
17.一种用于在处理室中支持和加热半导体晶片的基座,包括:
一加热装置;
一用于接受一半导体晶片的晶片支撑面,该晶片支撑面限定了一槽,该槽具有构造成允许半导体晶片在加热期间弯曲而该晶片不会接触该槽的顶面的形状;和
从所述晶片支撑面延伸的支撑结构,用于将半导体晶片悬在该槽的顶面上方,所述支撑结构由在1100℃的温度下具有不超过约0.06Cal/cm-s-℃的导热率的材料制成,
其中所述支撑结构具有由下式计算出的距离的25%以内的高度:
( d g ) ( k s ) ( k g )
其中:dg=所述基座和半导体晶片之间的距离
ks=所述支撑结构的导热率
kg=存在于所述处理室中的气体的导热率。
18.如权利要求17所述的基座,其中所述加热装置包括一电阻加热器或者一电感加热器。
19.如权利要求17所述的基座,其中所述槽的顶面包括碳化硅。
20.如权利要求18所述的基座,其中所述支撑结构由包括石英的材料制成。
21.如权利要求18所述的基座,其中所述槽被成形为使得在最高处理温度下该槽的顶面与半导体晶片间隔从约1密耳至约20密耳。
22.如权利要求21所述的基座,其中所述槽进一步被成形为使得在最高处理温度下在该晶片与该槽的顶面之间的空间基本上一致并且变化不超过约2密耳。
23.如权利要求18所述的基座,其中所述晶片支撑面限定了一槽,所述支撑结构定位在该槽内。
24.如权利要求23所述的基座,其中所述基座包括沿着同一半径设置的至少三个凹处,并且其中所述支撑结构包括对应的多个针。
25.如权利要求23所述的基座,其中所述基座包括圆形凹处,和其中所述支撑结构包括一环。
26.如权利要求18所述的基座,其中所述支撑结构具有从约0.02英寸至约0.1英寸的高度。
27.一种用于均匀加热位于被加热基座上的半导体晶片的工艺,包括:
提供一包含基座的处理室,该基座被加热并限定一晶片支撑面,该基座还包括从该晶片支撑面延伸的支撑结构,该晶片支撑面具有被构造成允许半导体晶片在加热期间弯曲而不会接触该面的形状,所述支撑结构由在1100℃下具有不超过约0.06Cal/cm-s-℃的导热率的材料制成;
设置一半导体晶片在所述支撑结构上;以及
加热所述半导体晶片至引起该晶片弯曲而不会接触所述晶片支撑面的最高处理温度,
其中在最高处理温度下所述支撑结构具有由下式计算出的距离的5%以内的高度:
( d g ) ( k s ) ( k g )
其中:dg=所述基座和半导体晶片之间的距离
ks=所述支撑结构的导热率
kg=存在于所述处理室中的气体的导热率。
28.如权利要求27所述的工艺,其中所述最高处理温度是至少1000℃。
29.如权利要求27所述的工艺,其中所述基座和所述晶片通过一电阻加热器或一电感加热器被加热。
30.如权利要求27所述的工艺,其中所述支撑结构由包括石英、蓝宝石或金刚石的材料制成。
31.如权利要求27所述的工艺,其中所述晶片支撑面被成形为使得在最高处理温度下该面与半导体晶片间隔从约1密耳至约20密耳,并且使得在最高处理温度下所述晶片和所述支撑面之间的空间基本一致并且变化不超过2密耳。
32.如权利要求27所述的工艺,其中所述支撑结构包括沿着同一半径设置的至少三个支撑针。
33.如权利要求27所述的工艺,其中所述支撑结构呈环形。
34.如权利要求27所述的工艺,其中所述支撑结构具有从约0.02英寸至约0.1英寸的高度。
35.如权利要求27所述的工艺,其中所述晶片支撑面还限定了一凹处,所述支撑结构位于该凹处内。
36.如权利要求27所述的工艺,其中所述晶片在冷壁处理室中被加热。
37.如权利要求27所述的工艺,其中所述半导体晶片具有至少10英寸的直径。
38.如权利要求27所述的工艺,其中所述晶片被加热使得在最高处理温度下整个半导体晶片的温度差异不超过约5℃。
CN03810217A 2002-05-07 2003-04-15 在包含基座的处理室中加热半导体基板的工艺和系统 Expired - Fee Related CN100578734C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/141,515 US20030209326A1 (en) 2002-05-07 2002-05-07 Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US10/141,515 2002-05-07

Publications (2)

Publication Number Publication Date
CN1653591A CN1653591A (zh) 2005-08-10
CN100578734C true CN100578734C (zh) 2010-01-06

Family

ID=29399681

Family Applications (1)

Application Number Title Priority Date Filing Date
CN03810217A Expired - Fee Related CN100578734C (zh) 2002-05-07 2003-04-15 在包含基座的处理室中加热半导体基板的工艺和系统

Country Status (8)

Country Link
US (2) US20030209326A1 (zh)
JP (1) JP4786177B2 (zh)
KR (1) KR20040107477A (zh)
CN (1) CN100578734C (zh)
AU (1) AU2003221961A1 (zh)
DE (1) DE10392595T5 (zh)
TW (1) TWI278935B (zh)
WO (1) WO2003096396A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107002238A (zh) * 2014-11-28 2017-08-01 艾克斯特朗欧洲公司 基板保持装置

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
DE10323085A1 (de) * 2003-05-22 2004-12-09 Aixtron Ag CVD-Beschichtungsvorrichtung
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
JP2007150132A (ja) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp 均熱装置
JP5071703B2 (ja) * 2006-08-08 2012-11-14 独立行政法人物質・材料研究機構 半導体製造装置
KR100809335B1 (ko) 2006-09-28 2008-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20080116521A1 (en) 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
JP5117146B2 (ja) * 2006-12-15 2013-01-09 日本碍子株式会社 加熱装置
US7534678B2 (en) 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP4924395B2 (ja) * 2007-12-07 2012-04-25 東京エレクトロン株式会社 処理装置及び処理方法
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101612502B1 (ko) * 2008-12-18 2016-04-14 주성엔지니어링(주) 반도체 소자의 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013053355A (ja) * 2011-09-05 2013-03-21 Taiyo Nippon Sanso Corp 気相成長装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102828238B (zh) * 2012-08-24 2015-11-04 东莞市中镓半导体科技有限公司 用于改良外延过程中衬底晶片表面温场的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9583363B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial distension
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102075994B1 (ko) 2014-03-25 2020-02-12 삼성전자주식회사 기판 분리 장치 및 기판 분리 시스템
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20170030581A (ko) * 2014-07-10 2017-03-17 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 반응기에서의 서셉터의 설계
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102446726B1 (ko) 2015-09-11 2022-09-26 삼성전자주식회사 투명 플레이트 및 그를 포함하는 기판 처리 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106906516A (zh) * 2015-12-23 2017-06-30 财团法人工业技术研究院 氮化物半导体基板结构以及载具
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
DE102016103530A1 (de) 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN108886014B (zh) * 2016-03-28 2023-08-08 应用材料公司 基座支撑件
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP4242361A3 (en) * 2017-01-10 2023-11-15 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US11979965B2 (en) * 2017-01-10 2024-05-07 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11574888B2 (en) * 2017-12-15 2023-02-07 Panasonic Intellectual Property Management Co., Ltd. Component joining apparatus, component joining method and mounted structure
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
EP3597790A1 (en) * 2018-07-19 2020-01-22 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI751078B (zh) * 2021-04-28 2021-12-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及金屬有機化學氣相沉積裝置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113430492B (zh) * 2021-08-26 2021-11-09 陛通半导体设备(苏州)有限公司 一种pvd镀膜设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4335951A1 (de) * 2022-09-08 2024-03-13 Siltronic AG Suszeptor mit austauschbaren auflageelementen

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6489318A (en) * 1987-09-29 1989-04-03 Nec Corp Vapor growth susceptor
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
SE465100B (sv) * 1989-06-30 1991-07-22 Inst Mikroelektronik Im Foerfarande och anordning foer att i en kallvaeggsreaktor behandla en kiselskiva
JPH04266011A (ja) * 1991-02-20 1992-09-22 Hitachi Ltd 半導体基板の形成方法及びその実施装置
US5198071A (en) * 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
DE4407377C2 (de) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaktionskammer eines Schnellheizsystems für die Kurzzeittemperung von Halbleiterscheiben und Verfahren zum Spülen der Reaktionskammer
DE4414391C2 (de) * 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Verfahren für wellenvektorselektive Pyrometrie in Schnellheizsystemen
US5858486A (en) * 1995-02-27 1999-01-12 Sgl Carbon Composites, Inc. High purity carbon/carbon composite useful as a crucible susceptor
DE19513749B4 (de) * 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US6123097A (en) * 1996-06-28 2000-09-26 Applied Materials, Inc. Apparatus and methods for controlling process chamber pressure
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JPH1097960A (ja) * 1996-09-19 1998-04-14 Toyo Tanso Kk 炭化ケイ素質ダミーウェハ
US5765890A (en) * 1996-10-03 1998-06-16 Memc Electronic Materials, Inc. Device for transferring a semiconductor wafer
US5871813A (en) * 1997-03-05 1999-02-16 Applied Materials, Inc. Apparatus and method for controlling process chamber pressure
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6099596A (en) * 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6276295B1 (en) * 1997-07-30 2001-08-21 Applied Materials, Inc. Thermal reflow method employing microwave energy
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6022465A (en) * 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6310328B1 (en) * 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107002238A (zh) * 2014-11-28 2017-08-01 艾克斯特朗欧洲公司 基板保持装置

Also Published As

Publication number Publication date
JP2005530335A (ja) 2005-10-06
DE10392595T5 (de) 2005-06-16
KR20040107477A (ko) 2004-12-20
US20060032848A1 (en) 2006-02-16
CN1653591A (zh) 2005-08-10
AU2003221961A1 (en) 2003-11-11
TW200402807A (en) 2004-02-16
WO2003096396A1 (en) 2003-11-20
US20030209326A1 (en) 2003-11-13
TWI278935B (en) 2007-04-11
JP4786177B2 (ja) 2011-10-05

Similar Documents

Publication Publication Date Title
CN100578734C (zh) 在包含基座的处理室中加热半导体基板的工艺和系统
EP1654752B1 (en) Holder for supporting wafers during semiconductor manufacture
US6634882B2 (en) Susceptor pocket profile to improve process performance
US7410355B2 (en) Method for the heat treatment of substrates
US6214122B1 (en) Rapid thermal processing susceptor
US20040087168A1 (en) Method and apparatus for supporting a semiconductor wafer during processing
JPH07122513A (ja) 縦型熱処理装置
GB1425965A (en) Method of treating monocrystalline wafers
CN211045385U (zh) 基座
JPH11176822A (ja) 半導体処理装置
US6403479B1 (en) Process for producing semiconductor and apparatus for production
JPH0521876Y2 (zh)
KR20030096732A (ko) 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
EP0092435A1 (en) A method of, and a susceptor for use in, vapour deposition of films
JP2553078Y2 (ja) 基板加熱装置
JPH0751793Y2 (ja) 基板の熱処理装置
KR0175051B1 (ko) 핫-월형 고속 열처리장치
JP3297267B2 (ja) 熱処理用ウェハボート及びこれを用いた熱処理装置
JP2002100667A (ja) 熱処理用基板支持具
JPH01278717A (ja) 化学気相エピタキシャル成長装置
JPH09139389A (ja) 半導体デバイス製造用治具及び半導体デバイスの製造方法
JPH0689866A (ja) 半導体ウェーハの製造方法
JP2000323413A (ja) 半導体製造装置および半導体装置の製造方法
JPH0239549A (ja) 半導体ウエハの支持方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100106

Termination date: 20120415