KR20010099775A - 웨이퍼 보유 지지구 - Google Patents

웨이퍼 보유 지지구 Download PDF

Info

Publication number
KR20010099775A
KR20010099775A KR1020017005496A KR20017005496A KR20010099775A KR 20010099775 A KR20010099775 A KR 20010099775A KR 1020017005496 A KR1020017005496 A KR 1020017005496A KR 20017005496 A KR20017005496 A KR 20017005496A KR 20010099775 A KR20010099775 A KR 20010099775A
Authority
KR
South Korea
Prior art keywords
wafer
main body
protrusion
fork
holding
Prior art date
Application number
KR1020017005496A
Other languages
English (en)
Other versions
KR100427916B1 (ko
Inventor
나까이데쯔야
가와하라후미또모
사이또마꼬또
가와무라야스히꼬
시노하라마꼬또
아라이가쯔오
Original Assignee
가와이 겐이찌
미쯔비시 마테리알 실리콘 가부시끼가이샤
호시노 지로
미쯔이 죠센 가부시키가이샤
추후제출
가부시끼가이샤 신꾸 기껜
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가와이 겐이찌, 미쯔비시 마테리알 실리콘 가부시끼가이샤, 호시노 지로, 미쯔이 죠센 가부시키가이샤, 추후제출, 가부시끼가이샤 신꾸 기껜 filed Critical 가와이 겐이찌
Publication of KR20010099775A publication Critical patent/KR20010099775A/ko
Application granted granted Critical
Publication of KR100427916B1 publication Critical patent/KR100427916B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Abstract

보유 지지구 본체(23)의 상면에 웨이퍼(22)가 얹히고, 보유 지지구 본체가 열처리로 내에 형성된 복수의 보유 지지구용 요홈(14)에 삽입되어 수평으로 보유 지지된다. 보유 지지구 본체는 절결부가 없는 원판형으로 형성되고, 보유 지지구 본체에는 이 보유 지지구 본체의 축선을 중심으로 원주 방향으로 연장되고 또 상방에 돌출하는 링형 돌기(24)가 형성된다. 웨이퍼는 돌기 상면에 접촉하여 보유 지지구 본체에 얹히고, 웨이퍼의 직경을 D라 할 때 돌기의 외경은 0.5 D 내지 0.98 D의 범위 내에 형성되어 웨이퍼의 외주연이 돌기에 접촉하지 않도록 구성된다.
보유 지지구 본체의 제작시에 있어서의 보유 지지구 본체의 휘어짐을 방지하는 것에 의해, 웨이퍼에 슬립이 발생하는 것을 억제한다. 또한 직경이 다른 웨이퍼를 동일한 보유 지지구 본체에서 소정 위치로 부터 어긋나는 일 없이 확실하게 보유 지지한다. 또 웨이퍼를 보유 지지구에 얹는 작업 및 내리는 작업을 순조롭게 행한다.

Description

웨이퍼 보유 지지구{WAFER HOLDER}
종래, 복수의 지지가 대략 평행으로 배치되어, 이들 지지에 부착된 웨이퍼 지지판에 의해 실리콘 웨이퍼가 보유 지지되고, 또한 상기 지지판에 오목형으로 절결된 절결부가 형성된 웨이퍼 보유 지지 장치가 개시되어 있다(일본 특허 공개 평 5-114645호). 이 장치에서는, 웨이퍼 지지판이 SiC 소결체등의 고융점 세라믹에 의해 형성된다.
이와 같이 구성된 웨이퍼 보유 지지 장치에서는, 지지에 부착된 웨이퍼 지지판 상에 웨이퍼를 얹어서 전기로 내에 삽입하므로, 웨이퍼 지지판과 웨이퍼와의 접촉 면적이 증대한다. 이 결과, 웨이퍼 일부의 영역에 하중이 집중하여 가해지는 일이 없으므로, 열처리시에 있어서의 웨이퍼의 소성 변형을 방지할 수 있다.
또한 웨이퍼 지지판에 절결부를 형성함으로써, 장치 전체의 경량화를 도모할 수 있는 함께, 실리콘 웨이퍼를 핀셋 등으로 집어서 출입시킬 수 있다.
그러나, 상기 종래의 일본 특허 공개 평5-114645호 공보에 도시된 웨이퍼 보유 지지 장치에서는, 웨이퍼 지지판에 절결부를 형성하는 것에 의해, 지지판이 이 지지판의 중심에 대해서 점대칭이 아닌 상태로 되기 때문에, 이 지지판의 제조시에 절결부의 부분에서 휘는 경우가 있었다. 이 때문에, 웨이퍼 지지판에 실리콘 웨이퍼를 얹었을 때에, 웨이퍼가 절결부의 모서리 부에 접촉하고, 열처리시에 있어서의 열응력 등에 의해 웨이퍼의 결정 중에 슬립이라고 하는 결정 결함이 발생할 우려도 있었다.
이 점을 해소하기 위해서, 상판 및 하판 사이에 배치된 지지에 링형의 탄화규소질로 이루어지는 웨이퍼 지지체가 착탈 가능하게 부착된 반도체 종형 확산로용 지그가 개시되어 있다(일본 특허 공개 평6-163440호). 이 지그에서는, 상기 웨이퍼 지지체에 의해 웨이퍼의 주모서리 부가 수평으로 지지되도록 구성된다.
이와 같이 구성된 반도체 종형 확산로용 지그에서는, 웨이퍼 지지체를 웨이퍼 외주에 대해서 균일하게 배치하고, 또한 웨이퍼 지지체의 면적을 증가시켰기 때문에, 웨이퍼 지지체에 작용하는 면압을 감소시키고 또한 하중을 분산시킬 수 있다. 이 결과, 웨이퍼의 슬립 발생을 방지할 수 있도록 되어 있다.
그러나, 상기 종래의 일본 특허 공개 평6-163440호에 도시된 반도체 종형 확산로용 지그에서는, 웨이퍼의 외주연이 웨이퍼 지지체에 접촉하면, 웨이퍼 외주부의 면의 불균일의 영향에 의해 외주연에서 웨이퍼를 균등하게 보유 지지하는 것이 어렵기 때문에, 웨이퍼에 슬립이 발생할 우려가 있었다.
본 발명의 제1 목적은, 보유 지지구 본체의 제작시에 있어서의 보유 지지구 본체의 휘어짐을 방지하는 것에 의해, 웨이퍼에 슬립이 발생하는 것을 억제할 수있는 웨이퍼 보유 지지구를 제공하는 것이다.
본 발명의 제2 목적은, 웨이퍼 외주연의 보유 지지구 본체에의 접촉을 저지하는 것에 의해, 웨이퍼에 슬립이 발생하는 것을 억제할 수 있는 웨이퍼 보유 지지구를 제공하는 것이다.
본 발명의 제3 목적은, 직경이 다른 웨이퍼를 동일한 보유 지지구 본체에 의해 소정의 위치로부터 어긋나지 않고 확실하게 보유 지지할 수 있는 웨이퍼 보유 지지구를 제공하는 것이다.
본 발명의 제4 목적은, 웨이퍼를 보유 지지구 본체에 얹는 작업 및 내리는 작업을 순조롭게 행할 수 있는 웨이퍼 보유 지지구를 제공하는 것이다.
본 발명은, 실리콘 웨이퍼의 열처리, 특히 SIMOX(Separation by IMplanted OXygen) 웨이퍼 제작시의 고온 어닐 처리에 적합한, 실리콘 웨이퍼의 보유 지지구에 관한 것이다.
도1은 본 발명 실시 형태의 웨이퍼 보유 지지구를 포함하는 도2의 A-A선 단면도이다.
도2는 도3의 B-B선 단면도이다.
도3은 그 웨이퍼 보유 지지구를 포함하는 열처리로의 단면 구성도이다.
도4는 보유 지지구 본체에 웨이퍼를 얹어서 열처리로에 수용하는 순서를 도시하는 공정도이다.
도5는 보유 지지구 본체에 소경의 웨이퍼를 얹은 상태를 도시하는 도1에 대응하는 단면도이다.
도6은 본 발명 제2 실시 형태의 보유 지지구 본체를 도시하는 도7의 C-C선 단면도이다.
도7은 도6의 화살표 D 방향으로 본 도면이다.
도8은 본 발명 제3 실시 형태의 보유 지지구 본체를 도시하는 도9의 E-E선 단면도이다.
도9는 도8의 화살표 F 방향으로 본 도면이다.
도10은 도9의 G-G선 단면도이다.
도11은 도9의 H-H선 단면도이다.
청구항 1에 관한 발명은, 도1 및 도3에 도시한 바와 같이, 상면에 웨이퍼(22)를 얹는 보유 지지구 본체(23)를 구비하고, 보유 지지구 본체(23)가 열 처리로(10) 내에 형성된 복수의 보유 지지구용 요홈(14)에 삽입되어 수평으로 보유 지지된 웨이퍼 보유 지지구의 개량이다.
그 특징인 구성은, 보유 지지구 본체(23)가 절결부가 없는 원판형으로 형성되어, 보유 지지구 본체(23)에 이 보유 지지구 본체(23)의 축선을 중심으로 원주 방향으로 연장되고, 또한 상방으로 돌출하는 링형 돌기(24)가 형성되고, 웨이퍼(22)가 돌기(24) 상면에 접촉하여 보유 지지구 본체(23)에 얹도록 구성되고, 웨이퍼(22)의 직경을 D라 할 때 돌기(24)의 외경이 0.5 D 내지 0.98 D의 범위 내에 형성되어 웨이퍼(22)의 외주연이 돌기(24)에 접촉하지 않도록 구성된 점이다.
이 청구항 1에 기재된 웨이퍼 보유 지지구에서는, 보유 지지구 본체(23)가 절결부가 없는 원판형으로 형성되어, 즉 보유 지지구 본체(23)가 그 중심에 대해서 점대칭으로 형성되어 있기 때문에, 보유 지지구 본체(23)의 제작시에 있어서의 보유 지지구 본체(23)의 휨 발생을 방지할 수 있다. 이 결과, 웨이퍼(22)가 돌기(24)의 상면에 균일하게 접촉하므로, 웨이퍼(22)에는 거의 내부 응력이 발생하지 않는다. 또 웨이퍼(22)의 외주연이 보유 지지구 본체(23)에 접촉하지 않으며, 웨이퍼(22) 외주부 면의 불균일의 영향을 받지 않고 웨이퍼(22)를 균등하게 보유 지지할 수 있기 때문에, 웨이퍼(22)에 슬립이 발생하지는 않는다.
또한, 본 명세서에서는,「절결부」란 보유 지지구 본체의 중심부 근방까지 달하는 절결부를 가리키는 것으로, 보유 지지구 본체의 외주연에 얕은 깊이로 형성된 절결부는 포함하지 않는다. 환언하면, 보유 지지구 본체의 제작시에 보유 지지구 본체에 휘어짐을 발생시키지 않을 정도의 작은 절결부는 본 명세서에서 말하는 절결부에는 해당하지 않는다.
청구항 2에 관한 발명은, 청구항 1에 관한 발명이며, 또 도1 및 도5에 도시한 바와 같이, 보유 지지구 본체(23)의 외주연에 상방으로 돌출하는 볼록형 링(26)이 형성되어, 볼록형 링(26) 내측의 보유 지지구 본체(23)에 직경이 다른 복수의 링형 돌기(24a, 24b)가 형성되어, 복수의 돌기(24a, 24b)가 볼록형 링(26) 보다 낮게 형성되어 최외측의 돌기(24a)가 가장 높고 또한 내측으로 향함에 따라서 차례로 낮아지도록 형성된 것을 특징으로 한다.
이 청구항 2에 기재된 웨이퍼 보유 지지구에서는, 직경이 큰 웨이퍼(22)를보유 지지구 본체(23)에 얹은면, 이 웨이퍼(22)는 최외측의 돌기(24a) 상면에 접촉하는 함께, 이 웨이퍼(22)의 외주면은 볼록형 링(26)의 내주면에 의해 수평 방향에의 어긋남이 저지된다. 한편, 직경이 작은 웨이퍼(27)를 보유 지지구 본체(23)에 얹은면, 이 웨이퍼(27)는, 내측의 돌기(24b) 상면에 접촉하는 함께, 이 웨이퍼(27)의 외주면은 최외측의 돌기(24a)의 내주면에 의해 수평 방향에의 어긋남이 저지된다. 이 결과, 직경이 다른 웨이퍼(22, 27)를 소정의 위치로 부터 어긋나지 않고 동일한 보유 지지구 본체(23)에 의해 확실하게 보유 지지할 수 있다.
청구항 3에 관한 발명은, 청구항 1 또는 2에 관한 발명이며, 또 도1에 도시한 바와 같이, 돌기(24)의 상면이 평면 가공된 것을 특징으로 한다.
이 청구항 3에 기재된 웨이퍼 보유 지지구에서는, 돌기(24)의 상면을 평면 가공 하는 것에 의해, 돌기(24)의 상면에 CVD 처리시의 입자 성장등에 의해 발생한 볼록부가 제거되어 평활해진다. 이 결과, 돌기(24)에 웨이퍼(22)를 얹어도, 웨이퍼(22)가 돌기(24)의 상면에 균일하게 접촉하여 웨이퍼(22)에는 거의 내부 응력이 발생하지 않고, 즉 웨이퍼(22)의 면압이 감소하고 또한 웨이퍼(22)의 하중이 분산되므로, 웨이퍼(22)에 슬립은 발생하지 않는다.
청구항 4에 관한 발명은, 청구항 3에 관한 발명이며, 또 도1에 도시한 바와 같이, 돌기(24) 상면의 주연이 모따기된 것을 특징으로 한다.
이 청구항 4에 기재된 웨이퍼 보유 지지구에서는, 돌기(24)의 상면을 평면 가공하는 것에 의해 돌기(24) 상면의 주연이 날카로운 에지가 되지만, 돌기(24)의 상면을 평면 가공한 후에, 돌기(24) 상면의 주연을 모따기하는 것에 의해, 상기 날카로운 에지가 제거된다. 이 결과, 돌기(24)에 웨이퍼(22)를 얹어도 웨이퍼(22)에 돌기(24) 상면의 주연에 기인한 슬립은 발생하지 않는다.
청구항 5에 관한 발명은, 청구항 1 내지 4 중 어느 한 항에 관한 발명이며, 또한 도4에 도시한 바와 같이, 보유 지지구 본체(23)에 웨이퍼(22)를 얹고 또한 보유 지지구 본체(23)로 부터 웨이퍼(22)를 이탈시키는 플런저(28)를 헐거운 삽입 가능한 관통 구멍(23a)이 보유 지지구 본체(23)의 중심에 형성된 것을 특징으로 한다.
이 청구항 5에 기재된 웨이퍼 보유 지지구에서는, 보유 지지구 본체(23)의 관통 구멍(23a)에 이 관통 구멍(23a)의 아래부터 플런저(28)를 헐거운 삽입하여 이 플런저(28)의 상면에 웨이퍼(22)를 얹고, 이 상태에서 플런저(28)를 하강하는 것에 의해, 웨이퍼(22)가 보유 지지구 본체(23)에 놓인 함께 플런저(28)가 웨이퍼(22)로 부터 이탈한다. 이 작업과는 반대로 웨이퍼(22)가 놓여져 있는 보유 지지구 본체(23)의 관통 구멍(23a)에 이 관통 구멍(23a)의 아래부터 플런저(28)를 삽입하면, 웨이퍼(22)가 보유 지지구 본체(23)에 얹는 작업이나 보유 지지구 본체(23)로 부터 내리는 작업을 비교적 순조롭게 행할 수 있다.
청구항 6에 관한 발명은, 청구항 2 내지 4 중 어느 한 항에 관한 발명이며, 또한 도6 및 도7에 도시한 바와 같이, 돌기(74)의 높이 H가 2.0 내지 20 mm로 형성되어, 볼록형 링(76)의 일부에 웨이퍼 반송용의 포크(77)를 삽입 가능한 포크용 오목부(76a)가 형성되어, 이 포크용 오목부(76a)의 저벽이 볼록형 링(76) 주위의 보유 지지구 본체(73)와 동일 평면으로 이루어지도록 형성된 것을 특징으로 한다.
이 청구항 6에 기재된 웨이퍼 보유 지지구에서는, 웨이퍼(22)를 열처리로에
수용할 때에는, 우선 포크(77)에 웨이퍼(22)를 얹어서 포크(77)를 이동하여, 이 포크(77)가 보유 지지구 본체(73)의 포크용 오목부(76a)의 상방에 위치하고, 또한 웨이퍼(22)의 중심이 보유 지지구 본체(73)의 중심과 일치하도록 웨이퍼(22)를 보유 지지구 본체(73)의 상방으로 반송한다. 다음에 포크(77)를 하강시키면 웨이퍼(22)가 돌기(74) 상면에 접촉하고, 또한 포크(77)를 하강시키면 포크(77)가 돌기(74)로 부터 떨어진다. 이 상태에서 포크(77)를 포크용 오목부(76a)로 부터 빼내서, 웨이퍼(22)가 얹힌 웨이퍼 보유 지지구(63)를 열처리로에 수용한다.
한편, 열처리로로 부터 웨이퍼(22)를 꺼낼 때에는, 우선 포크(77)를 포크용 오목부(76a)에 삽입한다. 다음에 포크(77)를 상승시키면 포크(77)가 웨이퍼(22)의 하면에 접촉하고, 또한 포크(77)를 상승시키면 웨이퍼(22)가 돌기(74)로 부터 떨어져서 포크(77)에 얹힌다. 이 상태에서 포크(77)를 빼내서, 웨이퍼(22)가 열처리로로 부터 꺼낸다.
청구항 7에 관한 발명은, 청구항 1 내지 4 중 어느 한 항에 관한 발명이며, 또한 도8 및 도9에 도시한 바와 같이, 돌기(94)의 높이 H가 2.0 내지 20 mm로 형성되어, 볼록형 링(96)의 일부 및 돌기(94)의 일부에 웨이퍼 반송용의 포크(97)를 삽입 가능한 복수의 포크용 오목부(96a, 93a, 93b)가 형성되어, 이들 포크용 오목부(96a, 93a, 93b)의 저벽이 볼록형 링(96) 및 돌기(94)의 주위의 보유 지지구 본체(93)와 동일 평면으로 이루어지도록 형성된 것을 특징으로 한다.
이 청구항 7에 기재된 웨이퍼 보유 지지구에서는, 웨이퍼(27)를 열처리로에수용할 때에는, 우선 포크(97) 상에 웨이퍼(27)를 얹어서 포크(97)를 이동시키고, 이 포크(97)가 보유 지지구 본체(93)의 포크용 오목부(96a, 93a, 93b)의 상방에 위치하고, 또한 웨이퍼(27)의 중심이 보유 지지구 본체(93)의 중심과 일치하도록 웨이퍼(27)를 보유 지지구 본체(93)의 상방으로 반송한다. 다음에 포크(97)를 하강시키면 웨이퍼(27)가 돌기(94) 상면에 접촉하고, 또한 포크(97)를 하강시키면 포크(97)가 돌기(94)로 부터 떨어진다. 이 상태에서, 포크(97)를 포크용 오목부(96a, 93a, 93b)로 부터 빼내서, 웨이퍼(27)가 얹힌 웨이퍼 보유 지지구(83)를 열처리로에 수용한다.
한편, 열처리로로 부터 웨이퍼(27)를 꺼낼 때에는, 우선 포크(97)를 포크용 오목부(96a, 93a, 93b)에 삽입한다. 다음에 포크(97)를 상승시키면 포크(97)가 웨이퍼(27)의 하면에 접촉하고, 또한 포크(97)를 상승시키면 웨이퍼(27)가 돌기(94)로 부터 떨어져서 포크(97)에 얹힌다. 이 상태에서 포크(97)를 빼내서, 웨이퍼(27)를 열처리로로 부터 꺼낸다.
청구항 8에 관한 발명은, 청구항 7에 관한 발명이며, 또한 도10 및 도11에 도시한 바와 같이, 돌기(94)의 양단부가 모따기된 것을 특징으로 한다.
이 청구항 8에 기재된 웨이퍼 보유 지지구에서는, 돌기(94) 상면을 평면 가공하는 것에 의해, 포크용 오목부(93a, 93b)의 양단부, 즉 돌기(94)의 양단부가 날카로운 에지가 되지만, 돌기(94) 상면을 평면 가공한 후에, 이 돌기(94)의 양단부를 모따기 하는 것에 의해, 상기 날카로운 에지가 제거되므로, 돌기(94)에 웨이퍼(27)를 얹어도 웨이퍼(27)에 슬립은 발생하지 않는다.
다음에 본 발명의 제1 실시 형태를 도면에 의거하여 설명한다.
도1 내지 도3에 도시한 바와 같이, 종형의 열처리로(10)는 연직 방향으로 연장되는 SiC제 반응관(11)과, 이 반응관(11) 내에 소정의 간격을 두고 세워 설치되고 또한 SiC에 의해 형성된 봉형(막대 모양)의 복수의 지지구(12)와, 복수의 지지구(12)에 직사각형 방향으로 소정의 간격을 열어서 각각 형성되고 또한 웨이퍼 보유 지지구(13)의 외주연을 헐거운 삽입 가능한 다수의 보유 지지구용 요홈(14)을 구비한다. 반응관(11)의 외주면은 균일 가열관(16)을 거쳐서 통형의 히터(17)에 의해 덮인다(도3). 지지구(12)는 베이스(18) 및 보온통(19)을 거쳐서 캡(21)에 세워 설치된다. 또한 웨이퍼 지지구(12)는 이 실시 형태에서는 4개이며, 동일 반원 상에 등간격으로 설치된다(도2). 이 지지구(12)는 열처리시의 고열에 의해 지지구(12) 자체의 변형을 방지하기 위함과, 파티클등이 발생해서 반응관(11) 내를 오염시키는 것을 방지하기 위해서, SiC에 의해 형성된다.
웨이퍼 보유 지지구(13)는 4개의 지지구(12)의 동일 수평면 내에 위치하는 4개의 보유 지지구용 요홈(14)의 하부 수평면에 놓이며, 이 웨이퍼 보유 지지구(13)의 상면에는 8 인치 실리콘 웨이퍼(22)가 놓이도록 구성된다(도1 및 도2). 또 웨이퍼 보유 지지구(13)은 절결부가 없는 원판형으로 형성된 보유 지지구(23)와, 보유 지지구 본체(23)에 이 보유 지지구 본체(23)의 축선을 중심으로 원주 방향에 연장되어 형성되고 또한 상방에 돌출하는 복수의 링형 돌기(24)를 가진다. 보유 지지구 본체(23)는 SiC에 의해 형성된다.
일예로써 보유 지지구 본체(23)와 동일 형상으로 형성된 카본 기재 상에 CVD법으로 SiC를 퇴적해 가고, 이 SiC가 소정의 두께로 될 때에 상기 카본 기재를 소실하는 것에 의해, 보유 지지구 본체(23)가 소정의 형상으로 형성된다. 또 보유지지구 본체(23)의 돌기(24)로 되는 부분의 상면은 평면 가공(평면 연마나 평면 연소등)하여 평활해지고, 돌기(24) 상면의 평면 가공 후에 돌기(24) 상면의 주연은 모따기된다(도1). 본 명세서에 있어서「모따기함」이란 면과 면의 사이 각에 경사면 또는 라운딩(radius)을 부여하는 것이 좋고, 이 실시 형태에서는 면과 면의 사이 각에 라운딩(radius)을 부여한다. 또, 보유 지지구 본체(23)는 절결부가 없는 원판형으로 형성되고, 즉 보유 지지구 본체(23)는 그 축선을 중심으로 하는 점대칭으로 형성되어 있기 때문에, 보유 지지구 본체(23)의 제작시에 이 보유 지지구 본체(23)에 휘어짐이 발생하지는 않는다.
또 보유 지지구 본체(23)의 외주연에는 상방에 돌출하는 볼록형 링(26)이 형성되어, 이 볼록형 링(26)은 지지구(12)의 보유 지지구용 요홈(14)의 하부 수평면에 놓이도록 구성된다. 복수의 링형 돌기(24)는 볼록형 링(26)의 내측의 보유 지지구 본체(23)에 직경을 다르게 하여 형성되고, 이들 돌기(24)는 볼록형 링(26)보다 낮게 형성되어, 최외측의 돌기(24a)가 가장 높고 또한 내측을 향함에 따라서 차례로 낮아지도록 형성된다. 이 실시 형태에서는, 복수의 링형 돌기(24)는 직경이 큰 제1 돌기(24a)와, 직경이 작은 제2 돌기(24b)로 이루어지고, 제1 돌기(24a)의 상면은 볼록형 링(26)의 상면 보다 낮고 또한 제2 돌기(24b)의 상면 보다 높게 형성된다. 또한 보유 지지구 본체(23)에는 볼록형 링(26) 및 제1 돌기(24a) 사이에 위치하도록 제1 오목형 링(31)이 형성되고, 제1 돌기(24a) 및 제2 돌기(24b) 사이에 위치하도록 제2 오목형 링(32)이 형성된다. 볼록형 링(26)의 내경은 8 인치 실리콘 웨이퍼(22)의 외경 보다 약간 크게 형성되고(도1 및 도2), 제1 돌기(24a)의내경은 6 인치 실리콘 웨이퍼(27)의 외경 보다 약간 크게 형성된다(도5). 또한 보유 지지구 본체(23)의 중앙에 형성된 원형의 관통 구멍(23a)에는 후술하는 플런저(28)를 헐거운 삽입 가능하게 구성된다. 또, 도1 및 도2의 부호(33)는 제3 오목형 링이다. 또 도2의 부호(22a)는 실리콘 웨이퍼(22)의 결정 방위를 도시하기 위한 오리엔테이션 플랫이며, 실리콘 웨이퍼(22)의 외주연의 소정의 위치로 형성된다.
한편, 웨이퍼의 직경을 D라 할때 돌기(24)의 외경은 0.5 D 내지 0.98 D, 바람직하게는 0.6 D 내지 0.8 D의 범위 내에 형성된다. 구체적으로는 직경이 8 인치(200 mm)인 웨이퍼(22)의 경우에는, 돌기(24a)의 외경은 100 내지 196 mm, 바람직하게는 120 내지 160 mm의 범위 내에 형성되고, 직경이 6 인치(150 mm)인 웨이퍼(27)의 경우에는, 돌기(24b)의 외경은 75 내지 147 mm, 바람직하게는 90 내지 120 mm의 범위 내에 형성된다. 또한, 돌기(24)의 외경을 0.5 D 내지 0.98 D로 한정한 것은, 0.5 D 미만에서는 단일한 보유 지지구 본체에서 직경이 다른 웨이퍼를 보유 지지 가능하게 구성된 때에 돌기의 폭이 작아져서 돌기가 받는 면압이 커지고, 웨이퍼에 슬립이 발생할 우려가 있고, 0.98 D를 넘으면 웨이퍼의 외주연이 보유 지지구 본체에 접촉할 우려가 있기 때문이다.
이와 같이 구성된 웨이퍼 보유 지지구(13)에 8 인치 실리콘 웨이퍼(22)를 얹어서 열처리로(10)에 수용하는 순서를 도4에 의거하여 설명한다.
우선 보유 지지구 본체(23)를 열처리로(10) 근방에 설치된 보유 지지구 임시 설치대(33)에 얹는다. 이 상태에서 상면이 수평으로 형성되고 또한 상하 이동 가능한 플런저(28)를 상승시켜서 보유 지지구 본체(23)의 관통 구멍(23a)에 아래부터 헐거운 삽입한다(도4(a)). 계속해서 열처리 전의 실리콘 웨이퍼(22)를 제1 반송구(41)를 이용해서 웨이퍼 카세트(도시하지 않음)로 부터 꺼내고, 플런저(28)의 상면에 얹는다. 이 제1 반송구(41)의 상면에는 도시하지 않았지만 진공 펌프에 접촉된 복수의 흡인 구멍이 설치되어, 제1 반송구(41)의 상면을 실리콘 웨이퍼(22)의 하면에 접촉시키면, 상기 진공 펌프의 흡인력에 의해 웨이퍼(22)가 제1 반송구(41)에 밀착하고, 밸브(도시하지 않음)를 절환하여 흡인 구멍을 대기에 연통하면, 제1 반송구(41)가 웨이퍼(22)로 부터 이탈하도록 되어 있다. 즉, 웨이퍼(22)를 도4(a)의 실선 화살표로 도시하는 방향으로 플런저(28) 상에 내린 후에(도4(a)의 이점 쇄선으로 도시하는 위치), 밸브(도시하지 않음)를 바꿔서 흡인 구멍을 대기에 연통하면, 제1 반송구(41)를 파선 화살표로 도시하는 방향으로 이동시킴으로써, 제1 반송구(41)는 웨이퍼(22)를 플런저(28) 상에 얹은 채로 웨이퍼(22)로 부터 이탈한다.
다음에 플런저(28)를 도4(b)의 일점 쇄선 화살표로 도시하는 방향으로 하강시키면, 웨이퍼(22)는 제1 돌기(24a) 상면에 접촉한 상태로 보유 지지구 본체(23)에 얹고, 플런저(28)를 더욱 하강시키면, 플런저(28)는 웨이퍼(22)로 부터 이탈한다. 이 때 웨이퍼(22)의 외주면의 수평 방향에의 어긋남은 볼록형 링(26)의 내주면에 의해 저지된다. 이 상태에서 제2 반송구(42)를 보유 지지구 본체(23)의 하방에 삽입하여 상승시키면, 이 제2 반송 수단(42)에 보유 지지구 본체(23)가 놓이며, 제2 반송 수단(42)에 보유 지지구 본체(23)가 얹히고, 제2 반송 수단(42)을 더욱도4(c)의 이점 쇄선 화살표로 도시하는 방향으로 상승시키면, 보유 지지구 본체(23)는 보유 지지구 임시 설치대(33)로 부터 이탈한다. 또 웨이퍼 보유 지지구(13)를 실리콘 웨이퍼(22)와 함께 제2 반송구(42)에 의해 열처리로(10)까지 반송하고, 보유 지지구 본체(23)의 외주연을 지지구(12)의 동일 수평면 내에 4개의 보유 지지구용 요홈(14)에 삽입하여, 보유 지지구 본체(23)를 이들 보유 지지구용 요홈(14)의 하부 수평면에 얹는다. 이 웨이퍼 보유 지지구 (13)를 지지구(12)등과 함께 반응관(11) 내에 삽입하는 것에 의해, 웨이퍼(22)의 열처리로(10)에의 수용 작업이 완료된다.
이와 같이 실리콘 웨이퍼(22)를 열처리로(10)에 수용한 상태로, 열처리로(10)를 가동하면, 열처리로(10) 내의 온도는 히터(17)에 의해 1300℃ 이상으로 상승한다. 이 때 보유 지지구 본체(23)가 절결부가 없는 원판형이기 때문에, 이 보유 지지구 본체(23)가 상기한 바와 같이 가열되어도, 보유 지지구 본체(23)에 휨이 발생하지 않는다. 또 제1 및 제2 돌기(24a, 24b)의 상면은 평면 가공되어 있기 때문에, 돌기(24a, 24b)의 상면에 CVD 처리시의 입자 성장 등에 의해 발생한 볼록부가 제거되어 평활해진다. 또한 돌기(24a, 24b) 상면의 평면 가공 후에 돌기(24a, 24b) 상면의 주연을 모따기하는 것에 의해, 돌기(24a, 24b) 상면의 주연에 라운딩(radius)이 부여된다. 이 결과, 제1 돌기(24a)에 웨이퍼(22)를 얹은면, 웨이퍼(22)가 제1 돌기(24a)의 상면에 균일하게 접촉하여 웨이퍼(22)에는 거의 내부 응력이 발생하지 않고, 즉 웨이퍼(22)의 면압이 감소하고, 또 웨이퍼(22)의 하중이 분산되므로 웨이퍼(22)에 슬립은 발생하지 않는다. 또한 웨이퍼(22)의 외주연이 보유 지지구 본체(23)에 접촉하지 않고, 웨이퍼(22) 외주부 면의 불균일의 영향을 받지 않으며 웨이퍼(22)를 균등하게 보유 지지할 수 있기 때문에, 웨이퍼(22)에 슬립은 발생하지 않는다.
또한 열처리로(10) 내에서의 웨이퍼(22)의 열처리가 완료되면, 상기와는 역의 순서로 보유 지지구 본체(23)를 웨이퍼(22)와 함께 열처리로(10)로 부터 꺼내여 보유 지지구 임시 설치대(33)에 얹고, 또한 웨이퍼(22)를 웨이퍼 카세트에 수용한다.
또, 이 실시 형태에서는, 보유 지지구 본체(23)에 8 인치 웨이퍼(22)를 얹었지만, 도5에 도시한 바와 같이 6 인치 웨이퍼(27)를 얹어도 좋다. 이 경우, 6 인치 웨이퍼(27)는 제1 돌기(24a) 보다 낮으며 제2 돌기(24b)의 상면에 접촉하여 보유 지지구 본체(23)에 얹고, 또한 제1 돌기(24a)의 내경은 이 웨이퍼(22)의 외경보다 약간 크기 때문에, 이 웨이퍼(27)의 수평 방향에의 어긋남은 제1 돌기(24a)의 내주면에 의해 저지된다. 이 결과, 6 인치 웨이퍼(27)는 보유 지지구 본체(23)의 중심에 위치한 상태에 보유 지지된다.
도6 및 도7은 본 발명의 제2실시 형태를 도시한다. 도6 및 도7에 있어서 도1 및 도2와 동일 부호는 동일 부품을 도시한다.
이 실시 형태에서는, 보유 지지구 본체(73)에 형성된 돌기(74)의 높이 H가 2.0 내지 20 mm, 바람직하게는 3 내지 10 mm로 형성되어, 볼록형 링(76)의 일부에 웨이퍼 반송용의 포크(77)를 삽입 가능한 포크용 오목부(76a, 76a)가 형성되고, 또한 이 포크용 오목부(76a, 76a)의 저벽이 볼록형 링(76) 주위의 보유 지지구본체(73)와 동일 평면으로 이루어지도록 형성된다. 이 보유 지지구 본체(73)는 8 인치 실리콘 웨이퍼(22)만을 놓기 위한 것이며, 상기 돌기(74)는 단면이 대략 앵글형으로 형성된다. 또 돌기(74)의 높이 H를 2.0 내지 20 mm의 범위로 한정된 것은, 2.0 mm 미만에서는 포크(77)를 포크용 오목부(76a, 76a)에 헐거운 삽입 또는 빼낼 때에 포크(77)가 웨이퍼(22) 또는 보유 지지구 본체(73)에 접촉할 우려가 있고, 20 mm를 넘으면 보유 지지구용 요홈(도시하지 않음)에 삽입된 보유 지지구 본체(73)의 간격이 너무 넓어져 버려서 열처리로(도시하지 않음)에 수용되는 웨이퍼(22)의 맷수가 감소하기 때문이다. 또, 돌기(74)의 상면은 평면 가공(평면 연마나 평면 연소등)되고, 평면 가공한 후에 돌기(74) 상면의 주연은 모따기 된다(도6).
또한 포크(77)는 기부(77a)와, 이 기부(77a)의 선단으로 부터 2 갈래로 갈라지는 한 쌍의 받침부(77b, 77b)를 가진다. 한 쌍의 받침부(77b, 77b)의 상면에는 제1 실시 형태와 마찬가지로, 진공 펌프(도시하지 않음)에 접촉된 복수의 흡인 구멍(도시하지 않음)이 설치된다. 이들 흡인 구멍은 한 쌍의 받침부(77b, 77b)의 상면을 실리콘 웨이퍼(22)의 하면에 접촉시킨 때에 상기 진공 펌프의 흡인력에 의해 웨이퍼(22)를 한 쌍의 받침부(77b, 77b)에 밀착시키기 위해, 그리고, 밸브(도시하지 않음)를 바꿔서 흡인 구멍을 대기에 연통시킨 때에 한 쌍의 받침부(77b, 77b)를 웨이퍼(22)로 부터 용이하게 이탈시키기 위해 설치된다.
또한 볼록형 링(76)은 보유 지지구 본체(73)의 외주연에 상방에 돌출하여 형성되고, 포크용 오목부(76a, 76a)는 이 볼록형 링(76)에 두개 형성된다. 구체적으로는 2개의 포크용 오목부(76a, 76a)는 한 쌍의 받침부(77b, 77b)와 동일한 간격을열고, 또한 한 쌍의 받침부(77b, 77b)의 폭 보다 각각 약간 넓게 형성된다. 보유 지지구 본체(73)에는 볼록형 링(76) 및 돌기(74)사이에 위치하는 제1 오목형 링(71)이 형성되어, 상기 포크용 오목부(76a)의 저벽은 제1 오목형 링(71)과 동일 평면으로 이루어지도록 형성된다. 또, 도6 및 도7의 부호(73a)는 보유 지지구 본체(73)의 중심에 형성된 원형의 관통 구멍이다. 상기 이외는 제1 실시 형태와 마찬가지로 구성된다.
이와 같이 구성된 웨이퍼 보유 지지구(63)에 8 인치 실리콘 웨이퍼(22)를 얹어서 열처리로에 수용하는 순서를 설명한다.
미리 지지구(도시하지 않음)의 동일 수평면 내의 4개의 보유 지지구용 요홈(도시하지 않음)에 보유 지지구 본체(73)의 외주연을 삽입하여, 보유 지지구 본체(73)를 이들 보유 지지구용 요홈의 하부 수평면에 얹어 둔다. 우선 진공 펌프를 작동하여 한 쌍의 받침부(77b, 77b)의 흡인 구멍을 진공 펌프에 연통시키고, 상기 한 쌍의 받침부(77b, 77b)에 웨이퍼 카세트(도시하지 않음) 내의 웨이퍼(22)를 얹는다. 이 상태에서 포크(77)를 이동시켜서, 상기 한 쌍의 받침부(77b, 77b)가 보유 지지구 본체(73)의 2개의 포크용 오목부(76a, 76a)의 상방에 각각 위치하고, 또한 웨이퍼(22)의 중심이 보유 지지구 본체(73)의 중심과 일치하도록 웨이퍼(22)를 보유 지지구 본체(73)의 상방에 반송한다. 다음에 한 쌍의 받침부(77b, 77b)의 흡인 구멍을 대기에 연통시킨 후에, 포크(77)를 하강시키면 웨이퍼(22)가 돌기(74) 상면에 접촉하고, 또한 포크(77)를 하강시키면 한 쌍의 받침부(77b, 77b)가 돌기(74)로 부터 떨어진다. 이 상태에서 한 쌍의 받침부(77b,77b)를 포크용 오목부(76a, 76a)로 부터 빼낸 후에, 웨이퍼 보유 지지구(63)를 지지구 등과 함께 반응관(도시하지 않음) 내에 삽입하는 것에 의해, 웨이퍼(22)의 열처리로에의 수용 작업이 완료된다.
이와 같이 실리콘 웨이퍼(22)를 열처리로에 수용한 상태에서, 열처리로를 가동하면, 제1 실시 형태와 마찬가지로 웨이퍼(22)가 돌기(74)의 상면에 균일하게 접촉하므로, 웨이퍼(22)에는 거의 내부 응력이 발생하지 않으며, 따라서 웨이퍼(22) 내에 슬립이 발생하는 것을 억제할 수 있다.
한편, 열처리로 내에서의 웨이퍼(22)의 열처리가 종료되면, 우선 열처리로로 부터 웨이퍼 보유 지지구(63)를 보유 지지구등과 함께 꺼낸 후에 진공 펌프를 작동하여 한 쌍의 받침부(77b, 77b)의 흡인 구멍을 진공 펌프에 연통시킨 상태에서, 한 쌍의 받침부(77b, 77b)를 2개의 포크용 오목부(76a, 76a)에 각각 삽입한다. 다음에 포크(77)를 상승시키면, 한 쌍의 받침부(77b, 77b)는 웨이퍼(22)의 하면에 접촉하고, 또 포크(77)를 상승시키면, 웨이퍼(22)가 돌기(74)로 부터 떨어져서 한 쌍의 받침부(77b, 77b)에 얹힌다. 이 상태에서 포크(77)를 수평 방향에 한 쌍의 받침부(77b, 77b)를 당겨 빼는 방향으로 이동시킨다. 또한 포크(77)를 이동하여 웨이퍼(22)를 웨이퍼 카세트에 수용하는 것에 의해, 웨이퍼(22)의 열처리로로 부터의 취출 작업이 완료된다. 이와 같이 웨이퍼(22)의 열처리로에의 수용 작업이나 열처리로로 부터 취출 작업을 제1 실시 형태 보다 단시간에 순조롭게 행할 수 있다.
도8 내지 도11은 본 발명의 제3 실시 형태를 도시한다.
이 실시 형태에서는, 보유 지지구 본체(93)에 형성된 제1 및 제2 돌기(94a, 94b) 중 낮은 제2 돌기(94b)의 높이 H가 2.0 내지 20 mm, 바람직하게는 3 내지 10 mm로 형성되고, 볼록형 링(96)의 일부 및 돌기(94)의 일부에 웨이퍼 반송용의 포크(97)를 삽입 가능한 복수의 포크용 오목부(96a, 93a, 93b)가 형성되고, 또한 이들 포크용 오목부(96a, 93a, 93b)의 저벽이 볼록형 링(96) 및 돌기(94)의 주위의 보유 지지구 본체(93)와 동일 평면으로 이루어지도록 형성된다. 이 보유 지지구 본체(93)는 8 인치 실리콘 웨이퍼와 6 인치 실리콘 웨이퍼(27)의 어느 것도 얹을 수 있도록 구성된다. 또 볼록형 링(96)은 보유 지지구 본체(93)의 외주연에 상방으로 돌출하여 구성된다. 제1 및 제2 돌기(94a, 94b)는 볼록형 링(96) 보다 낮게 구성되고, 내측의 제2 돌기(94b)는 외측의 제1 돌기(94a) 보다 낮게 형성된다. 또 제2 돌기(94b)의 내측에는 이 제2 돌기(94b) 보다 낮은 볼록형 리브(98)가 형성된다.
볼록형 링(96)의 내경은 8 인치 실리콘 웨이퍼(도시하지 않음)의 외경 보다 약간 크게 형성되어, 제1 돌기(94a)의 상단 부근의 내경은 6 인치 실리콘 웨이퍼(27)의 외경 보다 약간 크게 형성된다. 제1 돌기(94a)에는 8 인치 웨이퍼가 얹히고, 제2 돌기(94b)에는 6 인치 웨이퍼(27)가 얹히도록 구성된다. 이들 돌기(94a, 94b)는 단면이 대략 앵글형으로 형성된다. 또 제2 돌기(94b)의 높이 H를 2.0 내지 20 mm의 범위로 한정한 것은, 2.0 mm 미만에서는 포크(97)를 포크용 오목부(96a, 93a, 93b)에 헐거운 삽입 또는 빼낼 때에 포크(97)가 웨이퍼(27) 또는보유 지지구 본체(93)에 접촉할 우려가 있으며, 20 mm를 넘으면 보유 지지구용 요홈(도시하지 않음)에 삽입된 보유 지지구 본체(93)의 간격이 넓어져 버려서 열처리로(도시하지 않음)에 수용되는 웨이퍼(27)의 매수가 감소되기 때문이다. 또, 돌기(94)의 상면은 평면 가공(평면 연마나 평면 연소등)되어, 평면 가공한 후에 돌기(94) 상면의 주연은 모따기된다(도8).
또한 포크(97)는 일직선으로 연장되는 평판형에 구성되어, 그 선단 근방의 상면에는 제1 실시 형태와 마찬가지로, 진공 펌프(도시하지 않음)에 접속된 복수의 흡인 구멍(도시하지 않음)이 설치된다. 이들 흡인 구멍은 포크(97)의 상면을 실리콘 웨이퍼(27)의 하면에 접촉시킨 때에 상기 진공 펌프의 흡인력에 의해 웨이퍼(27)를 포크(97)에 밀착시키기 위함과, 밸브(도시하지 않음)를 절환하여 흡인 구멍을 대기에 연통시킨 때에 포크(97)를 웨이퍼(27)로 부터 용이하게 이탈시키기 위해서 설치된다.
한편, 포크용 오목부(96a, 93a, 93b, 98a)는 볼록형 링(96), 제1 돌기(94a) 및 제2 돌기(94b) 이외에도, 볼록형 리브(98)의 일부에도 형성된다. 이들 포크용 오목부(96a, 93a, 93b, 98a)는 보유 지지구 본체(93)의 중심으로 부터 반경 방향으로 일직선 상으로 나란히 늘어서도록 형성되고, 또한 포크(97)의 폭 보다 약간 넓게 형성된다. 보유 지지구 본체(93)에는, 볼록형 링(96) 및 제1 돌기(94a) 사이에 위치하는 제1 오목형 링(101)과, 제1 돌기(94a) 및 제2 돌기(94b) 사이에 위치하는 제2 오목형 링(102)와, 제2 돌기(94b) 및 볼록형 리브(98) 사이에 위치하는 제3 오목형 리브(103)와, 볼록형 리브(98)의 내측에 위치하는 오목형 원판(104)이 각각 형성된다. 상기 제1 오목형 리브(101), 제2 오목형 리브(102), 제3 오목형리브(103) 및 오목형 원판(104)은 동일 평면으로 이루어지도록 형성되는 함께, 포크용 오목부(96a, 93a, 93b, 98a)의 저벽과도 동일 평면이 되도록 형성된다. 또한 도10 및 도11에 도시한 바와 같이, 상기 포크용 요홈(93a, 93b)의 형성에 의해 절결된 제1 및 제2 돌기(94a, 94b)의 양단부, 즉 포크용 오목부(93a, 93b)의 양단부는 각각 모따기된다. 상기 이외는 제1 실시 형태와 동일하게 구성된다.
이와 같이 구성된 웨이퍼 보유 지지구(83)에 6 인치 실리콘 웨이퍼(27)를 얹어서 열처리로에 수용하는 순서를 설명한다.
미리 지지구(도시하지 않음)의 동일 수평면 내의 4개의 보유 지지구용 요홈(도시하지 않음)에 보유 지지구 본체(93)의 외주연을 삽입하고, 보유 지지구 본체(93)를 이들 보유 지지구용 홈의 하부 수평면에 얹어 둔다. 우선 진공 펌프를 작동하여 포크(97)의 흡인 구멍을 진공 펌프에 연통시키고, 상기 포크(97)에 웨이퍼 카세트(도시하지 않음) 내의 웨이퍼(27)를 얹는다. 이 상태에서 포크(97)를 이동시켜, 이 포크(97)가 보유 지지구 본체(93)의 포크용 오목부(96a, 93a, 93b, 98a)의 상방에 각각 위치하고, 또한 웨이퍼(27)의 중심이 보유 지지구 본체(93)의 중심과 일치하도록 웨이퍼(27)를 보유 지지구 본체(93)의 상방에 반송한다. 다음에 포크(97)의 흡인 구멍을 대기에 연통시킨 후에, 포크(97)를 하강시키는 웨이퍼(27)가 제2 돌기(94b) 상면에 접촉하고, 또한 포크(97)를 하강시키면 포크(97)가 제2 돌기(94b)로 부터 떨어진다. 이 상태에서 포크(97)를 포크용 오목부(96a, 93a, 93b, 98a)로 부터 빼낼 때에, 웨이퍼 보유 지지구(83)를 지지구등과 함께 반응관 내에 삽입하는 것에 의해, 웨이퍼(27)의 열처리로에의 수용 작업이 완료된다.
이와 같이 실리콘 웨이퍼(27)를 열처리로에 수용한 상태에서 열처리로를 가동하면, 열처리로 내의 온도는 히터(도시하지 않음)에 의해 1300℃ 이상으로 상승한다. 이 때 보유 지지구 본체(93)가 절결부가 없는 원판형이기 때문에, 이 보유 지지구 본체(93)가 상기한 바와 같이 가열되어도, 보유 지지구 본체(93)에 휘어짐이 발생하지 않는다. 또 제1 및 제2 돌기(94a, 94b)의 상면은 평면 가공되어 있으므로, 돌기(94a, 94b)의 상면에 CVD 처리시의 입자 성장등에 의해 발생한 볼록부가 제거되어 평활해진다. 또 돌기(94a, 94b)의 상면의 평면 가공 후에, 돌기(94a, 94b) 상면의 주연 및 돌기(94a, 94b)의 양단부(포크용 오목부(93a, 93b)의 양단부)를 모따기하는 것에 의해, 돌기(94a, 94b) 상면의 주연 및 돌기(94a, 94b)의 양단부에 라운딩(radius)이 부여된다. 이 결과, 제2 돌기(94b)에 웨이퍼(27)를 얹은면, 웨이퍼(27)가 제2 돌기(94b) 상면에 균일하게 접촉하여 웨이퍼(27)에는 거의 내부 응력이 발생하지 않고, 즉 웨이퍼(27)의 면압이 감소하며, 또한 웨이퍼(27)의 하중이 분산되므로, 웨이퍼(27)에 슬립은 발생하지 않는다. 또한 웨이퍼(27)의 외주연이 보유 지지구 본체(93)에 접촉하지 않고, 웨이퍼(27) 외주부 면의 불균일의 영향을 받지 않으며 웨이퍼(27)를 균등하게 보유 지지할 수 있으므로, 웨이퍼(22)에 슬립은 발생하지 않는다.
한편, 열처리로 내에서의 웨이퍼(27)의 열처리가 완료되면, 우선 열처리로로 부터 웨이퍼 보유 지지구(83)를 지지구 등과 함께 꺼낸 후에, 진공 펌프를 작동하여 포크(97)의 흡인 구멍을 진공 펌프에 연통시킨 상태로, 포크(97)를 포크용 오목부(96a, 93a, 93b, 98a)에 각각 삽입한다. 다음에 포크(97)를 상승시키면, 포크(97)는 웨이퍼(27)의 하면에 접촉하고, 또한 포크(97)를 상승시키면, 웨이퍼(27)가 제2 돌기(94b)로 부터 떨어져서 포크(97) 상에 얹힌다. 이 상태에서 포크(97)를 수평 방향으로 빼낸다. 또 포크(97)를 이동하여 웨이퍼(27)를 웨이퍼 카세트에 수용하는 것에 의해, 웨이퍼(27)의 열처리로로 부터의 취출 작업이 완료된다. 이와 같이 웨이퍼(27)의 열처리로에의 수용 작업이나 열처리로로 부터의 취출 작업을 제1 실시 형태 보다 단시간에 순조롭게 행할 수 있다.
또, 상기 제1 및 제3 실시 형태에서는, 보유 지지구 본체에 2개의 링형 돌기를 형성하고, 제2 실시 형태에서는, 보유 지지구 본체에 1개의 링형 돌기를 형성했지만, 3개 이상의 직경이 다른 링형 돌기를 형성해도 좋다.
또, 상기 제1 내지 제3 실시 형태에서는, 웨이퍼로써 실리콘 웨이퍼를 들었지만, GaP 웨이퍼, GaAs 웨이퍼등이라도 좋고, 웨이퍼의 외경은 8 인치 및 6 인치에 한하지 않으며 그 밖의 외경을 가지는 웨이퍼라도 좋다.
이상 상술한 바와 같이, 본 발명에 의하면, 보유 지지구 본체를 절결부가 없는 원판형으로 형성하고, 보유 지지구 본체에 이 보유 지지구 본체의 축선을 중심으로 원주 방향으로 연장되고 또한 상방으로 돌출하는 링형 돌기를 형성하고, 웨이퍼가 돌기 상면에 접촉하여 보유 지지구 본체에 얹히고 또 웨이퍼의 외주연이 돌기에 접촉하지 않도록 구성한 것이므로, 보유 지지구 본체가 그 축선을 중심으로 점대칭이며, 보유 지지구 본체의 제작시에 있어서의 보유 지지구 본체의 휘어짐을 방지할 수 있다. 이 결과, 웨이퍼가 돌기의 상면에 균일하게 접촉하므로, 웨이퍼에는 거의 내부 응력이 발생하지 않고, 따라서 웨이퍼 내에 슬립이 발생하는 것을 억제할 수 있다. 또한 웨이퍼의 외주연이 보유 지지구 본체에 접촉하지 않고, 웨이퍼 외주부 면의 불균일의 영향을 받지 않으면서 웨이퍼를 균등하게 보유 지지할 수 있기 때문에, 웨이퍼에 슬립이 발생하지 않는다.
또한 보유 지지구 본체의 외주연에 상방으로 돌출하는 볼록형 링을 형성하고, 링형 돌기를 볼록형 링의 내측의 보유 지지구 본체에 직경을 다르게 하여 복수 형성하고, 이들 돌기를 볼록형 링 보다 낮게 형성하여 최외측의 돌기를 가장 높고 또 내측으로 향함에 따라서 차례로 낮아지도록 형성하면, 직경이 다른 웨이퍼를 동일한 보유 지지구 본체에 의해 소정의 위치로 부터 어긋나는 일없이 확실하게 보유 지지할 수 있다.
또한 돌기의 상면을 평면 가공하면, 돌기의 상면에 CVD 처리시의 입자 성장등에 의해 발생한 볼록부가 제거되어 평활해진다. 이 결과, 돌기에 웨이퍼를 얹어도 웨이퍼가 돌기의 상면에 균일하게 접촉하여 웨이퍼에는 거의 내부 응력이 발생하지 않고, 즉 웨이퍼의 면압이 감소하고, 또 웨이퍼의 하중이 분산 되므로, 웨이퍼에 슬립은 발생하지 않는다.
또한 돌기 상면의 평면 가공 후에 돌기 상면의 주연을 모따기하면, 돌기의 상면을 평면 가공하는 것에 의해 돌기 상면의 주연에 발생한 날카로운 에지가 제거되므로, 돌기 상에 웨이퍼를 얹어도 웨이퍼에 돌기 상면의 주연으로 기인한 슬립은 발생하지 않는다.
또한 보유 지지구 본체의 중심에 플런저를 헐거운 삽입 가능한 관통 구멍을형성하면, 플런저의 관통 구멍에의 헐거운 삽입 및 관통 구멍으로 부터의 빼냄에 의해, 웨이퍼를 보유 지지구 본체에 얹거나 또는 보유 지지구 본체로 부터 내리거나 할 수 있다. 이 결과, 웨이퍼를 보유 지지구 본체에 얹는 작업이나 보유 지지구 본체로 부터 내리는 작업을 비교적 순조롭게 행할 수 있다.
또한 돌기의 높이를 2.0 내지 20 mm로 형성하고, 볼록형 링의 일부에 웨이퍼 반송용의 포크를 삽입 가능한 포크용 오목부를 형성하여, 그 포크용 오목부의 저벽을 볼록형 링 주위의 보유 지지구 본체와 동일 평면으로 이루어지도록 형성하면, 웨이퍼의 열처리로에의 수용 작업이나 열처리로로 부터의 취출 작업을 단시간에 순조롭게 행할 수 있다.
또한 돌기의 높이를 2.0 내지 20 mm로 형성하고, 볼록형 링의 일부 및 돌기의 일부에 웨이퍼 반송용의 포크를 삽입 가능한 복수의 포크용 오목부를 형성하고, 이들 포크용 오목부의 저벽을 볼록형 링 및 돌기 주위의 보유 지지구 본체와 동일 평면으로 되도록 형성해도, 상기와 마찬가지로 웨이퍼의 열처리로에의 수용 작업이나 열처리로로 부터의 취출 작업을 단시간에 순조롭게 행할 수 있다.
또 돌기 상면의 평면 가공 후에, 돌기의 양단부를 모따기하면, 돌기의 상면의 평면 가공에 의해 돌기의 양단부에 발생한 날카로운 에지가 제거되므로, 돌기 상에 웨이퍼를 얹어도 슬립은 발생하지 않는다.
본 발명의 웨이퍼 보유 지지구는, 실리콘 웨이퍼의 열처리, 특히 SIMOX 웨이퍼를 제작할 때의 보온 어닐 처리에 이용할 수 있다.

Claims (8)

  1. 상면에 웨이퍼(22, 27)를 얹는 보유 지지구 본체(23)를 구비하고, 상기 보유 지지구 본체(23)가 열처리로(10) 내에 형성된 복수의 보유 지지구용 요홈(14)에 삽입되어 수평으로 보유 지지된 웨이퍼 보유 지지구에 있어서,
    상기 보유 지지구 본체(23)가 절결부가 없는 원판형으로 형성되고,
    상기 보유 지지구 본체(23)에 이 보유 지지구 본체(23)의 축선을 중심으로 원주 방향으로 연장되며 또 상방으로 돌출하는 링형 돌기(24)가 형성되고,
    상기 웨이퍼(22, 27)가 상기 돌기(24) 상면에 접촉하여 상기 보유 지지구 본체(23)에 얹히도록 구성되고,
    상기 웨이퍼(22, 27)의 직경을 D라 할 때 상기 돌기(24)의 외경이 0.5 D 내지 0.98 D의 범위 내에 형성되고 상기 웨이퍼(22, 27)의 외주연이 상기 돌기(24)에 접촉하지 않도록 구성된 것을 특징으로 하는 웨이퍼 보유 지지구.
  2. 제1항에 있어서 보유 지지구 본체(23)의 외주연에 상방으로 돌출하는 볼록형 링(26)이 형성되고,
    상기 볼록형 링(26) 내측의 상기 보유 지지구 본체(23)에 직경이 다른 복수의 링형 돌기(24a, 24b)가 형성되고,
    상기 복수의 돌기(24a, 24b)의 모두가 상기 볼록형 링(26) 보다 낮게 형성되고 최외측 돌기(24a)가 가장 높고 또 내측을 향함에 따라서 차례로 낮아지도록 형성된 것을 특징으로 하는 웨이퍼 보유 지지구.
  3. 제1항 또는 제2항에 있어서, 돌기(24)의 상면이 평면 가공된 것을 특징으로 하는 웨이퍼 보유 지지구.
  4. 제3항에 있어서, 돌기(24) 상면의 주연이 모따기된 것을 특징으로 하는 웨이퍼 보유 지지구.
  5. 제1항 내지 제4항 중 어느 한 한에 있어서, 보유 지지구(23)에 웨이퍼(22, 27)을 얹고 또 상기 보유 지지구 본체(23)로 부터 상기 웨이퍼(22, 27)를 이탈시키는 플런저(28)를 헐거운 삽입 가능한 관통 구멍(23a)이 상기 보유 지지구 본체(23)의 중심에 형성된 것을 특징으로 하는 웨이퍼 보유 지지구.
  6. 제2항 내지 제4항 둥 어느 한 한에 있어서, 돌기(74)의 높이 H가 0.2 내지 20 mm로 형성되고, 볼록형 링(76)의 일부에 웨이퍼 반송용 포크(77)를 삽입 가능한 포크용 오목부(76a)가 형성되고, 상기 포크용 오목부(76a)의 저벽이 상기 볼록형 링(76) 주위의 보유 지지구 본체(73)와 동일 평면이 되도록 형성된 것을 특징으로 하는 웨이퍼 보유 지지구.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서, 돌기(94)의 높이 H가 2.0 내지20 mm로 형성되고, 볼록형 링(96)의 일부 및 상기 돌기(94)의 일부에 웨이퍼 반송용 포크(97)를 삽입 가능한 복수의 포크용 오목부(96a, 93a, 93b)가 형성되고, 상기 포크용 오목부(96a, 93a, 93b)의 저벽이 상기 볼록형 링(96) 및 상기 돌기(94)의 주위의 보유 지지구 본체(93)와 동일 평면이 되도록 형성된 것을 특징으로 하는 웨이퍼 보유 지지구.
  8. 제7항에 있어서, 돌기(94)의 양단부가 모따기된 것을 특징으로 하는 웨이퍼 보유 지지구.
KR10-2001-7005496A 1999-09-03 2000-08-29 웨이퍼 보유 지지구 KR100427916B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP24948099 1999-09-03
JP1999-249480 1999-09-03
JP2000160033 2000-05-30
JP2000-160033 2000-05-30

Publications (2)

Publication Number Publication Date
KR20010099775A true KR20010099775A (ko) 2001-11-09
KR100427916B1 KR100427916B1 (ko) 2004-04-28

Family

ID=26539317

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7005496A KR100427916B1 (ko) 1999-09-03 2000-08-29 웨이퍼 보유 지지구

Country Status (7)

Country Link
US (1) US6474987B1 (ko)
JP (1) JP4061904B2 (ko)
KR (1) KR100427916B1 (ko)
CN (1) CN100386847C (ko)
DE (1) DE10082995B4 (ko)
TW (1) TW511217B (ko)
WO (1) WO2001018856A1 (ko)

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3377996B1 (ja) 2001-12-27 2003-02-17 東京エレクトロン株式会社 熱処理用ボート及び縦型熱処理装置
US20030170583A1 (en) * 2002-03-01 2003-09-11 Hitachi Kokusai Electric Inc. Heat treatment apparatus and a method for fabricating substrates
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JP3781014B2 (ja) 2003-03-31 2006-05-31 株式会社Sumco シリコンウェーハ熱処理治具およびシリコンウェーハ熱処理方法
CN100517612C (zh) * 2003-04-02 2009-07-22 株式会社上睦可 半导体晶片用热处理夹具
WO2005047714A1 (en) * 2003-11-17 2005-05-26 Telezygology Inc Fasteners and other assemblies
US20070275570A1 (en) * 2004-01-20 2007-11-29 Hitachi Kokusai Electric Inc. Heat Treatment Apparatus
US7163393B2 (en) * 2004-02-02 2007-01-16 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor silicon substrate
KR100852975B1 (ko) * 2004-08-06 2008-08-19 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조 방법
JP2008512855A (ja) * 2004-09-04 2008-04-24 アプライド マテリアルズ インコーポレイテッド 高さを減じた基板キャリア
JP4597137B2 (ja) * 2004-09-27 2010-12-15 三菱電機株式会社 半導体製造装置および半導体製造方法
JP4833074B2 (ja) * 2004-09-30 2011-12-07 株式会社日立国際電気 熱処理装置、熱処理方法、基板の製造方法及び半導体装置の製造方法
US7033168B1 (en) * 2005-01-24 2006-04-25 Memc Electronic Materials, Inc. Semiconductor wafer boat for a vertical furnace
WO2007061604A2 (en) * 2005-11-21 2007-05-31 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
EP2036121A2 (en) * 2006-06-30 2009-03-18 MEMC Electronic Materials, Inc. Wafer platform
JP4987580B2 (ja) * 2007-06-12 2012-07-25 コバレントマテリアル株式会社 縦型ウエハボート
US8042697B2 (en) * 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
CN102242353A (zh) * 2010-05-14 2011-11-16 佛山市奇明光电有限公司 有机金属化学气相沉积机台
US9224627B2 (en) * 2011-02-16 2015-12-29 Texchem Advanced Products Incorporated Sdn Bhd Single and dual stage wafer cushion and wafer separator
JP2012195562A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
CN102764740A (zh) * 2012-07-02 2012-11-07 江阴新顺微电子有限公司 适用于半导体芯片背面金属化前处理的单面泡酸工艺
HUE044809T2 (hu) * 2012-07-26 2019-11-28 Senju Metal Industry Co Félvezetõlapka-szállító eszköz
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103386566B (zh) * 2013-07-10 2015-04-01 中国电子科技集团公司第四十一研究所 介质基片激光加工夹具及其使用方法
JP6007889B2 (ja) * 2013-12-03 2016-10-19 信越半導体株式会社 面取り加工装置及びノッチレスウェーハの製造方法
US10145013B2 (en) 2014-01-27 2018-12-04 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor desposition systems
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
DE102015014903A1 (de) * 2015-11-18 2017-05-18 Centrotherm Photovoltaics Ag Waferboot und Plasma-Behandlungsvorrichtung für Wafer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6650345B2 (ja) * 2016-05-26 2020-02-19 日本特殊陶業株式会社 基板保持装置及びその製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112652532A (zh) * 2020-12-22 2021-04-13 长江存储科技有限责任公司 半导体结构的形成方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
JPH05291166A (ja) * 1992-04-14 1993-11-05 Tokyo Electron Tohoku Ltd 異径被処理体用ボート及びそれを用いた被処理体の移し換え方法
JPH0745691A (ja) * 1993-07-29 1995-02-14 Kokusai Electric Co Ltd ウェーハホルダ
JP3316068B2 (ja) * 1993-12-01 2002-08-19 東京エレクトロン株式会社 熱処理用ボート
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JPH0992625A (ja) * 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
KR100207461B1 (ko) * 1996-02-22 1999-07-15 윤종용 수직형 퍼니스용 보트
JPH09260296A (ja) * 1996-03-21 1997-10-03 Sumitomo Sitix Corp ウェーハ支持装置
JP3469000B2 (ja) * 1996-08-02 2003-11-25 三井造船株式会社 縦型ウエハ支持装置
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具

Also Published As

Publication number Publication date
TW511217B (en) 2002-11-21
DE10082995T1 (de) 2001-11-22
WO2001018856A1 (fr) 2001-03-15
CN1321333A (zh) 2001-11-07
US6474987B1 (en) 2002-11-05
DE10082995B4 (de) 2004-09-09
JP4061904B2 (ja) 2008-03-19
CN100386847C (zh) 2008-05-07
KR100427916B1 (ko) 2004-04-28

Similar Documents

Publication Publication Date Title
KR100427916B1 (ko) 웨이퍼 보유 지지구
CN107851560B (zh) 基座、外延生长装置、及外延晶圆
EP1348230B1 (en) Susceptor pocket profile to improve process performance
JP4358108B2 (ja) コーティング装置における搭載、支持及び取り出しのための一組の器具
KR100530407B1 (ko) 반도체 제조 장치
JP4669476B2 (ja) 半導体製造時にウェハを支持するホルダ
KR100852975B1 (ko) 열처리 장치 및 기판의 제조 방법
CN107851561B (zh) 基座及外延生长装置
KR100965143B1 (ko) 서셉터 유닛 및 이를 구비하는 기판 처리 장치
KR20040065300A (ko) 반도체 공정 도구용 웨이퍼 캐리어
JP2012182464A (ja) 基板支持組立体のためのシリコンカーバイドスリーブ
US20070275570A1 (en) Heat Treatment Apparatus
JP2005056984A (ja) 気相成長装置及び気相成長方法
JPWO2004003995A1 (ja) 基板処理装置および半導体装置の製造方法
TW202021035A (zh) 升降銷固持器組件及包括升降銷固持器組件的主體
JP2000091406A (ja) ウェーハ保持具
JP3687578B2 (ja) 半導体シリコン基板の熱処理治具
JPH11163102A (ja) 半導体製造装置用サセプタ
KR20010062144A (ko) 열처리용 기판 보유 지지구, 기판 열처리 장치 및 기판의열처리 방법
JP2005235906A (ja) ウェーハ保持具及び気相成長装置
JP2003100648A (ja) 半導体ウエハ熱処理用治具
JP4029611B2 (ja) ウェーハ支持具
US20220228262A1 (en) Vapor deposition device and carrier used in same
JP2023096893A (ja) 絶縁膜形成装置用トレー、絶縁膜形成装置および絶縁膜形成方法
CN117660935A (zh) 一种基座、化学气相沉积装置及处理系统

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120402

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160401

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 16