KR100530407B1 - 반도체 제조 장치 - Google Patents

반도체 제조 장치 Download PDF

Info

Publication number
KR100530407B1
KR100530407B1 KR10-2003-0003353A KR20030003353A KR100530407B1 KR 100530407 B1 KR100530407 B1 KR 100530407B1 KR 20030003353 A KR20030003353 A KR 20030003353A KR 100530407 B1 KR100530407 B1 KR 100530407B1
Authority
KR
South Korea
Prior art keywords
holder
substrate
wafer
semiconductor manufacturing
manufacturing apparatus
Prior art date
Application number
KR10-2003-0003353A
Other languages
English (en)
Other versions
KR20030063194A (ko
Inventor
도모하루 시마다
노부오 가게야마
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20030063194A publication Critical patent/KR20030063194A/ko
Application granted granted Critical
Publication of KR100530407B1 publication Critical patent/KR100530407B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S206/00Special receptacle or package
    • Y10S206/832Semiconductor wafer boat

Abstract

열 처리시의 고온도에 의한 영향이 적고, 그리고 처리해야 할 웨이퍼의 삽입과 취출이 용이한 반도체 제조 장치를 제공한다. 높은 부분(2)과 오목부(3)를 링형상 홀더(1)에 형성하고, 높은 부분(2)상에 처리해야 할 웨이퍼(4)를 탑재하여 소요 열 처리를 실행한다. 웨이퍼 탑재 이송기(9)의 트위저 판(6)을 오목부(3)상에 넣고 뺌과 동시에, 삽입한 트위저 판을 하강 또는 상승시켜, 웨이퍼(4)가 높은 부분(2) 위로의 삽입과 높은 부분(2)으로부터의 취출을 실행한다.

Description

반도체 제조 장치{APPARATUS FOR FABRICATING A SEMICONDUCTOR DEVICE}
본 발명은 반도체 제조 장치에 관한 것으로, 특히 각종 반도체 장치를 제조할 때에 실행되는 산화, 확산, CVD 등 각종 열 처리를, 처리시에 발생하는 열 응력과 웨이퍼 자신의 자중에 의해서 생기는 응력에 의한 슬립(전위)과, 웨이퍼와 홀더(웨이퍼 유지 지그)와의 접촉에 의해서 발생하는 이물질과 스크래치 등의 장해를, 효과적으로 억제하여 실행할 수 있음과 동시에, 상기 홀더상에의 웨이퍼의 탑재 및 상기 홀더상으로부터의 웨이퍼의 취출을 용이하게 실행할 수 있는 반도체 제조 장치에 관한 것이다.
반도체 장치의 형성에 있어서는, 산화, 확산, CVD 등 각종 열 처리가 실행된다. 이러한 열 처리를 실행하기 위한 열 처리 장치로서는 다수의 웨이퍼를 상하 방향에 적당한 간격으로 보트내에 적층하여 배치하여 소요의 열 처리를 실행하는 종형 열 처리 장치가 널리 이용되도록 되었다. 이 종형 열 처리 장치에 있어서는, 상기 다수의 웨이퍼가 내부에 소정의 간격으로 상하 방향에 적층된 보트를 반응관내에 배치하고, 열 처리의 목적에 대응하여 선택된 가스를, 상기 반응관내에 흐르게 하면서 소망 가열 수단을 이용하여 가열을 실행하여, 상기 보트내의 웨이퍼에 소요 열 처리를 실행하는 것이다.
이러한 종형열 처리 장치용으로서 이용되는 종래의 보트는, 도 7에 도시한 바와 같이, 상부판(10), 바닥판(11) 및 이들의 상부판(10)과 바닥판(11)에 의해서 유지된 지주(12)로 구성되어 있다. 지주(12)에는 다수의 홈(13)이 형성되어 있고, 도 8에 도시한 바와 같이, 웨이퍼(4)는 이들 많은 지주(12)에 형성된 상기 홈(13)에 의해서 유지된다. 또, 도 7에 있어서는 지주(12)의 상단부 및 하단부 근방에 형성된 홈만이 도시되고, 다른 부분에 형성된 홈은 도시가 생략되어 있다.
또한, 종래예 1(일본국 특허 공개 공보 제 1997-251961호)에는 상기 지주에 형성한 홈에 경사면과 곡면을 형성하는 것, 및 링형상(환상)의 서셉터 위에 처리해야 할 웨이퍼를 놓는 것이 제안되어 있고, 종래예 2(일본국 특허 공개 공보 제 1994-163440호) 및 종래예 3(일본국 특허 공개 공보 제 1998-50626호)에는 링형상의 홀더의 사용이 제안되어 있다.
그러나, 처리해야 할 웨이퍼를 보트의 지주에 형성한 홈에 의해서 유지하는 경우에는, 도 8에서 분명한 바와 같이, 웨이퍼(4)를 유지하기 위한 지주(12)에 형성된 홈과 웨이퍼(4)와의 접촉 부분이 적기 때문에(도 8의 경우에는 3군데), 열과 웨이퍼(4)의 자중에 의해서 발생한 응력을 완화할 수 없어, 슬립이 발생한다. 또한, 지주(12)에 형성된 홈(13)의 예각 부분이 웨이퍼(4)에 국소적으로 접촉하기 때문에, 열에 의해서 연화된 웨이퍼에 스크래치가 발생했다. 또한, 유지부의 표면 조도(거칠기)가 크기 때문에, 그 볼록부와 웨이퍼와의 접촉에 의해서 스크래치가 발생하게 된다. 상기 홈에 경사면과 곡면을 형성하더라도, 홈과 웨이퍼(4)와의 접촉 부분이 적고, 양자의 접촉 면적도 작기 때문에, 상기 슬립의 발생은 방지할 수 없다.
또한, 상기 링형상의 홀더(서셉터)의 사용에 관해서도, 상기 각 종래예에는, 홀더상에의 웨이퍼의 탑재와 홀더로부터의 웨이퍼의 취출에 관해서는 기재가 없고, 실용화를 위해서는 더욱 검토가 필요하다.
본 발명의 목적은 종래 기술이 갖는 상기 문제를 해결하여, 고온(800℃ 이상)의 열 처리의 프로세스에서도 웨이퍼의 슬립, 이물질 및 스크래치의 발생을 효과적으로 방지할 수 있는 반도체 제조 장치를 제공하는 것에 있다.
본 발명의 다른 목적은 상기 링형상의 홀더상에의 웨이퍼의 탑재와 홀더상으로부터의 웨이퍼의 취출을 매우 용이하고 신속하고 또한 정확히 실행할 수 있는 반도체 제조 장치를 제공하는 것이다.
상기 목적을 달성하기 위한 본 발명의 반도체 제조 장치는, 피 처리 기판을 처리하는 처리실과, 피 처리 기판을 탑재하는 환상의 홀더와, 상기 홀더가 지주에 배치된 보트와, 피 처리 기판을 탑재하는 트위저 판에 있어서, 피 처리 기판의 적어도 외주의 2군데에서 위치 어긋남을 규제하는 위치 결정부를 갖는 트위저 판와, 상기 홀더에는 적어도 상기 트위저 판의 위치 결정부에 대응한 위치에, 상기 트위저 판의 폭보다도 넓은 폭의 오목부를 상면에 갖고, 상기 트위저 판에 탑재된 피 처리 기판을 상기 홀더에, 또는 상기 홀더에 탑재된 피 처리 기판을 상기 트위저 판에 옮기는 경우에는, 상기 홀더의 오목부에 상기 트위저 판이 출입하도록 동작시키는 것이 가능한 탑재 이송기를 구비한 것을 특징으로 하는 반도체 제조 장치이다.
즉, 본 발명에 있어서 이용되는 홀더는 링형상(환상)이고, 이러한 링형상의 홀더가, 보트 지주에 형성한 홈에 빼고 넣음이 가능하게 유지되어, 보트가 구성된다. 그 때문에, 상기 지주에 형성한 홈에 의해서 웨이퍼를 직접 유지한 경우보다, 웨이퍼와 그 아래의 지지부와의 접촉 면적이 훨씬 크고, 지주의 홈에 의해서 웨이퍼를 직접 지지했을 때에 발생하는 상기 문제는 효과적으로 해결된다.
즉, 열 처리시에 있어서의 웨이퍼의 온도 상승 하강 및 열 처리중의 고온에 의해서 웨이퍼는 변형한다. 그러나, 상기한 바와 같은 링형상의 홀더를 이용함으로써, 웨이퍼를 지주의 홈에 의해서 직접 유지하는 경우보다도, 웨이퍼 유지부의 면적이 훨씬 넓어지고, 웨이퍼가 무리없이 유지되기 때문에, 웨이퍼가 변형되더라도, 슬립의 발생은 효과적으로 방지된다. 또한, 홀더가 링형상이기 때문에, 히터로부터의 열을 홀더가 흡수하여, 웨이퍼면내의 온도차가 완화되어, 열 처리의 균일성이 향상된다. 또한, 링의 외경이 웨이퍼보다 큰 쪽이 보다 효과적으로 된다.
도 1 내지 도 3d를 이용하여 본 발명의 일 실시예를 설명한다.
도 3a는 본 발명의 일 실시예에 따른 홀더(1)의 평면도, 도 3b는 도 3a의 홀더(1)의 정면도, 도 3c는 도 3b에 있어서의 D부의 확대도, 도 3d는 도 3a에 있어서의 A-A 선으로 자른 홀더의 일부 단면도이다.
도 3a에 도시한 바와 같이, 본 발명에 있어서 웨이퍼(4)를 유지하기 위한 홀더(1)는 링형상이고, 표면이 높은 부분(두꺼운 부분)(2)과 해당 높은 부분보다 표면이 낮은 오목부(얇은 부분)(3)로 이루어져 있다. 상기 높은 부분(2) 위에는 처리해야 할 웨이퍼(4)가 놓여진다. 오목부(3)는 웨이퍼 탑재 이송기의 트위저 판(도 4a 내지 5에 도시)를 넣고 빼기 위해서 마련된 것으로, 상기 트위저 판의 폭보다 큰 폭과, 오목부(3)내에 트위저 판을 삽입하고, 또한 삽입된 트위저 판을 하강시킬 수 있는 깊이를 갖고 있다. 상기 홀더(1)는 보트가 갖는 보트 지주(5)에 형성된 단부에 삽입되어 보트 지주(5)에 지지된다.
즉, 처리해야 할 웨이퍼를 탑재한 트위저 판(도시되어 있지 않음)를 도 1a에 도시한 화살표방향으로 상기 오목부(3)상을 이동시키면, 상기한 바와 같이 상기 오목부(3)는 트위저 판보다 넓은 폭을 갖고, 트위저 판이 오목부(3)를 통과할 수 있기 때문에, 트위저 판은 홀더(1)내에 들어간다. 트위저 판 상의 웨이퍼(4)가, 홀더(1)와 거의 동심의 위치에 도달했으면, 트위저 판을 정지시키고, 그 위치에서 트위저 판을 하강시킨다. 이와 같이 하면, 홀더(1)의 높은 부분(2)상에 웨이퍼(4)가 탑재되고, 트위저 판과 분리되므로, 이 상태에서 트위저 판을 상기 화살표와는 역의 방향으로 움직이게 하면, 웨이퍼(4)는 높은 부분(2)상에 남아, 트위저 판을 다음 공정으로 제공할 수 있다.
비슷한 방법으로, 홀더(1)의 높은 부분(2)상으로부터 웨이퍼(4)를 꺼내는 경우에는, 우선 트위저 판을 상기 화살표(13) 방향으로 움직여서, 홀더(1)내에 넣은 후, 트위저 판을 상승시킨다. 이와 같이 하면, 웨이퍼(4)는 상기 높은 부분(2) 위로부터 트위저 판 위에 이송되므로, 그 상태에서, 트위저 판을 상기 화살표(13)와는 반대 방향으로 움직여서, 홀더(1)의 외부로 인출하면, 웨이퍼(4)는 홀더(1)의 외부로 이송된다.
이와 같이, 그 위에 웨이퍼(4)를 탑재하는 높은 부분(2)과, 웨이퍼 탑재 이송기의 트위저 판이 통과할 수 있는 치수를 가진 오목부(3)를 갖는 링형상 홀더(1)를 이용함으로써, 홀더(1)상에의 웨이퍼(4)의 탑재 및 홀더(1)상으로부터의 웨이퍼(4)의 취출을 매우 용이하게 실행할 수 있게 되었다.
도 2a와 2b는 도 1b에서 제공된 단부(14)를 확대하여 도시한다(도 1b는 도 1a의 a-a'선으로 자른 개략적인 단면도이다). 도 2a와 2b에 도시한 바와 같이, 상기 높은 부분(2) 각각의 상부 접촉면은 웨이퍼(4)의 중심을 향하도록 테이퍼와 곡면을 형성할 수 있다. 이와 같이 하면, 웨이퍼(4)의 하부와 예각을 갖는 홀더(1)의 가장자리부 사이에 점 또는 선 접촉을 효과적으로 막을 수 있다. 그러므로, 웨이퍼(4)의 하부에 스크래치의 발생을 크게 감소시킬 수 있다.
또한, 홀더(1)의 표면 거칠기를 작게 함으로써, 홀더(1) 표면의 아주 작은 돌기는 극도로 감소하고, 웨이퍼 표면의 스크래치의 발생은 방지되어, 스크래치에 기인하는 슬립도 억제된다. 홀더(1)의 표면 거칠기는 작을수록 바람직하지만, 종래의 약 1/5 내지 1/10 정도[Ra(centerline mean roughness) 0.5 내지 0.1㎛]로 하면, 충분히 바람직한 결과가 얻어진다.
또한, 도 6에 도시된 홀더(1) 및 지주(5)는 SiC(탄화 실리콘)으로 이루어지고, 상기 지주에 형성된 홈에 상기 홀더가 넣고 빼기 가능하게 배치되어 보트(15)가 형성되어 있다. 상기 홀더 및 상기 지주로서는 SiC, 단결정 실리콘 또는 폴리 실리콘을 이용하여 형성할 수 있다. 본 발명에서 이용되는 링형상의 홀더(1)는 도 1a와 1b에서 분명한 바와 같이 높은 부분(2)과 오목부(3)만으로 이루어지는 일체화된 매우 간단한 구조를 갖고 있기 때문에, SiC, 단결정 실리콘 또는 폴리 실리콘을 이용하더라도 매우 용이하게 형성할 수 있다.
SiC, 단결정 실리콘 및 폴리 실리콘은 내열성 및 내약품성이 매우 높고, 1,200 내지 1,300℃에서도 변형되지 않는다고 하는 뛰어난 성질을 갖고 있으며, 홀더와 보트의 재료로서 바람직하지만, 그 반면, 용접 가공이 곤란하다고 하는 문제가 있기 때문에, 복잡한 형상인 것은 제작이 곤란하다. 그러나, 상기한 바와 같이 본 발명에서 이용되는 링형상의 홀더(1)의 형상은 매우 심플하기 때문에, SiC, 단결정 실리콘, 또는 폴리 실리콘에 의해서 형성하고, 보트의 지주에 형성된 홈과 끼워 맞추어, 홀더(1)를 홈에 의해서 유지하는 것은 용이하다. 양자를 비교하면, SiC가 단결정 및 폴리 실리콘보다 내열성 및 내약품성이 뛰어나고, 산화에 대해서도 충분히 안정적이기 때문에, 홀더와 지주의 재질로서 SiC가 가장 바람직하다.
종래에는 홀더를 접속 가능하도록 두개로 분할하여 한쪽을 지주에 용착(熔着)시키고, 그 위에 웨이퍼를 놓은 후, 다른쪽을 상기 한쪽에 접속시킴으로써, 홀더상에 웨이퍼를 탑재하는 방법이 제안되고 있다. 그러나, SiC는 융점이 매우 높기 때문에, 홀더를 SiC로 형성하면, 상기 홀더의 한쪽을 지주의 소정의 위치에, 정확히 용착시키는 것은 곤란하기 때문에 SiC에 의해 홀더를 구성하는 것은 곤란하다. 또한, 용착이 아니라, 홈에의 삽입에 의해서 홀더를 유지하면, 홀더가 이분할되어 있기 때문에 탈락하기 쉽고, 또한 상기 홀더의 한쪽을 좌우 대칭으로 삽입하는 것은 곤란하다. 그러나, 본 발명에 있어서의 홀더(1)는 오목부(3)와 높은 부분(2)은 일체화되어 형성되고, 2분할되어 있지 않기 때문에, 홀더를 상기 홈과 끼워 맞추어 지주에 유지하는 것은 매우 용이하다.
종래 기술과는 달리, 본 발명의 웨이퍼(4)는 긴 원호형상이 높은 부분(2) 위에 유지된다. 그러므로, 홀더(1)와 웨이퍼(4)의 접촉 면적이 훨씬 크고, 열과 웨이퍼의 자중에 의해서 생기는 응력은 효과적으로 방지되어, 슬립의 발생은 훨씬 적다.
또한, 본 발명에 따른 홀더(1)는 트위저 판을 출입시키기 위한 오목부(3)를 갖고 있기 때문에, 상기한 바와 같이 홀더(1)상에의 웨이퍼(4)의 장착 및 홀더(1)상으로부터의 웨이퍼(4)의 이탈은 매우 용이하다.
본 발명에 있어서, 홀더(1)로서는 각종의 치수인 것을 사용할 수 있지만, 본 실시예에서는 하기 치수의 링형상인 홀더(1)를 이용했다. 즉, 도 3a에 도시한 바와 같이, 홀더(1)의 높은 부분(2)의 외경(r1)은 308mm, 내경(r2)은 280mm, 두 개의 높은 부분(2)은 수직축에 대하여 서로 대칭인 위치에 배치되어 있다. 더욱 엄밀하게는, 본 발명의 홀더(1)는 홀더(1)를 수직적으로 양분한 중심선 A-A를 지나는 평면에 대하여 평면 대칭을 한다. 이들 두 개의 높은 부분(2)의 중심점에 대한 각도는 각각 126°(수평축에 대하여 상하 대칭으로 각각의 각도α는 63°)이다. 홀더(1)의 외주 부분중, 보트 지주(5)에 접하는 부분(도 1의 경우에는 4군데)에는 각각 길이(w3)가 55.3mm인 직선 부분을 형성했다. 그 때문에 양 직선부간의 거리는 303mm로 되고, 상기 직경(r1)보다 약간 작다. 이 직선 부분의 하단부간의 거리(r3)는 294mm이고, 이 직선 부분에 의해서 홀더(1)의 보트 지주(5)에의 장착을 매우 확실히 실행할 수 있었다. 또, 상기 보트 지주(5)에 형성된 단부(홈) 내에 홀더(1)를 삽입하여, 상기 보트 지주(5)에 홀더(1)를 접속하기 때문에, 홀더(1)의 높은 부분(2)의 외연부는 도 2에 도시한 바와 같이 얇게 되어 있다. 또, 오목부(3)의 내경은 상기 높은 부분(2)과 동일한 280mm로 했다.
도 3b에 도시한 바와 같이, 상기 높은 부분(2)의 두께(t1)는 8mm, 오목부(3)의 두께(t2)는 2mm로 하여, 오목부(3)의 깊이를 6mm로 했다. 또, 도 3c에 도시한 바와 같이, 높은 부분(2)에 접하는 부분의 근방에 있어서의 오목부(3)의 두께(t3)는 3mm로 했다.
오목부(3)의 횡폭(w1)은 대략 127mm로 했다. 상기 두께가 2mm인 부분의 폭(w2)은 120mm로 하고, 이 부분을 트위저 판이 출입하도록 했다. 트위저 판의 폭은 105mm, 두께 2mm 정도이기 때문에, 상기 깊이가 6mm(두께 2mm)인 부분상을 지장없이 출입시킬 수 있다. 또한, 오목부(3) 위에서 트위저 판을 상하로 움직이게 하여, 트위저 판 위의 웨이퍼(4)를 높은 부분(2) 위에 남기거나, 높은 부분(2)상의 웨이퍼(4)를 트위저 판상에 이송하는 것도 용이하였다. 홀더(1)의 재질로서는 SiC을 사용하고, 또한 CVD에 의해서 SiC를 표면상에 두께 약 60㎛ 퇴적시켰다. 또한, 홀더(1)의 각부의 표면의 평탄도는 ±0.05mm 정도로 했다. 상기 홀더(1)의 높은 부분이 웨이퍼(4)와 접하는 부분은 도 2a 및 도 2b에 도시한 바와 같이 곡면 또는 경사면으로 했다.
제 2 실시예에 있어서, 도 3e에 도시된 바와 같이, 단일 오목부(3')와 높은 부분(2')은 홀더(1') 내에 형성되고, 이러한 경우에 도 5에서와 같이 트위저 판(6)의 끝에 위치 결정부(8)을 포함하는 단부(8")가 웨이퍼(4)가 단일의 연속적인 높은 부분(2') 위에 적절히 탑재될 수 있도록 제거되어야 한다.
다음에, 이러한 홀더(1)상에의 웨이퍼(4)의 장착 및 그 후에 실행되는 열 처리에 대하여, 도 4a, 4b 및 도 9를 이용하여 설명한다. 우선, 도 4a에 도시한 바와 같이, 카세트(7)내의 복수의 웨이퍼(4)를, 탑재 이송기(9)의 트위저 판(6)상에 탑재하여 카세트(7)로부터 인출한다. 다음에, 도 4b에 도시한 바와 같이, 탑재 이송기(9)를 회전시켜 웨이퍼(4)를 보트(15)내의 홀더(1)를 향하고, 또한 도 4c에 도시한 바와 같이 탑재 이송기(9)를 직진시켜, 보트(15)내의 상기 홀더(1) 위의 위치에 웨이퍼(4)를 이송한다. 그 후, 상기한 바와 같이 트위저 판(6)을 내려서 웨이퍼(4)를 홀더(1)의 높은 부분 위에 이송한 후, 탑재 이송기(9)를 상기 직진과는 반대방향으로 이동시켜, 트위저 판(6)을 보트(15)로부터 인출하여 분리한다. 이 공정을 반복함으로써, 다수의 웨이퍼(4)를 카세트(7)로부터 보트(15)내의 홀더(1) 위에 이송하여, 소정의 열 처리를 할 수 있었다.
상기 카세트(7)내에서 꺼낸 웨이퍼(4)가 탑재 이송기(9)에 의해서 보트(15)내로 이송된 후, 도 9에 도시한 바와 같이 보트(15)를 가스 반응관(19)내에 이송하여, 소정의 열 처리가 실행된다. 가스 반응관(19)은 가스 반응관(19)내의 온도 분포를 향상시키기 위한 균열관(17)내에 배치되어 있고, 균열관(17)의 외측에는 가열 수단(16)이 배치되어, 가스 반응관(19)내에 삽입된 웨이퍼(1)를 소정의 온도로 처리한다. 상기 가스 반응관(19)은 가스 공급부(18)를 갖고 있고, 가스 반응관(19)내를 소정의 가스 분위기로 유지한다.
열 처리 종료후, 상기 보트(15)를 가스 반응관(19)으로부터 꺼내어, 또한 홀더(1)상으로부터 웨이퍼(4)를 인출하여, 다음 처리 공정에 제공된다. 홀더(1)상으로부터 웨이퍼(4)를 카세트(7)에 이송하기 위해서는, 상기 웨이퍼(4)를 삽입하는 경우와는 반대 순서로 처리를 하면 무방하다는 것은 말할 필요도 없다.
상기 웨이퍼 탑재 이송기(9)가 갖는 트위저 판(6)의 일례를 도 5에 도시하였다. 도 3a에 도시된 홀더(1)를 위해 사용된 본 발명의 제 1 실시예에 따른 트위저 판(6)는 웨이퍼(4)의 위치를 규정하기 위한 두개의 위치 결정부(규제부)(8, 8')를 갖고 있고, 이들 두개의 위치 결정부(8, 8') 사이에 웨이퍼(4)가 놓여진다. 두개의 위치 결정부(8, 8')는 웨이퍼(4)가 놓여지는 부분보다 높게 되어 있고, 웨이퍼(4)는 양 위치 결정부(8, 8') 사이의 낮은 부분 위에 놓여진다. 또한, 한쪽 위치 결정부(8')의 벽면[웨이퍼(4)가 놓여지는 부분과의 경계]은 도 5에 도시한 바와 같이 원호형상으로 되어 있기 때문에, 두개의 위치 결정부(8, 8') 사이의 낮은 부분 위에 웨이퍼(4)를 놓고, 트위저 판(6) 위의 소정의 위치에 탑재하는 것은 매우 용이하다.
본 발명에 있어서 형성된 보트(15)의 외관의 일례를 도 6에 도시하였다. 다수의 상기 링형상의 홀더(1)가, 보트 지주(5)에 형성된 다수의 단부에 의해서 각각 유지되어 있다. 이들 다수의 홀더(1) 위에 상기 설명한 바와 같이 각각 웨이퍼가 놓여진다. 또, 도 6에 있어서 부호(10, 11)는 각각 지주(5)를 고정하기 위한 상부판 및 바닥판을 나타낸다.
본 실시예에 도시한 홀더를 이용하여, 직경 30cm의 실리콘 웨이퍼에 대하여 800℃의 열 처리를 지장없이 실행할 수 있었다.
이상의 설명으로부터 분명한 바와 같이 본 발명에 따르면 링형상의 홀더의 표면이 높은 원호형상의 부분 위에 웨이퍼가 놓여져서, 소망 열 처리가 실행된다. 그 때문에, 지주에 형성한 홈에 의해서 웨이퍼를 지지한 경우보다도, 고온도의 열 처리와 웨이퍼 자체의 중량에 의해서 생기는 열 응력은 대폭 완화되어 슬립의 발생은 감소하고, 또한 웨이퍼와 홀더의 접촉에 의한 이물질과 스크래치의 발생도 현저히 감소하여, 제품 수율이 향상된다.
또한, 링형상의 홀더에, 웨이퍼가 그 위에 놓여지는 높은 부분과, 이 높은 부분에 인접하여 탑재 이송기의 트위저 판이 출입할 수 있는 오목부를 형성하고, 이 오목부상에 트위저 판을 출입시킴으로써, 홀더상에의 웨이퍼의 탑재 및 홀더로부터의 웨이퍼의 취출을 실행하고 있기 때문에, 웨이퍼의 탑재의 취출을 매우 용이하게 실행할 수 있다.
또한, 링형상의 홀더의 상기 높은 부분과 오목부는 일체화하여 형성되어 있고, 구조가 매우 간단하기 때문에, 내열성과 내약품성이 뛰어난 SiC를 재질에 이용하여 용이하게 형성할 수 있고, 보트 지주의 단부에 매우 용이하고 확실하게 끼워 맞추어 유지할 수 있다.
도 1a와 1b는 본 발명의 제 1 실시예에 따른 링형상 홀더의 평면도와 정면도이다.
도 2a와 2b는 도 1b의 단부의 부분적인 확대도이다.
도 3a 내지 3d는 본 발명의 제 1 실시예에 따른 링형상 홀더의 구조를 설명하기 위한 도면이다.
도 3e는 본 발명의 제 2 실시예에 따른 평면도이다.
도 4a와 4b는 본 발명에 있어서의 카세트로부터 보트 내로의 웨이퍼 이송 순서를 설명하기 위한 도면이다.
도 5는 본 발명의 제 1 실시예에 따른 트위저 판을 도시하는 도면이다.
도 6은 본 발명에 있어서의 보트의 일례를 도시하는 도면이다.
도 7은 종래의 보트의 측면도이다.
도 8은 웨이퍼를 지지하는 종래의 지주의 평면도이다.
도 9는 본 발명에 따른 링형상의 홀더를 이용한 열 처리 장치를 설명하기 위한 도면이다.
도면의 주요 부분에 대한 부호의 설명
1 : 홀더 2 : 높은 부분
3 : 오목부 4 : 웨이퍼
5 : 보트 지주 6 : 트위저 판
7 : 카세트 8, 8' : 위치 결정부
9 : 탑재 이송기 10 : 상부판
11 : 바닥판 12 : 지주
13 : 화살표 14 : 단부
15 : 보트 16 : 가열 수단
17 : 균열관 18 : 가스 공급부
19 : 가스 반응관 r1 : 두꺼운 부분의 외경
r2 : 홀더의 내경 r3 : 직선 부분간의 하단부간의 거리
w1 : 오목부의 폭 w2 : 두께 2mm의 오목부의 폭
w3 : 직선 부분의 길이 t1 : 두꺼운 부분의 두께
t2 : 두께 2mm의 오목부의 깊이 t3 : 두께 3mm의 오목부의 깊이

Claims (13)

  1. 반도체 제조 장치에 있어서,
    반응관과,
    상기 반응관의 외측에 배치된 가열수단과,
    상기 반응관 내에서 기판을 지지하는 홀더와,
    상기 기판을 상기 홀더에 탑재하기 위한 탑재 이송기를 포함하며,
    상기 홀더는 하나 이상의 링형상의 홀더이며, 상기 각 홀더에는 기판이 홀더 위에 탑재될 때 상기 기판의 주위를 지지하기 위한 적어도 2개의 원호상의 주요부와, 상기 주요부의 단부를 서로 연결하기 위한 적어도 2개의 오목부가 교대로 배치되고,
    상기 2개의 오목부는 상기 탑재 이송기의 트위저 판의 폭 보다 큰 폭을 가지며, 상기 오목부는 상기 홀더의 상기 주요부와 높이차를 가지고, 상기 높이차는 상기 기판이 상기 홀더 위에 탑재된 후에 상기 트위저 판이 각각의 홀더로부터 빠지도록 하며,
    상기 기판은 상기 홀더 위에 탑재되는 상태로 상기 반응관 내에서 상기 가열수단에 의해 가열되어 열처리되는
    반도체 제조 장치.
  2. 제 1 항에 있어서,
    상기 반도체 제조 장치는 상기 홀더가 수직적으로 적층된 다수의 지주를 갖는 보트를 더 포함하는
    반도체 제조 장치.
  3. 제 2 항에 있어서,
    상기 홀더 및 상기 지주는 SiC로 이루어지는
    반도체 제조 장치.
  4. 제 2 항에 있어서,
    상기 홀더는 상기 지주 내에 형성된 홈 내에 삽입됨으로써 상기 보트 위에 적층되는
    반도체 제조 장치.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 제 1 항에 있어서,
    각 홀더는 상기 홀더의 중심점을 지나는 평면에 대하여 평면 대칭하는
    반도체 제조 장치.
  10. 제 1 항에 있어서,
    상기 반응관은 그 내부의 온도 분포를 향상시키기 위한 균열관 내에 배치되어 있고,균열관의 외측에 상기 가열수단이 배치되는
    반도체 제조 장치.
  11. 반도체 제조 장치용 보트에 있어서,
    다수의 지주와,
    상기 각 지주에 설치된 다수의 홈과,
    상기 다수의 홈에 의해 지지되는 홀더를 포함하며,
    상기 홀더는 하나 이상의 링형상의 홀더이며, 상기 각 홀더에는 기판이 홀더 위에 탑재될 때 상기 기판의 주위를 지지하기 위한 적어도 2개의 원호상의 주요부와, 상기 주요부의 단부를 서로 연결하기 위한 적어도 2개의 오목부가 교대로 배치되고,
    상기 2개의 오목부는 상기 기판을 상기 홀더에 탑재하기 위한 탑재 이송기의 트위저 판의 폭 보다 큰 폭을 가지며, 상기 오목부는 상기 홀더의 상기 주요부와 높이차를 가지고, 상기 높이차는 상기 기판이 상기 홀더 위에 탑재된 후에 상기 트위저 판이 각각의 홀더로부터 빠지도록 하는
    반도체 제조 장치.
  12. 반도체 제조 장치용 홀더에 있어서,
    상기 홀더는 하나 이상의 링형상의 홀더이며, 상기 각 홀더에는 기판이 홀더 위에 탑재될 때 상기 기판의 주위를 지지하기 위한 적어도 2개의 원호상의 주요부와, 상기 주요부의 단부를 서로 연결하기 위한 적어도 2개의 오목부가 교대로 배치되고,
    상기 2개의 오목부는 상기 기판을 상기 홀더에 탑재하기 위한 탑재 이송기의 트위저 판의 폭 보다 큰 폭을 가지며, 상기 오목부는 상기 홀더의 상기 주요부와 높이차를 가지고, 상기 높이차는 상기 기판이 상기 홀더 위에 탑재된 후에 상기 트위저 판이 각각의 홀더로부터 빠지도록 하는
    반도체 제조 장치용 홀더.
  13. 반도체 제조 장치에 있어서,
    반응관과,
    상기 반응관의 외측에 배치된 가열수단과,
    상기 반응관 내에서 기판을 지지하는 홀더와,
    상기 기판을 상기 홀더에 탑재하기 위한 탑재 이송기를 포함하며,
    상기 홀더는 하나 이상의 링형상의 홀더이며, 상기 각 홀더에는 기판이 홀더 위에 탑재될 때 상기 기판의 주위를 지지하기 위한 적어도 하나의 원호상의 주요부와, 상기 주요부의 단부를 서로 연결하기 위한 적어도 하나의 오목부가 교대로 배치되고,
    상기 오목부는 상기 탑재 이송기의 트위저 판의 폭 보다 큰 폭을 가지며, 상기 오목부는 상기 홀더의 상기 주요부와 높이차를 가지고, 상기 높이차는 상기 기판이 상기 홀더 위에 탑재된 후에 상기 트위저 판이 각각의 홀더로부터 빠지도록 하며,
    상기 기판은 상기 홀더 위에 탑재되는 상태로 상기 반응관 내에서 상기 가열수단에 의해 가열되어 열처리되는
    반도체 제조 장치.
KR10-2003-0003353A 2002-01-17 2003-01-17 반도체 제조 장치 KR100530407B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002008923 2002-01-17
JPJP-P-2002-00008923 2002-01-17

Publications (2)

Publication Number Publication Date
KR20030063194A KR20030063194A (ko) 2003-07-28
KR100530407B1 true KR100530407B1 (ko) 2005-11-22

Family

ID=27647057

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0003353A KR100530407B1 (ko) 2002-01-17 2003-01-17 반도체 제조 장치

Country Status (3)

Country Link
US (1) US7077913B2 (ko)
JP (1) JP2009170933A (ko)
KR (1) KR100530407B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101287656B1 (ko) * 2008-09-05 2013-07-24 도쿄엘렉트론가부시키가이샤 종형 열처리 장치 및 기판 지지구

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4534619B2 (ja) * 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
JP5205738B2 (ja) * 2006-10-16 2013-06-05 株式会社Sumco シリコンウェーハの支持方法、熱処理治具および熱処理ウェーハ
US7900579B2 (en) 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
US8042697B2 (en) * 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
JP2011258890A (ja) * 2010-06-11 2011-12-22 Toshiba Corp 薄膜形成装置、方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9153466B2 (en) * 2012-04-26 2015-10-06 Asm Ip Holding B.V. Wafer boat
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113345822B (zh) * 2021-07-16 2023-12-01 江苏天芯微半导体设备有限公司 批处理用晶圆支撑架和加载互锁真空室
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6266527A (ja) 1985-09-18 1987-03-26 松下電工株式会社 リレ−
JP3058901B2 (ja) 1990-09-26 2000-07-04 東京エレクトロン株式会社 熱処理装置
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
JPH06163440A (ja) 1992-11-16 1994-06-10 Shin Etsu Chem Co Ltd 半導体縦型拡散炉用治具
JPH07326579A (ja) * 1994-05-30 1995-12-12 Kokusai Electric Co Ltd 縦型反応炉
WO1997032339A1 (fr) * 1996-02-29 1997-09-04 Tokyo Electron Limited Nacelle de traitement thermique pour plaquette de semi-conducteur
JP3388668B2 (ja) 1996-02-29 2003-03-24 東京エレクトロン株式会社 熱処理用ボ−ト及び縦型熱処理装置
JPH09251961A (ja) 1996-03-15 1997-09-22 Toshiba Corp 熱処理用ボート
JP3469000B2 (ja) 1996-08-02 2003-11-25 三井造船株式会社 縦型ウエハ支持装置
JPH10284429A (ja) * 1997-03-31 1998-10-23 Sumitomo Sitix Corp ウェーハ支持装置
JPH10321543A (ja) * 1997-05-20 1998-12-04 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
US6576064B2 (en) * 1997-07-10 2003-06-10 Sandia Corporation Support apparatus for semiconductor wafer processing
JP4169813B2 (ja) 1997-07-22 2008-10-22 株式会社日立国際電気 半導体製造装置及びボート及び半導体製造方法
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
KR100424428B1 (ko) * 1998-09-28 2004-03-24 가부시키가이샤 히타치세이사쿠쇼 종형로 및 종형로용 웨이퍼보트
JP2001102318A (ja) * 1999-09-30 2001-04-13 Toshiba Ceramics Co Ltd 熱処理用ウェーハボート
EP1091391A1 (de) * 1999-10-05 2001-04-11 SICO Produktions- und Handelsges.m.b.H. Haltevorrichtung für Halbleiterscheiben
JP4590162B2 (ja) * 2002-01-17 2010-12-01 株式会社日立国際電気 基板ホルダ、積層ボート、半導体製造装置および半導体装置の製造方

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101287656B1 (ko) * 2008-09-05 2013-07-24 도쿄엘렉트론가부시키가이샤 종형 열처리 장치 및 기판 지지구
US8940096B2 (en) 2008-09-05 2015-01-27 Tokyo Electron Limited Vertical thermal processing apparatus and substrate supporter

Also Published As

Publication number Publication date
US7077913B2 (en) 2006-07-18
KR20030063194A (ko) 2003-07-28
US20030150386A1 (en) 2003-08-14
JP2009170933A (ja) 2009-07-30

Similar Documents

Publication Publication Date Title
KR100530407B1 (ko) 반도체 제조 장치
US7484958B2 (en) Vertical boat for heat treatment and method for producing the same
JP3245246B2 (ja) 熱処理装置
EP1177571B1 (en) Method for transferring wafers and ring
KR100427916B1 (ko) 웨이퍼 보유 지지구
US8323411B2 (en) Semiconductor workpiece apparatus
KR100852975B1 (ko) 열처리 장치 및 기판의 제조 방법
US20080041798A1 (en) Wafer Platform
US20100107974A1 (en) Substrate holder with varying density
WO2001041962A2 (en) Non-contact workpiece holder
KR100868399B1 (ko) 열 처리용 보트 및 종형 열 처리 장치
CN211045385U (zh) 基座
WO2004112113A1 (ja) 半導体ウエーハの熱処理方法及び熱処理用縦型ボート
JP2005183908A (ja) 半導体製造装置及びこれを利用した半導体基板の薄膜形成方法
JPH09237781A (ja) 熱処理用ボ−ト
KR20010062144A (ko) 열처리용 기판 보유 지지구, 기판 열처리 장치 및 기판의열처리 방법
JP4590162B2 (ja) 基板ホルダ、積層ボート、半導体製造装置および半導体装置の製造方
US20030157453A1 (en) Boat for heat treatment and vertical heat treatment apparatus
JPH0950967A (ja) 被処理体の支持ボート
JP2006108677A (ja) 半導体製造装置
JP3005976B2 (ja) 半導体ウェーハ支持装置
US20110146578A1 (en) Substrate processing apparatus
JP2004241545A (ja) 縦型熱処理用ボート及び半導体ウエーハの熱処理方法
KR20230062184A (ko) 웨이퍼 보트
JP2000124143A (ja) 熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131022

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141021

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161020

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20181101

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 15