KR20010077813A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20010077813A
KR20010077813A KR1020000020713A KR20000020713A KR20010077813A KR 20010077813 A KR20010077813 A KR 20010077813A KR 1020000020713 A KR1020000020713 A KR 1020000020713A KR 20000020713 A KR20000020713 A KR 20000020713A KR 20010077813 A KR20010077813 A KR 20010077813A
Authority
KR
South Korea
Prior art keywords
insulating film
opening
forming
porous
film
Prior art date
Application number
KR1020000020713A
Other languages
English (en)
Other versions
KR100369511B1 (ko
Inventor
스즈끼도모미
이까꾸라히로시
마에다가즈오
시오야요시미
오히라고이찌
Original Assignee
무라세 하루오
캐논 한바이 가부시끼가이샤
마에다 가즈오
가부시끼가이샤 한도따이 프로세스 켄큐쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 무라세 하루오, 캐논 한바이 가부시끼가이샤, 마에다 가즈오, 가부시끼가이샤 한도따이 프로세스 켄큐쇼 filed Critical 무라세 하루오
Publication of KR20010077813A publication Critical patent/KR20010077813A/ko
Application granted granted Critical
Publication of KR100369511B1 publication Critical patent/KR100369511B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 저유전율을 갖는 층간 절연막에 비아 홀 혹은 콘택트 홀을 형성하는 반도체 장치의 제조 방법에 관한 것이다. 이 구성은 기판(1) 상에 질소 함유 절연막으로 되는 하지 절연막(2)을 형성하는 공정과, 하지 절연막(2) 상에 다공질 절연막(3)을 형성하는 공정과, 하지 절연막(2)과 다공질 절연막(3)에 개구부(7a)를 형성하는 공정과, 다공질 절연막(3)의 표면 및 개구부(7a)의 내면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 다공질 절연막(3)의 표면 및 개구부(7a)의 측벽에 질소 함유 절연막(4,4a)을 형성하는 공정을 갖는 것을 특징으로 하고 있다.

Description

반도체 장치의 제조 방법{THE METHOD OF PRODUCING FOR SEMICONDUCTOR DEVICE}
본 발명은 저유전율을 갖는 층간 절연막에 비아 홀 혹은 콘택트 홀을 형성하는 반도체 장치의 제조 방법에 관한 것이다.
근년, 반도체 집적 회로 장치의 고집적도화, 고밀도화와 함께, 데이터 전송 속도의 고속화가 요구되고 있다. 이 때문에, 배선 재료에 관해서, 종래의 알루미늄(Al) 으로부터 보다 저저항의 동(Cu)으로 바뀌고 있다.
또한 이 배선을 둘러싸는 층간 절연막에 관해서는 종래의 SiO2막(비유전율4.0)으로부터 비유전율이 낮은 것이 요구되게 되어 왔다. 예를 들면, 다공질의 SiO2막은 비유전율이 2.0 이하까지 형성할 수 있게 되어 있다.
그렇지만, 종래의 성막 방법에 의해 작성된 다공질의 SiO2막은 다공질이기 때문에, 공기 중에 방치한 경우에 수분을 흡수하고, 또는 성막 후에 수세 처리 처리한 경우에 수분을 흡수하여 유전율이 증가되는 문제가 있다.
특히, 콘택트 홀이나 비아 홀을 형성한 경우, 콘택트 홀 등의 개구부의 측벽으로부터도 수분이 흡수되는 일이 있다.
또, 수분이 층간 절연막을 투과하여 하부 배선층을 부식시키는 문제가 있다.
본 발명은 저유전율을 갖는 층간 절연막을 형성하는 동시에, 층간 절연막에 비아 홀이나 콘택트 홀을 형성했을 때에도 층간 절연막의 수분 흡수를 억제하여 층간 절연막의 유전율의 증대를 방지할 수 있는 반도체 장치의 제조 방법을 제공하는 것을 목적으로 하는 것이다.
도1a 내지 1g는 본 발명의 제1 실시예인 반도체 장치의 제조 방법을 나타내는 단면도이다.
도2a 내지 2f는 본 발명의 제2 실시예인 반도체 장치의 제조 방법을 나타내는 단면도이다.
도3은 본 발명의 제3 실시예인 반도체 장치의 제조 방법을 나타내는 단면도이다.
본 발명의 반도체 장치의 제조 방법에서는 질소 함유 절연막으로 되는 하지 절연막, 혹은 SiOC 함유 절연막, SiOCH 함유 절연막, SiOCHN 함유 절연막 중 어느 하나로 되는 하지 절연막 위에 다공질 절연막이 형성된 다층 구조를 갖는 층간 절연막을 형성하고, 이 층간 절연막에 개구부를 형성하고 있다.
다공질 절연막은 저유전율이기 때문에 층간 절연막에 최적이지만, 반면에 수분 투과성이 높기 때문에, 배선 등의 위에 바로 형성한 경우, 배선의 부식이 일어나기 쉽다. 본 발명에서는 다공질 절연막의 밑에 질소 함유 절연막으로 되는 하지 절연막, 혹은 SiOC 함유 절연막, SiOCH 함유 절연막, SiOCHN 함유 절연막 중 어느 하나로 되는 하지 절연막을 부설하고 있다. 따라서, 이 하지 절연막에 의해서 수분 투과가 억제되므로, 배선 등의 부식을 방지할 수 있다.
또한 다공질 절연막에 개구부를 형성하는 공정 후, 노출면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 다공질 절연막의 표면 및 개구부의 내면(특히 측벽)에 질소 함유 절연막을 더 형성하고 있다.
이에 따라서, 층간 절연막의 표면 전체가 질소 함유 절연막에 의해 덮혀지기 때문에, 층간 절연막으로의 수분 투과를 보다 한 층 억제할 수 있다.
또, 다공질 절연막에 개구부를 형성하는 공정 후, 개구부를 CxHy의 가스의 플라즈마에 노출하고 있다. 이에 따라서, 개구부 내벽을 포함하는 다공질 절연막의 표면에는 CxHy, 예를 들면 CH3를 포함한 하이드로 카본층으로 되는 커버 절연막을 형성할 수 있기 때문에, 내습성의 향상을 도모할 수 있다.
실시예
이하에, 본 발명의 실시예에 대해서 도면을 참조하면서 설명한다.
(제1 실시예)
도1a 내지 1g는 본 발명의 제1 실시예에 관한 반도체 장치의 제조 방법을 나타내는 단면도이다.
먼저, 감압 가능한 챔버내에 실리콘 기판(1)을 반입하고, 평행 평판형 전극 중 하부 전극을 겸하고 있는 기판 유지대 위에 실리콘 기판(1)을 실어서 300℃로 가열한다. 이 온도를 유지한 상태에서, 유량 약 50SCCM의 SiH4와, 유량 약 250SCCM의 NH3과의 혼합 가스를 도입하고, 챔버내의 가스 압력을 0.5Torr로 한다.
그 다음에, 실리콘 기판(1)이 유지되어 있는 하부 전극에 주파수 400kHz의 전력100W를 인가하는 동시에, 하부 전극에 대향하는 상부 전극에 주파수 13.56MHz의 전력50W를 인가한다. 이에 의해서, SiH4와 NH3의 혼합 가스는 플라즈마화 된다. 이 상태를 유지하여, 도1a에 나타내는 바와 같이, 플라즈마 CVD법에 의해 실리콘 기판(1) 위에 SiN막(질소 함유 절연막)(2)을 형성한다. SiN막(2)이란 실리콘(Si)과 질소(N)만을 함유하는 절연막을 말한다.
또한, SiN막(2) 대신에 SiON막을 사용할 수 있지만, SiON막을 형성하는 경우, SiH4과 NH3의 혼합 가스에 더욱 N2O 가스를 첨가한다. N2O가스의 유량은 예를 들면, SiH4의 유량 약 50SCCM, 또 NH3의 유량 약 250SCCM의 경우, 20SCCM으로 한다.
그 다음에, 실리콘 기판(1)을 300℃로 가열한 상태에서, 유량 약 50SCCM의(CH3)3SiOSi(CH3)3과, 유량 약 25SCCM의 O2의 혼합 가스를 도입하고, 가스 압력을 2Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력 100W를 인가하는 동시에, 상부 전극에 주파수 13.56MHz의 전력 50W를 인가한다. 이에의해서,(CH3)3SiOSi(CH3)3과 O2의 혼합 가스는 플라즈마화 된다. 이 상태를 유지하여, 도1b에 나타내는 바와 같이, 플라즈마 CVD법에 의해 SiN막(2) 위에 막 두께 약 400nm의 SiOCH막(3)을 형성한다. SiOCH막(3)이란 실리콘(Si)과, 산소(O)와, 탄소(C)와, 수소(H)만를 함유하는 절연막을 말한다.
다음에, 실리콘 기판(1)을 400℃로 가열한 상태에서, 유량 약 25SCCM의 O2를 도입하고, 가스 압력을 0.4Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력400W를 인가한다. 이에 따라서, O2는 플라즈마화 된다. 이 상태를 유지하면, SiOCH막(3) 중의 탄소와 외래의 산소가 반응하여 SiOCH막(3) 중에 공공(空孔,기포)이 형성되어, 다수의 공공을 갖는 SiOCH막(3)이 형성된다. 이후, 다수의 공공을 갖는 SiOCH막을 다공질 SiOCH막이라 하는 경우가 있다.
그 다음에, 실리콘 기판(1)을 400℃로 가열한 상태에서, 유량 약 25SCCM의 NH3을 도입하고, 가스 압력을 0.4Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력400W를 인가한다. 이에 의해서, 도1c에 나타내는 바와 같이 NH3는 플라즈마화 된다. 이 상태를 유지하여 SiOCH막(3)의 표층에 질소 함유 절연막(커버 절연막)(4)을 형성한다. SiN막(2)과 SiOCH막(3)과 질소 함유 절연막(4)은 전체로서 층간 절연막(3a)을 형성한다. 이후, 다공질 SiOCH막을 함유하는 층간 절연막을 다공질 층간 절연막이라 하는 경우가 있다.
다음에, 다공질 층간 절연막(3a) 위에 포토레지스트막(5)을 형성한 후, 패터닝하여 층간 절연막(3a)의 콘택트 홀을 형성해야할 영역에 포토레지스트막(5)의 개구부(6)를 형성한다.
계속해서, 도1d에 나타내는 바와 같이, CF4와 CHF3와 O2의 혼합 가스를 사용한 플라즈마 에칭법에 의해서, 포토레지스트막(5)의 개구부(6)를 통하여 다공질 층간 절연막(3a) 중 질소 함유 절연막(4)과 다공질 SiOCH막(3)에 개구부(7a)를 형성한다.
그 다음에, 도1e에 나타내는 바와 같이 O2를 사용하여 애싱하여 포토레지스트막(5)을 제거하고, 또한 약품 처리에 의해 포토레지스트막(5)의 잔사를 더 제거한다.
다음에, 도1f에 나타내는 바와 같이, 유량 약 400SCCM의 NH3을 도입하고, 가스 압력을 0.2Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력300W를 인가한다. 이에 의해서, NH3은 플라즈마화 된다. 이 상태를 유지하여, 도1f에 나타내는 바와 같이, 개구부(7a)의 내벽을 포함하는 층간 절연막(3a)의 표층에 질소 함유 절연막(커버 절연막)(4a)을 형성한다. 또한, NH3대신에 N2를 사용하여, 같은 조건에서 질소 함유 절연막(4a)을 형성할 수도 있다.
그 다음에, 도1g에 나타내는 바와 같이 Cl2와 O2와 CF4와의 혼합 가스를 사용한 이방성 에칭에 의해서, 개구부(7a)의 저부에 노출하는 SiN막(2)을 에칭하여 제거하여, 개구부(7b)를 형성한다. 이에 의해서, 새로운 개구부(7)의 저부에 실리콘 기판(1)이 노출된다.
그 후, 도시하지 않는 배선용 금속막을 형성하고 패터닝하여, 상부 배선층을 형성한다.
이상 같이 본 발명의 제1 실시예에 의하면, 다공질 SiOCH막(3)의 상하를 각각 질소 함유 절연막(4)과 SiN막으로 되는 하지 절연막(2d)으로 사이에 끼운 다공질 층간 절연막(3a)을 형성하고, 이 다공질 층간 절연막(3a)에 개구부(7)를 형성하고 있다.
즉, 다공질 SiOCH막(3) 밑에 SiN막으로 되는 하지 절연막(2)을 부설하고 있다. 따라서, 이 하지 절연막(2)에 의해 수분 투과가 억제되므로, 다공질 층간 절연막(3a) 하부로의 수분의 침입을 방지하여, 예를 들면 하부 배선 등의 부식을 방지할 수 있다.
또한 도1e에 나타내는 바와 같이, 다공질 층간 절연막(3a)에 개구부(7a)를 형성하는 공정 후, 노출면을 암모니아 가스의 플라즈마에 접촉시켜, 다공질 층간 절연막(3a)의 표면 및 개구부(7a)의 측벽에 각각 SiN막(4,4a)을 형성하고 있다.
이에 따라서, 개구부(7a)를 포함하는 다공질 층간 절연막(3a)의 표면 전체가 SiN막(4,4a)에 의해 덮혀지기 때문에, 다공질 층간 절연막(3a) 및 그 하부로의 수분 침입을 보다 한 층 억제할 수 있다.
이상과 같이 다공질 층간 절연막(3a)의 내습성의 향상을 도모할 수 있으므로, 저유전율 특성을 손상하는 일없이, 상하의 배선-전극 등 사이의 양호한 콘택트 저항을 얻을 수 있다. 따라서, 고속 로직 반도체 집적 회로에서 다공질 층간 절연막(3a)으로의 콘택트 홀의 형성 방법으로서 유효하고, 층간 절연막의 저유전율화에의한 고속화에 대한 효과는 현저하다.
(제2 실시예)
도2a 내지 2f는 본 발명의 제2 실시예에 관한 반도체 장치의 제조 방법을 나타내는 단면도이다.
제1 실시예와 다른 점은 하지 절연막(12)으로서 SiN막 대신에 SiOCH막을 사용하고 있는 점, 및 개구부(16a)의 내벽을 포함하는 다공질 층간 절연막(13)의 표층에 CxHy, 예를 들면 CH3을 포함한 하이드로 카본층(커버 절연막)(17)을 형성하고 있는 점이다. 이하에, 그 제조 방법에 대해서 설명한다.
먼저, 감압 가능한 챔버내에 웨이퍼(11)를 반입하고, 평행 평판형 전극 중 하부 전극을 겸하고 있는 기판 유지대 위에 웨이퍼(11)를 실어서 300℃로 가열한다. 웨이퍼(11) 표면에는 실리콘 기판이 노출되게 한다.
이 기판 가열 온도를 유지한 상태에서, 유량 약 50SCCM의 (CH3)3SiOSi(CH3)3과, 유량 약 25SCCM의 O2의 혼합 가스를 도입하고, 가스 압력을 2Torr로 한다.
다음에, 하부 전극에 주파수 400kHz의 전력 100W를 인가하는 동시에, 하부 전극에 대향하는 상부 전극에 주파수 13.56MHz의 전력50W를 인가한다. 이에 의해서, (CH3)3SiOSi(CH3)3과 O2는 플라즈마화 된다. 이 상태를 유지하여, 도2a에 나타내는 바와 같이, 플라즈마 CVD법에 의해 웨이퍼(11) 표면의 실리콘 기판 상에 막 두께 약20nm의 SiOCH막(12)을 형성한다. SiOCH막(12)이란 실리콘(Si)과, 산소(O)와, 탄소(C)와, 수소(H)만을 포함하는 절연막을 말한다.
그 다음에, 웨이퍼(11)를 온도 300℃로 가열한 상태에서, 유량 약 50SCCM의 (CH3)3SiOSi(CH3)3과, 유량 약25SCCM의 O2의 혼합 가스를 도입하고, 가스 압력을 2Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력 100W를 인가하는 동시에, 하부 전극에 대향하는 상부 전극에 주파수 13.56MHz의 전력 50W를 인가한다. 이에 의해서, (CH3)3SiOSi(CH3)3과 O2의 혼합 가스는 플라즈마화 된다. 이 상태를 유지하여, 도2b에 나타내는 바와 같이, 플라즈마 CVD법에 의해 SiOCH막(12) 위에 막 두께 약 400nm의 SiOCH막(13)을 형성한다.
다음에, SiOCH막(13) 상에 포토레지스트막(14)을 형성한 후, 포토레지스트막(14)을 패터닝하여 SiOCH막(13)의 콘택트 홀을 형성해야할 영역에 포토레지스트막(14)의 개구부(15)을 형성한다. 계속해서, 도2c에 나타내는 바와 같이, CF4와 CHF3와 O2의 혼합 가스를 사용한 플라즈마 에칭법에 의해서, 포토레지스트막(14)의 개구부(15)를 통하여 SiOCH막(13)에 개구부(16a)를 형성한다.
그 다음에, O2를 사용하여 애싱하여 포토레지스트막(14)을 제거하고, 또한 약품 처리에 의해 포토레지스트막(14)의 잔사를 더 제거한다.
다음에, 웨이퍼(11)를 400℃로 가열한 상태에서, 유량 약 25SCCM의 O2를 도입하고, 가스 압력을 0.4Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력 400W를 인가한다. 이에 의해서, O2는 플라즈마화 된다. 도2d에 나타내는 바와 같이, 이 상태를 유지하면, SiOCH막(13) 중의 탄소와 외래의 산소가 반응하여탄소가 제거되어, 막중에 공공이 형성된다. 이에 따라서, 다공질 SiOCH막(13)이 형성된다.
그 다음에, 도2e에 나타내는 바와 같이, 웨이퍼(11)를 400℃로 가열한 상태에서, 유량 약 100SCCM의 CxHy를 도입하고, 가스 압력을 약0.4Torr로 한다. 계속해서, 하부 전극에 주파수 400kHz의 전력 400W를 인가하여 CxHy를 플라즈마화 한다. 이 상태를 유지하여, 도2e에 나타내는 바와 같이, 개구부(16a)의 내벽을 포함하는 다공질 SiOCH막(13)의 표층에 CxHy를 함유하는 하이드로 카본층(커버 절연막)(17)을 형성한다. SiOCH막(12)과 다공질 SiOCH막(13)과 하이드로 카본층(17)은 전체로서 다공질 층간 절연막(13a)을 형성한다.
그 다음에, 도2f에 나타내는 바와 같이, CF4와 CHF3와 O2의 혼합 가스를 사용한 이방성 에칭에 의해서, 개구부(16a)의 저부에 노출하는 SiOCH막(12)을 에칭하여 제거하여, 개구부(16b)를 형성한다. 이에 따라서, 새로운 개구부(16)의 저부에 실리콘 기판(11)이 노출된다.
그 후, 도시하지 않는 배선용 금속막을 형성하여 패터닝하여, 상부의 배선층을 형성한다.
이상과 같이, 이 발명의 반도체 장치의 제조 방법에서는 SiOCH막으로 되는 하지 절연막(12) 상에 다공질 SiOCH막(13)을 형성하고, 그 다공질 SiOCH막(13)에 개구부(16a)를 형성하고 있다.
따라서, 이 하지 절연막(12)에 의해 하부 층으로의 수분의 침투가 억제되므로, 실리콘 기판으로의 오염물의 부착이나 실리콘 기판 표면의 전극 등의 부식을 방지할 수 있다.
또, 다공질 SiOCH막(13)에 개구부(16a)를 형성하는 공정 후, 개구부(16a)를 CxHy의 가스의 플라즈마에 노출하고 있다. 이에 의해서, 개구부(16a) 내벽을 포함하는 다공질 SiOCH막(13)의 표면에 CxHy를 포함한 하이드로 카본층(17)을 형성할 수 있기 때문에, 내습성의 향상을 도모할 수 있다.
이 경우도, 제1 실시예와 마찬가지로 다공질 층간 절연막(13a)의 내습성의 향상을 도모할 수 있으므로, 저유전율 특성을 손상하는 일없이 상하의 배선-전극 등 사이의 양호한 콘택트 저항을 얻을 수 있다. 따라서, 고속 로직 반도체 집적 회로에서 다공질 층간 절연막(13a)으로의 콘택트 홀의 형성 방법으로서 유효하고, 층간 절연막(13a)의 저유전율화에 의한 고속화에 대한 효과는 현저하다.
(제3 실시예)
도3은 본 발명의 제3 실시예에 관한 반도체 장치의 제조 방법을 나타내는 단면도이다.
제1 및 제2 실시예와 다른 점은 웨이퍼는 실리콘 기판 상에 형성된 하부 절연막(21) 위에 Al막(22a)과 그 위의 Ti막(22b)으로 되는 하부 배선(22)이 형성되어 있는 점이다.
도3은 이 웨이퍼에 본 발명을 적용하여 다공질 층간 절연막(24a)과, 하부 배선(22)상의 개구부(26)를 형성한 후의 단면도이다. 도3 중, 23은 제1 또는 제2 실시예에서 사용한 것과 같은 재료로 되는 하지 절연막, 24는 제1 또는 제2 실시예에서 사용한 것과 같은 재료로 되는 다공질 절연막, 25는 제1 또는 제2 실시예에서 사용한 것과 같은 재료로 되는 커버 절연막이다.
제3 실시예의 경우, 이 하지 절연막(23)이나 커버 절연막(25)에 의해 다공질 층간 절연막(24a) 및 그 아래쪽으로의 수분 침입이 억제되므로, 하부 배선(22)의 부식을 방지할 수 있다.
이 경우도, 제1 실시예와 마찬가지로, 다공질 층간 절연막(24a)의 내습성의 향상을 도모할 수 있으므로, 저유전율 특성을 해치는 일없이 상하부 배선층끼리의 양호한 콘택트 저항을 얻을 수 있다. 따라서, 고속 로직 반도체 집적 회로에서 층간 절연막(24a)으로의 콘택트 홀의 형성 방법으로서 유효하고, 층간 절연막(24a)의 저유전율화에 의한 고속화에 대한 효과는 현저하다.
이상, 실시예에 의해 이 발명을 상세하게 설명했지만, 이 발명의 범위는 상기 실시예에 구체적으로 나타낸 예에 한정되는 것이 아니라, 이 발명의 요지를 일탈하지 않는 범위의 상기 실시예의 변경은 이 발명의 범위에 포함된다.
예를 들면, 제1 실시예에서 다공질 SiOCH막(3) 밑에 SiN막(2)을 부설하고 있지만, 다른 절연막, 예를 들면 SiON막이나 SiOCH막을 부설하여도. 제2 실시예에서 다공질 SiOCH막(13) 밑에 SiOCH막을 부설하고 있지만, 다른 절연막, 예를 들면 SiN막이나 SiON막을 부설해도 좋다. 또, 제1 및 제2 실시예에서 이들 하지 절연막 대신에 SiOC막, 또는 SiOCHN막 중 어느 하나로 되는 하지 절연막을 부설해도 좋다. 또한, SiOC막이란 Si, O, C, H만을 포함하는 절연막을 말하며, SiOCHN막이란Si, O, C, H, N만을 포함하는 절연막을 말한다. 이 경우, SiOC막은 예를 들면 유량 50SCCM의(CH3)3SiOSi(CH3)3을 사용한 플라즈마 CVD법에 의해서, 가스압 1Torr로 조정하고, 하부 전극에 주파수 400kHz의 전력 200W를 인가하여 형성하고, SiOCHN막은 SiOCH막의 성막 가스 즉(CH3)3SiOSi(CH3)3과 O2의 혼합 가스에, 미량의 N2O을 첨가한 가스를 사용한 플라즈마 CVD법에 의해 형성할 수 있다.
또한 제1 실시예에서, 다공질 층간 절연막(3a)에 개구부(7a)를 형성하는 공정 후, 노출면을 암모니아 가스와 접촉시켜 개구부(7a)의 내벽을 포함하는 다공질 층간 절연막(3a)의 표면에 질소 함유 절연막(4,4a)을 형성하고 있지만, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜도 좋다.
또, 도1e에 나타내는 바와 같이, 레지스트막(5)을 제거한 뒤에 개구부(7a)의 내벽을 암모니아 가스 등의 질소 함유 가스로 접촉시키고 있지만, 레지스트막(5)을 남긴 채로 개구부(7a)의 내벽을 암모니아 가스 등의 질소 함유 가스로 접촉시켜도 좋다. 처리 조건은 레지스트막을 제거한 경우와 동일하게 할 수 있다.
또, 노출면을 암모니아 가스와 접촉시키기 전에 다공질 절연막(3)을 미리 질소 함유 절연막(4)으로 덮고 있지만, 노출면을 암모니아 가스와 접촉시키기 전에 미리 질소 함유 절연막(4)을 형성하지 않고, 다공질 절연막(3) 표면을 바로 암모니아 가스와 접촉시켜서, 개입부(7a)의 내벽에 질소 함유 절연막(4a)을 형성하는 동시에 다공질 절연막(3)의 표면에 질소 함유 절연막(4)을 형성하여도 좋다.
또, 상기 실시예에서, 다공질 절연막(3,13,24)으로서, 다공질 SiOCH막을 사용하고 있지만, 그 대신에 다공질 SiOC막, 또는 다공질 SiOCHN막 중 어느 하나를 사용하여도 좋다.
이상과 같이 본 발명에 의하면, 질소 함유 절연막, SiOC 함유 절연막, SiOCH 함유 절연막, 또는 SiOCHN 함유 절연막 중 어느 하나로 되는 하지 절연막 상에 다공질 절연막을 포함하는 다공질 층간 절연막을 형성하고, 이 다공질 층간 절연막에 개구부를 형성하고 있다.
따라서, 이 하지 절연막에 의해 수분 투과가 억제되므로, 배선 등의 부식을 방지할 수 있다.
또한 다공질 층간 절연막에 개구부를 형성하는 공정 후, 노출면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 층간 절연막의 표면 및 개구부의 측벽에 질소 함유 절연막을 형성하고 있다.
이에 의해서, 다공질 층간 절연막의 표면 전체가 질소 함유 절연막에 의해 덮혀지기 때문에, 다공질 층간 절연막으로의 수분 투과를 보다 한 층 억제할 수 있다.
또, 다공질 층간 절연막에 개구부를 형성하는 공정 후, 개구부를 CxHy의 가스의 플라즈마에 노출하고 있다. 이에 의해서, 개구부 내벽을 포함하는 다공질 층간 절연막의 표면은 CxHy를 포함한 하이드로 카본층을 형성할 수 있기 때문에, 내습성의 향상을 도모할 수 있다.
이상과 같이 다공질 층간 절연막의 내습성의 향상을 도모할 수 있으므로, 저유전율 특성을 손상하는 일없이 상하부의 배선층끼리의 양호한 콘택트 저항을 얻을 수 있다. 따라서, 고속 로직 반도체 집적 회로에서 다공질 층간 절연막으로의 콘택트 홀의 형성 방법으로서 유효하고, 저유전율의 층간 절연막에 의한 고속화에 대한 효과는 현저하다.

Claims (19)

  1. 도전성 기판 상에 질소 함유 절연막으로 되는 하지 절연막을 형성하는 공정과,
    상기 하지 절연막 상에 다공질 절연막을 형성하는 공정과,
    상기 하지 절연막과 상기 다공질 절연막을 포함하는 층간 절연막에 개구부를 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 층간 절연막은 상기 하지 절연막과 상기 다공질 절연막에 부가하여, 상기 다공질 절연막 상에 형성된 질소 함유 절연막 또는 하이드로 카본 함유 절연막 중 어느 하나를 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막의 표면에 질소 함유 절연막을 형성하는 공정과,
    상기 질소 함유 절연막과 상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 질소 함유 절연막을 형성하는 공정을갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제3항에 있어서,
    개구부의 측벽에 질소 함유 절연막을 형성하는 공정 후,
    상기 하지 절연막에 개구부를 형성하여 상기 기판을 노출하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막의 표면에 질소 함유 절연막을 형성하는 공정과,
    상기 질소 함유 절연막과 상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 산소 가스의 플라즈마에 접촉시키는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 질소 함유 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제5항에 있어서,
    개구부의 측벽에 질소 함유 절연막을 형성하는 공정 후,
    상기 하지 절연막에 개구부를 형성하여 상기 기판을 노출하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 CxHy가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 하이드로 카본 함유 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 산소 가스의 플라즈마에 접촉시키는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 CxHy가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 하이드로 카본 함유 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    상기 CxHy가스의 플라즈마에 접촉시키는 공정 후,
    O2플라즈마, 혹은 O2+ CF4가스의 플라즈마를 사용하여 상기 개구부 내에 잔류하는 CxHy를 제거하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 제9항에 있어서,
    상기 CxHy를 제거하는 공정 후,
    상기 하지 절연막에 개구부를 형성하여 상기 기판을 노출하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제1항에 있어서,
    상기 도전성 기판은 금속 배선인 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 도전성 기판 상에 SiOC 함유 절연막, SiOCH 함유 절연막, SiOCHN 함유 절연막 중 어느 하나로 되는 하지 절연막을 형성하는 공정과,
    상기 하지 절연막 상에 다공질 절연막을 형성하는 공정과,
    상기 하지 절연막과 상기 다공질 절연막에 개구부를 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 제12항에 있어서,
    상기 층간 절연막은 상기 하지 절연막과 상기 다공질 절연막 이외에, 상기 다공질 절연막 상에 형성된 질소 함유 절연막 또는 하이드로 카본 함유 절연막 중 어느 하나로 구성되어 있는 것을 특징으로 하는 반도체 장치의 제조 방법.
  14. 제12항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막의 표면에 질소 함유 절연막을 형성하는 공정과,
    상기 질소 함유 절연막과 상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 암모니아 가스, 질소 가스 또는 2질화산소 가스 중 어느 하나의 가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 질소 함유 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  15. 제14항에 있어서,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면에 질소 함유 절연막을 형성하는 공정 후,
    상기 하지 절연막에 개구부를 형성하여 상기 기판을 노출하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  16. 제12항에 있어서,
    상기 층간 절연막에 개구부를 형성하는 공정은
    상기 다공질 절연막에 개구부를 형성하는 공정과,
    상기 다공질 절연막의 표면 및 상기 개구부의 내면을 CxHy가스의 플라즈마에 접촉시켜, 상기 다공질 절연막의 표면 및 상기 개구부의 내면에 하이드로 카본 함유 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  17. 제16항에 있어서,
    상기 CxHy가스의 플라즈마에 접촉시키는 공정 후,
    O2플라즈마, 혹은 O2+CF4가스의 플라즈마를 사용하여 상기 개구부 내에 잔류하는 CxHy를 제거하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  18. 제17항에 있어서,
    상기 CxHy를 제거하는 공정 후,
    상기 하지 절연막에 개구부를 형성하여 상기 기판을 노출하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  19. 제12항에 있어서,
    상기 도전성 기판은 금속 배선인 것을 특징으로 하는 반도체 장치의 제조 방법.
KR10-2000-0020713A 2000-02-07 2000-04-19 반도체 장치의 제조 방법 KR100369511B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000029387A JP3365554B2 (ja) 2000-02-07 2000-02-07 半導体装置の製造方法
JP2000-29387 2000-02-07

Publications (2)

Publication Number Publication Date
KR20010077813A true KR20010077813A (ko) 2001-08-20
KR100369511B1 KR100369511B1 (ko) 2003-02-05

Family

ID=18554660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0020713A KR100369511B1 (ko) 2000-02-07 2000-04-19 반도체 장치의 제조 방법

Country Status (6)

Country Link
US (1) US6514855B1 (ko)
EP (2) EP1122773B1 (ko)
JP (1) JP3365554B2 (ko)
KR (1) KR100369511B1 (ko)
DE (1) DE60022857T2 (ko)
TW (1) TW493240B (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
GB0117250D0 (en) * 2001-07-14 2001-09-05 Trikon Holdings Ltd Method of forming a conductive interconnect
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP3989761B2 (ja) 2002-04-09 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
US7038239B2 (en) 2002-04-09 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
TWI270919B (en) * 2002-04-15 2007-01-11 Semiconductor Energy Lab Display device and method of fabricating the same
JP3989763B2 (ja) 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
US7256421B2 (en) 2002-05-17 2007-08-14 Semiconductor Energy Laboratory, Co., Ltd. Display device having a structure for preventing the deterioration of a light emitting device
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
CN1296986C (zh) * 2002-08-30 2007-01-24 茂德科技股份有限公司 后端制作工艺整合的方法
JP2004128256A (ja) 2002-10-03 2004-04-22 Oki Electric Ind Co Ltd 多層構造半導体素子の製造方法
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4417027B2 (ja) * 2003-05-21 2010-02-17 株式会社半導体エネルギー研究所 発光装置
KR100951565B1 (ko) 2003-06-27 2010-04-09 주식회사 하이닉스반도체 반도체소자 제조 방법
JP4057972B2 (ja) 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
KR101060561B1 (ko) * 2003-12-24 2011-08-31 매그나칩 반도체 유한회사 반도체 소자의 층간 절연막 형성 방법
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7732349B2 (en) * 2004-11-30 2010-06-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of insulating film and semiconductor device
US7985677B2 (en) * 2004-11-30 2011-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7517791B2 (en) * 2004-11-30 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7687326B2 (en) * 2004-12-17 2010-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7579224B2 (en) * 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7365026B2 (en) * 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
KR100698094B1 (ko) 2005-07-27 2007-03-23 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
JP4892209B2 (ja) * 2005-08-22 2012-03-07 日立化成デュポンマイクロシステムズ株式会社 半導体装置の製造方法
KR20080047660A (ko) * 2006-11-27 2008-05-30 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20080246124A1 (en) * 2007-04-04 2008-10-09 James Mathew Plasma treatment of insulating material
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
FR2926294B1 (fr) * 2008-01-16 2010-08-13 Commissariat Energie Atomique Procede de realisation de cavites d'air dans des microstructures
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
WO2019097573A1 (ja) * 2017-11-14 2019-05-23 三菱電機株式会社 半導体装置およびその製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727106B2 (ja) 1988-03-30 1998-03-11 東京エレクトロン株式会社 膜形成方法
JPH04139825A (ja) 1990-10-01 1992-05-13 Nec Corp シリコン酸化膜の形成方法及びその装置
JPH04309228A (ja) 1991-04-08 1992-10-30 Fujitsu Ltd 半導体装置の製造方法
JPH04311059A (ja) * 1991-04-09 1992-11-02 Oki Electric Ind Co Ltd 配線容量の低減方法
JP3151440B2 (ja) 1991-10-29 2001-04-03 ノードバーグ日本株式会社 自走式破砕機
JP3006641B2 (ja) 1992-09-09 2000-02-07 富士通株式会社 Atm交換機における呼受付判定装置
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JP2666681B2 (ja) 1993-06-11 1997-10-22 日本電気株式会社 半導体装置の製造方法
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5472913A (en) 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
JP2820070B2 (ja) 1995-08-11 1998-11-05 日本電気株式会社 プラズマ化学気相成長法とその装置
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US6156651A (en) 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
JPH10229083A (ja) 1997-02-14 1998-08-25 Sony Corp 金属配線および/または金属プラグの形成方法
JPH10256363A (ja) 1997-03-13 1998-09-25 Sony Corp 半導体装置およびその製造方法
JPH1118239A (ja) 1997-06-23 1999-01-22 Sumitomo Electric Ind Ltd 熱収縮チューブ用収縮工具および収縮方法
EP0935284A1 (en) * 1998-01-29 1999-08-11 Chul-Ju Hwang CVD of silicon containing film using Si2H6
JP3726226B2 (ja) 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
FR2798512B1 (fr) * 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films

Also Published As

Publication number Publication date
EP1122773A3 (en) 2003-03-26
KR100369511B1 (ko) 2003-02-05
TW493240B (en) 2002-07-01
EP1566837A3 (en) 2006-10-25
DE60022857T2 (de) 2006-03-23
US6514855B1 (en) 2003-02-04
DE60022857D1 (de) 2005-11-03
EP1566837A2 (en) 2005-08-24
JP2001223267A (ja) 2001-08-17
EP1122773B1 (en) 2005-09-28
JP3365554B2 (ja) 2003-01-14
EP1122773A2 (en) 2001-08-08

Similar Documents

Publication Publication Date Title
KR100369511B1 (ko) 반도체 장치의 제조 방법
US5144411A (en) Method and structure for providing improved insulation in vlsi and ulsi circuits
US6617244B2 (en) Etching method
KR100630978B1 (ko) 반도체 디바이스 및 그 제조 방법
KR100273653B1 (ko) 반도체장치의 제조방법
US4986878A (en) Process for improved planarization of the passivation layers for semiconductor devices
JP5123924B2 (ja) 半導体集積回路装置の製造方法
US7400045B2 (en) Semiconductor device and method for fabricating the same
JP3193335B2 (ja) 半導体装置の製造方法
KR20020072259A (ko) 반도체 장치의 제조방법 및 반도체 장치
US8188602B2 (en) Semiconductor device having multilevel copper wiring layers and its manufacture method
US6881661B2 (en) Manufacturing method of semiconductor device
US7172965B2 (en) Method for manufacturing semiconductor device
KR100426147B1 (ko) 층간 절연막을 형성하기위한 방법 및, 반도체 장치
WO2007142172A1 (ja) 多層配線製造方法と多層配線構造と多層配線製造装置
JPH1167909A (ja) 半導体装置の製造方法
KR0163536B1 (ko) 반도체장치의 콘택홀 형성방법
KR100369338B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR20060038154A (ko) 응착력이 향상된 반도체 배선 제조 방법
JP2007115863A (ja) 半導体装置の製造方法
JP2006108336A (ja) 半導体装置の製造方法
JP2004055990A (ja) 半導体装置及びその製造方法
KR19980046014A (ko) 반도체 소자의 제조방법
JP2006041054A (ja) アッシング処理方法及び基板処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20051230

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee