KR101060561B1 - 반도체 소자의 층간 절연막 형성 방법 - Google Patents

반도체 소자의 층간 절연막 형성 방법 Download PDF

Info

Publication number
KR101060561B1
KR101060561B1 KR1020030096236A KR20030096236A KR101060561B1 KR 101060561 B1 KR101060561 B1 KR 101060561B1 KR 1020030096236 A KR1020030096236 A KR 1020030096236A KR 20030096236 A KR20030096236 A KR 20030096236A KR 101060561 B1 KR101060561 B1 KR 101060561B1
Authority
KR
South Korea
Prior art keywords
insulating film
forming
gas
semiconductor device
dielectric constant
Prior art date
Application number
KR1020030096236A
Other languages
English (en)
Other versions
KR20050064662A (ko
Inventor
류상욱
신강섭
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020030096236A priority Critical patent/KR101060561B1/ko
Priority to US10/878,317 priority patent/US7160810B2/en
Publication of KR20050064662A publication Critical patent/KR20050064662A/ko
Application granted granted Critical
Publication of KR101060561B1 publication Critical patent/KR101060561B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 층간 절연막 형성 방법은 유전율이 가장 낮은 공기가 기공의 형태로 포함된 다공질 저유전율 절연막을 형성하고, 듀얼 다마신 공정으로 다공질 저유전율 절연막에 콘택홀과 트렌치를 형성한 후, 다공질 저유전율 절연막의 표면에 보호막을 형성하여 콘택홀과 트렌치의 측벽 및 저면에 노출된 기공을 완전히 폐쇄함으로써, 유기 금속 소오스(Organic-metal source)의 반응 기체가 기공 사이로 흡착되거나, 금속 성분이 기공을 통하여 확산되는 것을 방지하여 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.
기공, 다공질, 저유전율 절연막, HBr, O2, 폴리머, 보호막, 금속 확산

Description

반도체 소자의 층간 절연막 형성 방법{Method of forming a interlayer dielectric in a semiconductor device}
도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 층간 절연막 형성 방법을 설명하기 위한 소자의 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
101 : 반도체 기판 102 : 하부 층간 절연막
103 : 하부 금속 배선 104 : 확산 방지막
105 : 상부 층간 절연막 105a : 제1 절연막
105b : 식각 정지층 105c : 제2 절연막
106 : 캡핑층 107 : 포토레지스트 패턴
108 : 다마신 패턴 109 : 보호막
110 : 상부 금속 배선
본 발명은 반도체 소자의 층간 절연막 형성 방법에 관한 것으로, 특히 유전율이 가장 낮은 공기가 기공의 형태로 포함된 다공질 저유전율 절연막을 이용한 반도체 소자의 층간 절연막 형성 방법에 관한 것이다.
반도체 제조 기술의 최대 목표는 반도체 소자의 고집적화와 고성능화에 있다. 고집적화와 고성능화를 실현하기 위한 가장 큰 관심사는 구리배선 공정이다. 그러나, 구리배선은 일반적인 식각물질로는 식각이 거의 되지 않는 문제점으로 인하여, 층간절연막을 먼저 식각한 후 구리를 매립하고 평탄화를 시키는 상감법이 이용되고 있다.
또, 구리배선의 낮은 저항특성만으로 부족할 경우, 저 유전상수와 결합시켜서 RC 지연을 감소시키고, 이를 통해 고성능 반도체를 제작하고 있다. 저 유전상수를 갖는 물질은 여러 종류가 있으나, 현재 범용으로 개발되고 있는 물질은 OSG(Organo Silicate Glass)로, 규소-산소-메탄(또는 탄소)의 결합이 규소-산소의 결합에 부분적으로 존재 또는 공존하면서 유전 상수를 2.2 대역까지 떨어뜨리게 할 수 있다. 추가로, 층간 절연막 내부에 미소 기공(Micro-pore)을 형성한다면 유전 상수를 보다 더 낮출 수 있다. 그러나, 층간 절연막 내의 기공도(Porosity)가 증가할 경우, 기공과 기공간의 연결로 개방 기공(Open pore)이 형성될 가능성이 높아지다. 만일, 개방 기공이 형성되면 개방 기공이 금속 이온 등의 확산 경로가 되어 금속 확산이 보다 용이하게 이루어질 뿐만 아니라, 후속의 장벽 금속층이나 금속 시 드층을 형성하는 공정에서 층간 절연막에 높은 압력이 가해지면 층간 절연막이 파괴 또는 붕괴될 수 있다. 또한, 개방 기공을 통해 금속 이온이 확산되면서 서로 인접한 금속 배선이 단락되거나 누설 전류가 증가되어 소자의 전기적 특성이 저하되거나 불량이 발생될 수 있다.
이에 대하여, 본 발명이 제시하는 반도체 소자의 층간 절연막 형성 방법은 유전율이 가장 낮은 공기가 기공의 형태로 포함된 다공질 저유전율 절연막을 형성하고, 듀얼 다마신 공정으로 다공질 저유전율 절연막에 콘택홀과 트렌치를 형성한 후, 다공질 저유전율 절연막의 표면에 보호막을 형성하여 콘택홀과 트렌치의 측벽 및 저면에 노출된 기공을 완전히 폐쇄함으로써, 유기 금속 소오스(Organic-metal source)의 반응 기체가 기공 사이로 흡착되거나, 금속 성분이 기공을 통하여 확산되는 것을 방지하여 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.
본 발명의 실시예에 따른 반도체 소자의 층간 절연막 형성 방법은 반도체 소자를 형성하기 위한 여러 요소가 형성된 반도체 기판 상에 다공질 저유전율 절연막 및 캡핑층을 순차적으로 형성하는 단계, 및 식각 공정으로 다공질 저유전율 절연막에 다마신 패턴을 형성하되, 식각 공정 시 노출되는 기공을 폐쇄하기 위한 보호막이 동시에 형성하는 단계를 포함한다.
상기에서, 층간 절연막이 다공성의 OSG로 형성되고, 캡핑층이 폴리실리콘, SiO2, SiN, SiC, SiON이나 이들의 적층 구조로 형성될 수 있다.
보호막은 비휘발성 폴리머로 이루어질 수 있으며, 식각 공정 시 보호막을 동시에 형성하기 위하여 HBr 가스와 O2 가스가 포함된 첨가 가스와 CxHyFz 가스가 동시에 사용될 수 있으며, HBr 가스의 공급 유량은 5sccm 내지 1000sccm으로 설정되고, O2 가스의 공급 유량이 1sccm 내지 100sccm으로 설정될 수 있다.
한편, 식각 공정은 CxHyFz의 y와 z에 대한 x의 비율을 조절하여 다공질 저유전율 절연막과 그 외의 물질의 선택비를 조절할 수 있다. 즉, y와 z에 대한 x의 비율을 증가시켜 다공질 저유전율 절연막 이 외의 물질에 대한 선택비를 증가시키거나, y와 z에 대한 x의 비율을 증가시켜 다공질 저유전율 절연막 이 외의 물질에 대한 선택비를 증가시킬 수 있다.
이때, 첨가가스로 N2, Ar 또는 He 가스가 더 공급될 수 있으며, 첨가 가스의 첨가 비율을 감소시켜 다공질 저유전율 절연막 이 외의 물질에 대한 선택비를 증가시키거나, 첨가 가스의 첨가 비율을 증가시켜 다공질 저유전율 절연막 이 외의 물질에 대한 선택비를 감소시킬 수 있다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 본 발명의 범위가 다음에 상술하는 실시예에 한정되는 것은 아니다. 단지 본 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명의 범위는 본원의 특허 청구 범위에 의해서 이해되어야 한다.
한편, 어떤 막이 다른 막 또는 반도체 기판의 '상'에 있다라고 기재되는 경우에 상기 어떤 막은 상기 다른 막 또는 반도체 기판에 직접 접촉하여 존재할 수 있고, 또는 그 사이에 제3의 막이 개재되어질 수도 있다. 또한 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되었다. 도면 상에서 동일 부호는 동일한 요소를 지칭한다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 층간 절연막 형성 방법을 설명하기 위한 소자의 단면도들이다.
도 1a를 참조하면, 반도체 소자를 형성하기 위한 여러 요소가 형성된 반도체 기판(101)이 제공된다. 예를 들면, 반도체 기판(101)에는 트랜지스터나 메모리 셀(도시되지 않음)이 형성될 수 있다. 이어서, 반도체 기판(101) 상에 하부 층간 절연막(102)을 형성한 후, 듀얼 다마신 공정으로 하부 층간 절연막(102)에 콘택홀과 트렌치로 이루어진 듀얼 다마신 패턴(도시되지 않음)을 형성하고, 듀얼 다마신 패턴을 전도성 물질로 매립하여 하부 금속 배선(103)을 형성한다. 이때, 하부 금속 배선(103)은 구리로 형성될 수 있다. 한편, 하부 금속 배선(103)의 금속 성분이 하부 층간 절연막(102)으로 확산되는 것을 방지하기 위하여 하부 금속 배선(103)과 하부 층간 절연막(102)에 장벽 금속층(도시되지 않음)을 형성할 수도 있다.
이어서, 전체 상부에 확산 방지막(Diffusion barrier layer; 104)과 상부 층간 절연막(105)을 형성한다. 이때, 확산 방지막(104)은 SiC, SiN(Si3N4), SiOC, SiOCH 또는 SiON와 같이 C/F 비율 조정으로 상부 층간 절연막(105)과의 식각 선택비를 조절할 수 있는 물질로 형성하는 것이 바람직하며, 100Å 내지 1000Å의 두께로 형성할 수 있다. 한편, 상부 층간 절연막(105)은 제1 절연막(105c), 식각 정지막(105b) 및 제2 절연막(105a)의 적층 구조로 형성할 수 있으며, 제1 및 제2 절연막(105c 및 105a)은 SiOC(CH3)의 성분으로 구성되어 있는 다공성의 OSG(Porous Organo Silicate Glass)로 형성하는 것이 바람직하며, 식각 정지막은 SiC, SiN 또는 SiON으로 형성할 수 있다. 한편, 상부 층간 절연막(105)은 1000Å 내지 15000Å의 두께로 형성될 수 있다.
계속해서, 상부 층간 절연막(105) 상부에 캡핑층(106)을 형성하고, 그 상부에 비아홀이나 트렌치와 같은 다마신 패턴이 형성될 영역이 정의된 비아홀 포토레지스트 패턴(107)을 형성한다. 여기서, 캡핑층(106)은 폴리실리콘, SiO2, SiN, SiC, SiON이나 이들의 적층 구조로 형성할 수 있으며, 50Å 내지 3000Å의 두께로 형성한다.
도 1b를 참조하면, 다마신 패턴이 형성될 영역의 캡핑층(106)을 식각한다. 이때, 캡핑층(106)은 Cl2 또는 HBr을 식각 가스로 사용하고, O2, N2, He 또는 Ar을 첨가 가스로 사용하여 식각 할 수 있다.
도 1c를 참조하면, 식각 공정으로 상부 층간 절연막(105)을 식각하여 다마신 패턴(108)을 형성한다. 이때, 다마신 패턴(108)이 도면에 도시된 것처럼 트렌치가 되는 경우에는 제2 절연막(105a)만을 식각하며, 하부의 제1 절연막(105c)은 식각 정지층(105b)에 의해 식각되지 않는다. 다마신 패턴(108)이 비아홀인 경우에는 제2 절연막(105a), 식각 정지층(105b) 및 제1 절연막(105)만을 순차적으로 식각한다.
이때, 식각 공정이 건식 식각으로 진행되는 경우 주식각 가스로 CxHyFz(x,y,z는 0 또는 자연수) 가스와, 첨가 가스로 O2, N2, Ar 또는 He과 같은 가스를 사용하여 실시하는 것이 바람직하다. 이때, CxHyFz 가스의 조성비나 첨가 가스의 첨가 비율을 조절하여 선택비를 조절할 수도 있다.
즉, y와 z에 대한 x의 비율을 증가시키면 C/F 비율이 증가하게 되며, 확산 방지막(104)에 대한 선택비를 높일 수 있게 된다. 다른 방법으로, O2나 N2 등의 첨가 비율을 감소시키면 유사한 효과를 얻을 수 있다.
이와는 반대로, 확산 방지막(104)에 대한 선택비를 낮추고자 할 때는 y와 z에 대한 x의 비율을 감소시키거나, O2, N2 등의 첨가 비율을 증가시키면 C/F 비율이 감소하게 되어 선택비가 낮아진다.
식각 가스의 조성비와 첨가 가스의 혼합비율을 함께 조절하면 식각 종료 후 절연막이 잔류하거나 과도 식각이 발생되는 것을 방지할 수 있다.
한편, 식각 공정 시 다마신 패턴(108)의 측벽으로 노출되는 기공(도시되지 않음)을 폐쇄하기 위하여 보호막(109)을 동시에 형성한다. 보호막(109)은 첨가 가스를 추가로 공급하는 방식으로 형성할 수 있다. 예를 들면, 첨가 가스로 HBr 가스와 O2 가스를 추가하면, 손실이 일어나는 캡핑층(106)의 폴리실리콘 성분으로부터 Si-Br을 포함하는 비휘발성(Non-volatile) 폴리머가 다마신 패턴(108)의 측벽에 증착되면서 폴리머로 이루어진 보호막(109)이 형성된다. 이때, HBr 가스의 공급 유량은 5sccm 내지 1000sccm으로 설정하고, O2 가스의 공급 유량은 1sccm 내지 100sccm으로 설정하는 것이 바람직하다.
이로써, 다마신 패턴(108)을 형성하면서 기공이 노출되는 것을 방지하기 위한 보호막(109)을 함께 형성할 수 있다.
도 1d를 참조하면, 다마신 패턴(109) 내부에 상부 금속 배선(110)을 형성한다. 상부 금속 배선(110)은 장벽 금속층을 형성하는 단계, 금속 시드층을 형성하는 단계, 전기 도금법을 실시하는 단계 등을 거쳐 형성할 수 있다. 한편, 금속 배선(110)의 금속 이온은 보호막(109)에 의해 확산되는 것이 차단된다.
상술한 바와 같이, 본 발명은 유전율이 가장 낮은 공기가 기공의 형태로 포함된 다공질 저유전율 절연막을 형성하고, 듀얼 다마신 공정으로 다공질 저유전율 절연막에 콘택홀과 트렌치를 형성한 후, 다공질 저유전율 절연막의 표면에 보호막을 형성하여 콘택홀과 트렌치의 측벽 및 저면에 노출된 기공을 완전히 폐쇄함으로써, 유기 금속 소오스(Organic-metal source)의 반응 기체가 기공 사이로 흡착되거나, 금속 성분이 기공을 통하여 확산되는 것을 방지하여 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.

Claims (10)

  1. 반도체 소자를 형성하기 위한 여러 요소가 형성된 반도체 기판을 제공하는 단계;
    상기 반도체 기판상에 확산방지막과 다공질 저유전율 절연막 및 캡핑층을 순차적으로 형성하는 단계;
    상기 캡핑층 및 다공질 저유전율 절연막을 식각 공정에 의해 식각하여 상기 다공질 저유전율 절연막 내에 다마신 패턴을 형성함과 동시에, 상기 다마신 패턴 측벽으로 노출되는 기공을 폐쇄하기 위한 보호막을 형성하는 단계를 포함하여 구성되는 반도체 소자의 층간 절연막 형성 방법.
  2. 제 1 항에 있어서,
    상기 다공질 저유전율 절연막은 다공성의 OSG로 형성하는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  3. 제 1 항에 있어서,
    상기 캡핑층은 폴리실리콘, SiO2, SiN, SiC, 또는 SiON이나 이들의 적층 구조로 형성하는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  4. 제 1 항에 있어서,
    상기 보호막은 비휘발성 폴리머로 이루어진 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  5. 제 1 항 또는 제 4 항에 있어서,
    상기 식각 공정 시 상기 보호막을 동시에 형성하기 위하여 HBr 가스와 O2 가스가 포함된 첨가 가스와 CxHyFz 가스가 동시에 사용되는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  6. 제 5 항에 있어서,
    상기 HBr 가스의 공급 유량은 5sccm 내지 1000sccm이고, 상기 O2 가스의 공급 유량은 1sccm 내지 100sccm인 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  7. 제 5 항에 있어서
    상기 CxHyFz의 y와 z에 대한 x의 비율을 조절하여 상기 다공질 저유전율 절연막의 상기 확산방지막에 대한 선택비를 조절하는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  8. 제 7 항에 있어서,
    상기 y와 상기 z에 대한 상기 x의 비율을 감소시키면 상기 선택비가 증가되고, 상기 y와 상기 z에 대한 상기 x의 비율을 증가시키면 상기 선택비가 증가되는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  9. 제 5 항에 있어서,
    상기 첨가가스로는 N2, Ar 또는 He 가스를 더 공급하는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
  10. 제 9 항에 있어서,
    상기 첨가 가스의 첨가 비율을 감소시켜 상기 다공질 저유전율 절연막의 상기 확산방지막에 대한 선택비를 증가시키거나, 상기 첨가 가스의 첨가 비율을 증가시켜 상기 선택비를 감소시키는 것을 특징으로 하는 반도체 소자의 층간 절연막 형성 방법.
KR1020030096236A 2003-12-24 2003-12-24 반도체 소자의 층간 절연막 형성 방법 KR101060561B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020030096236A KR101060561B1 (ko) 2003-12-24 2003-12-24 반도체 소자의 층간 절연막 형성 방법
US10/878,317 US7160810B2 (en) 2003-12-24 2004-06-29 Method for forming interlayer insulation film in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030096236A KR101060561B1 (ko) 2003-12-24 2003-12-24 반도체 소자의 층간 절연막 형성 방법

Publications (2)

Publication Number Publication Date
KR20050064662A KR20050064662A (ko) 2005-06-29
KR101060561B1 true KR101060561B1 (ko) 2011-08-31

Family

ID=34698443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030096236A KR101060561B1 (ko) 2003-12-24 2003-12-24 반도체 소자의 층간 절연막 형성 방법

Country Status (2)

Country Link
US (1) US7160810B2 (ko)
KR (1) KR101060561B1 (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223267A (ja) * 2000-02-07 2001-08-17 Canon Sales Co Inc 半導体装置の製造方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492276B1 (en) * 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
JP2001223267A (ja) * 2000-02-07 2001-08-17 Canon Sales Co Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
US20050142848A1 (en) 2005-06-30
US7160810B2 (en) 2007-01-09
KR20050064662A (ko) 2005-06-29

Similar Documents

Publication Publication Date Title
US7828987B2 (en) Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
KR100430472B1 (ko) 듀얼 다마신 공정을 이용한 배선 형성 방법
KR100691492B1 (ko) 플래시 메모리 소자의 금속배선 형성방법
JP3887175B2 (ja) 半導体装置及びその製造方法
KR20160067349A (ko) 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
KR100493409B1 (ko) 반도체 소자 제조방법
KR101060561B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR20050086301A (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
CN115332158A (zh) 内连线结构的形成方法
KR20050007639A (ko) 반도체 소자의 금속배선 형성방법
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR101028811B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
JP2009188101A (ja) 半導体装置及びその製造方法
CN108573912B (zh) 半导体结构及其形成方法
KR101081851B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
US20060099787A1 (en) Method for damascene formation using plug materials having varied etching rates
KR20090053033A (ko) 반도체 소자의 제조 방법
KR100914976B1 (ko) 반도체 소자의 제조방법
KR20010090154A (ko) 반도체 장치의 콘택 플러그 형성 방법
KR100702798B1 (ko) 반도체 소자의 제조 방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR100953742B1 (ko) 반도체 소자 및 그 제조 방법
KR20050086302A (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR20050068585A (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR20050006470A (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160718

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190716

Year of fee payment: 9