JP2001223267A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2001223267A
JP2001223267A JP2000029387A JP2000029387A JP2001223267A JP 2001223267 A JP2001223267 A JP 2001223267A JP 2000029387 A JP2000029387 A JP 2000029387A JP 2000029387 A JP2000029387 A JP 2000029387A JP 2001223267 A JP2001223267 A JP 2001223267A
Authority
JP
Japan
Prior art keywords
insulating film
opening
film
forming
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000029387A
Other languages
English (en)
Other versions
JP3365554B2 (ja
Inventor
Tomomi Suzuki
智美 鈴木
Hiroshi Ikakura
博志 猪鹿倉
Kazuo Maeda
和夫 前田
Yoshimi Shiotani
喜美 塩谷
Koichi Ohira
浩一 大平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Original Assignee
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Process Laboratory Co Ltd, Canon Marketing Japan Inc filed Critical Semiconductor Process Laboratory Co Ltd
Priority to JP2000029387A priority Critical patent/JP3365554B2/ja
Priority to TW089106690A priority patent/TW493240B/zh
Priority to US09/547,852 priority patent/US6514855B1/en
Priority to EP05011683A priority patent/EP1566837A3/en
Priority to DE60022857T priority patent/DE60022857T2/de
Priority to EP00107914A priority patent/EP1122773B1/en
Priority to KR10-2000-0020713A priority patent/KR100369511B1/ko
Publication of JP2001223267A publication Critical patent/JP2001223267A/ja
Application granted granted Critical
Publication of JP3365554B2 publication Critical patent/JP3365554B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Abstract

(57)【要約】 【課題】 低誘電率を有する層間絶縁膜にビアホール或
いはコンタクトホールを形成する半導体装置の製造方法
を提供する。 【解決手段】 基板1上に窒素含有絶縁膜からなる下地
絶縁膜2を形成する工程と、下地絶縁膜2上に多孔質絶
縁膜3を形成する工程と、下地絶縁膜2と多孔質絶縁膜
3とを含む層間絶縁膜3aに開口部7aを形成する工程
と、層間絶縁膜3aの表面及び開口部7aの内面をアン
モニアガス、窒素ガス又は二窒化酸素ガスのうち何れか
一のガスのプラズマに接触させて、層間絶縁膜3aの表
面及び開口部7aの側壁に窒素含有絶縁膜4aを形成す
る工程とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、より詳しくは、低誘電率を有する層間絶縁
膜にビアホール或いはコンタクトホールを形成する半導
体装置の製造方法に関する。
【0002】
【従来の技術】近年、半導体集積回路装置の高集積度
化、高密度化とともに、データ転送速度の高速化が要求
されている。このため、配線材料に関して、従来のアル
ミニウム(Al)からより低抵抗の銅(Cu)に変わり
つつある。さらに、この配線を取り巻く層間絶縁膜に関
しては、従来のSiO2膜(比誘電率4.0)から比誘
電率の低いものが要求されるようになってきた。例え
ば、多孔質のSiO2膜は比誘電率が2.0以下のもの
まで形成することができるようになっている。
【0003】
【発明が解決しようとする課題】しかしながら、従来の
成膜方法により作成された多孔質のSiO2膜は多孔質
のため、空気中に放置した場合に水分を吸収し、又は成
膜後に水洗処理した場合に水分を吸収し、誘電率が増加
するという問題がある。特に、コンタクトホールやビア
ホールを形成した場合、コンタクトホール等の開口部の
側壁からも水分が吸収されることがある。
【0004】また、水分が層間絶縁膜中を透過して下部
配線層を腐食させるという問題がある。本発明は、上記
の従来例の問題点に鑑みて創作されたものであり、低誘
電率を有する層間絶縁膜を形成するとともに、層間絶縁
膜にビアホールやコンタクトホールを形成したときでも
層間絶縁膜の水分の吸収を抑制して層間絶縁膜の誘電率
の増大を防止することができる半導体装置の製造方法を
提供するものである。
【0005】
【課題を解決するための手段】上記課題を解決するた
め、この発明は、半導体装置の製造方法に係り、導電性
の基板上に窒素含有絶縁膜からなる下地絶縁膜、又はS
iOC含有絶縁膜、SiOCH含有絶縁膜、SiOCH
N含有絶縁膜のうち何れか一からなる下地絶縁膜を形成
する工程と、前記下地絶縁膜上に多孔質絶縁膜を形成す
る工程と、前記下地絶縁膜と多孔質絶縁膜とを含む層間
絶縁膜に開口部を形成する工程とを有することを特徴と
している。
【0006】さらに、層間絶縁膜に開口部を形成した
後、露出面をアンモニアガス、窒素ガス又は二窒化酸素
ガスのうち何れか一のガスのプラズマに接触させて、前
記層間絶縁膜の表面及び前記開口部の側壁に窒素含有絶
縁膜を形成することを特徴とし、また、前記開口部を形
成する工程の後、前記開口部をCxyのガスのプラズマ
に曝すことを特徴とし、さらに、層間絶縁膜に開口部を
形成した後、前記層間絶縁膜の表面及び前記開口部の側
壁に窒素含有絶縁膜を形成する前に、又は前記開口部を
xyのガスのプラズマに曝す前に露出面を酸素ガスの
プラズマに接触させることを特徴とし、また、前記多孔
質絶縁膜を含む層間絶縁膜は、前記下地絶縁膜と、前記
多孔質絶縁膜と、該多孔質絶縁膜上に形成された窒素含
有絶縁膜とで構成されていることを特徴としている。
【0007】以下に、上記本発明の構成により奏される
作用を説明する。この発明の半導体装置の製造方法にお
いては、窒素含有絶縁膜からなる下地絶縁膜、或いはS
iOC含有絶縁膜、SiOCH含有絶縁膜、SiOCH
N含有絶縁膜のうち何れか一からなる下地絶縁膜の上に
多孔質絶縁膜を含む層間絶縁膜を形成し、その層間絶縁
膜に開口部を形成している。
【0008】多孔質絶縁膜は低誘電率であるため層間絶
縁膜に最適であるが、反面水分の透過性が高いため、配
線等の上に直に形成した場合、配線の腐食が起こり易
い。本願発明では、多孔質絶縁膜の下に窒素含有絶縁膜
からなる下地絶縁膜、或いはSiOC含有絶縁膜、Si
OCH含有絶縁膜、SiOCHN含有絶縁膜のうち何れ
か一からなる下地絶縁膜を敷いている。従って、この下
地絶縁膜によって水分の透過が抑制されるので、配線等
の腐食を防止することができる。
【0009】さらに、層間絶縁膜に開口部を形成する工
程の後、露出面をアンモニアガス、窒素ガス又は二窒化
酸素ガスのうち何れか一のガスのプラズマに接触させ
て、層間絶縁膜の表面及び開口部の側壁に窒素含有絶縁
膜を形成している。これにより、層間絶縁膜の表面全体
が窒素含有絶縁膜により覆われるようになるため、層間
絶縁膜への水分の透過をより一層抑制することができ
る。
【0010】また、多孔質層間絶縁膜に開口部を形成す
る工程の後、開口部をCxyのガスのプラズマに曝して
いる。これにより、開口部内壁を含む多孔質層間絶縁膜
の表面にはCxy、例えばCH3を含んだハイドロカー
ボン層からなるカバー絶縁膜を形成することができるた
め、耐湿性の向上を図ることができる。
【0011】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて図面を参照しながら説明する。 (第1の実施の形態)図1及び図2は本発明の第1の実
施の形態に係る半導体装置の製造方法を示す断面図であ
る。
【0012】まず、減圧可能なチャンバ内にシリコン基
板1を搬入し、平行平板型電極のうちの下部電極を兼ね
ている基板保持台上にシリコン基板1を載せて300℃
に加熱する。この温度を保持した状態で、流量約50SC
CMのSiH4と、流量約250SCCMのNH3との混合ガス
を導入し、チャンバ内のガス圧力を0.5Torrにす
る。
【0013】次いで、シリコン基板1が保持されている
下部電極に周波数400kHzの電力100Wを印加す
るとともに、下部電極に対向する上部電極に周波数1
3.56MHzの電力50Wを印加する。これにより、
SiH4とNH3との混合ガスはプラズマ化する。この状
態を保持して、図1(a)に示すように、プラズマCV
D法によりシリコン基板1の上にSiN膜(窒素含有絶
縁膜)2を形成する。SiN膜2とはシリコン(Si)
と窒素(N)とのみを含む絶縁膜のことをいう。
【0014】なお、SiN膜2の代わりにSiON膜を
用いることができるが、SiON膜を形成する場合、S
iH4とNH3の混合ガスにさらにN2Oガスを加える。
2Oガスの流量は、例えば、SiH4の流量約50SCC
M、かつNH3の流量約250SCCMの場合、20SCCMとす
る。次いで、シリコン基板1を300℃に加熱した状態
で、流量約50SCCMの(CH3)3SiOSi(CH3)3と、流量約2
5SCCMのO2との混合ガスを導入し、ガス圧力を2Tor
rとする。続いて、下部電極に周波数400kHzの電
力100Wを印加するとともに、上部電極に周波数1
3.56MHzの電力50Wを印加する。これにより、
(CH3)3SiOSi(CH3)3 とO2との混合ガスはプラズマ化す
る。この状態を保持して、図1(b)に示すように、プ
ラズマCVD法によりSiN膜2の上に膜厚約400n
mのSiOCH膜3を形成する。SiOCH膜3とはシ
リコン(Si)と、酸素(O)と、炭素(C)と、水素
(H)とのみを含む絶縁膜のことをいう。
【0015】次に、シリコン基板1を400℃に加熱し
た状態で、流量約25SCCMのO2を導入し、ガス圧力を
0.4Torrとする。続いて、下部電極に周波数40
0kHzの電力400Wを印加する。これにより、O2
はプラズマ化する。この状態を保持すると、SiOCH
膜3中の炭素と外来の酸素とが反応してSiOCH膜3
中に空孔が形成され、多数の空孔を有するSiOCH膜
3が形成される。以降、多数の空孔を有するSiOCH
膜を多孔質SiOCH膜という場合がある。
【0016】次いで、シリコン基板1を400℃に加熱
した状態で、流量約25SCCMのNH3を導入し、ガス圧
力を0.4Torrとする。続いて、下部電極に周波数
400kHzの電力400Wを印加する。これにより、
NH3はプラズマ化する。この状態を保持してSiOC
H膜3の表層に窒素含有絶縁膜(カバー絶縁膜)4を形
成する。SiN膜2とSiOCH膜3と窒素含有絶縁膜
4とは全体として層間絶縁膜3aを形成する。以降、多
孔質SiOCH膜を含む層間絶縁膜を多孔質層間絶縁膜
という場合がある。
【0017】次に、多孔質層間絶縁膜3a上にフォトレ
ジスト膜5を形成した後、パターニングして層間絶縁膜
3aのコンタクトホールを形成すべき領域にフォトレジ
スト膜5の開口部6を形成する。続いて、CF4とCH
3とO2の混合ガスを用いたプラズマエッチング法によ
り、フォトレジスト膜5の開口部6を通して多孔質層間
絶縁膜3aのうち窒素含有絶縁膜4と多孔質SiOCH
膜3に開口部7aを形成する。
【0018】次いで、図2(a)に示すように、O2
用いてアッシングしてフォトレジスト膜5を除去し、さ
らに薬液処理によりフォトレジスト膜5の残さを除去す
る。次に、図2(b)に示すように、流量約400SCCM
のNH3を導入し、ガス圧力を0.2Torrとする。続
いて、下部電極に周波数400kHzの電力300Wを
印加する。これにより、NH3はプラズマ化する。この
状態を保持して、図2(b)に示すように、開口部7a
の内壁を含む層間絶縁膜3aの表層に窒素含有絶縁膜
(カバー絶縁膜)4aを形成する。なお、NH3の代わ
りにN2を用い、同じ条件で窒素含有絶縁膜4aを形成
することもできる。
【0019】次いで、図2(c)に示すように、Cl2
とO2とCF4との混合ガスを用いた異方性エッチングに
より、開口部7aの底部に露出するSiN膜2をエッチ
ングして除去し、開口部7bを形成する。これにより、
新たな開口部7の底部にシリコン基板1が露出する。そ
の後、図示しない配線用金属膜を形成してパターニング
し、上部の配線層を形成する。
【0020】以上のように、本発明の第1の実施の形態
によれば、多孔質SiOCH膜3の上下をそれぞれ窒素
含有絶縁膜4とSiN膜からなる下地絶縁膜2dで挟ん
だ多孔質層間絶縁膜3aを形成し、その多孔質層間絶縁
膜3aに開口部7を形成している。即ち、多孔質SiO
CH膜3の下にSiN膜からなる下地絶縁膜2を敷いて
いる。従って、この下地絶縁膜2によって水分の透過が
抑制されるので、多孔質層間絶縁膜3aの下方への水分
の侵入を防止し、例えば下部の配線等の腐食を防止する
ことができる。
【0021】さらに、図2(a)に示すように、多孔質
層間絶縁膜3aに開口部7aを形成する工程の後、露出
面をアンモニアガスのプラズマに接触させて、多孔質層
間絶縁膜3aの表面及び開口部7aの側壁にそれぞれS
iN膜4、4aを形成している。これにより、開口部7
aを含む多孔質層間絶縁膜3aの表面全体がSiN膜
4、4aにより覆われるようになるため、多孔質層間絶
縁膜3a及びその下方への水分の侵入をより一層抑制す
ることができる。
【0022】以上のように、多孔質層間絶縁膜3aの耐
湿性の向上を図ることができるので、低誘電率特性を損
なうことなく、上下の配線−電極等の間の良好なコンタ
クト抵抗を得ることができる。従って、高速ロジック半
導体集積回路において多孔質層間絶縁膜3aへのコンタ
クトホールの形成方法として有効であり、層間絶縁膜の
低誘電率化による高速化に対する効果は著しい。 (第2の実施の形態)図3及び図4は本発明の第2の実
施の形態に係る半導体装置の製造方法を示す断面図であ
る。
【0023】第1の実施の形態と異なるところは、下地
絶縁膜12としてSiN膜の代わりにSiOCH膜を用
いていること、及び開口部16aの内壁を含む多孔質層
間絶縁膜13の表層にCxy、例えばCH3を含んだハ
イドロカーボン層(カバー絶縁膜)17を形成している
ことである。以下に、その製造方法について説明する。
【0024】まず、減圧可能なチャンバ内にウエハ11
を搬入し、平行平板型電極のうちの下部電極を兼ねてい
る基板保持台上にウエハ11を載せて300℃に加熱す
る。ウエハ11表面にはシリコン基板が露出していると
する。この基板加熱温度を保持した状態で、流量約50
SCCMの(CH3)3SiOSi(CH3)3 と、流量約25SCCMのO2
の混合ガスを導入し、ガス圧力を2Torrとする。
【0025】次に、下部電極に周波数400kHzの電
力100Wを印加するとともに、下部電極に対向する上
部電極に周波数13.56MHzの電力50Wを印加す
る。これにより、(CH3)3SiOSi(CH3)3 とO2はプラズマ
化する。この状態を保持して、図3(a)に示すよう
に、プラズマCVD法によりウエハ11表面のシリコン
基板上に膜厚約20nmのSiOCH膜12を形成す
る。なお、SiOCH膜12とはシリコン(Si)と、
酸素(O)と、炭素(C)と、水素(H)とのみを含む
絶縁膜のことをいう。
【0026】次いで、ウエハ11を温度300℃に加熱
した状態で、流量約50SCCMの(CH3)3SiOSi(CH3)3 と、
流量約25SCCMのO2との混合ガスを導入し、ガス圧力を
2Torrとする。続いて、下部電極に周波数400k
Hzの電力100Wを印加するとともに、下部電極に対
向する上部電極に周波数13.56MHzの電力50W
を印加する。これにより、(CH3)3SiOSi(CH3)3 とO2
の混合ガスはプラズマ化する。この状態を保持して、図
3(b)に示すように、プラズマCVD法によりSiO
CH膜12の上に膜厚約400nmのSiOCH膜13
を形成する。
【0027】次に、SiOCH膜13上にフォトレジス
ト膜14を形成した後、フォトレジスト膜14をパター
ニングしてSiOCH膜13のコンタクトホールを形成
すべき領域にフォトレジスト膜14の開口部15を形成
する。続いて、図3(c)に示すように、CF4とCH
3とO2の混合ガスを用いたプラズマエッチング法によ
り、フォトレジスト膜14の開口部15を通してSiO
CH膜13に開口部16aを形成する。
【0028】次いで、O2を用いてアッシングしてフォ
トレジスト膜14を除去し、さらに薬液処理によりフォ
トレジスト膜14の残さを除去する。次に、ウエハ11
を400℃に加熱した状態で、流量約25SCCMのO2
導入し、ガス圧力を0.4Torrとする。続いて、下
部電極に周波数400kHzの電力400Wを印加す
る。これにより、O2はプラズマ化する。図3(d)に
示すように、この状態を保持すると、SiOCH膜13
中の炭素と外来の酸素とが反応して炭素が除去され、膜
中に空孔が形成される。これにより、多孔質SiOCH
膜13が形成される。
【0029】次いで、図4(a)に示すように、ウエハ
11を400℃に加熱した状態で、流量約100SCCMの
CxHyを導入し、ガス圧力を約0.4Torrとす
る。続いて、下部電極に周波数400kHzの電力40
0Wを印加してCxHyをプラズマ化する。この状態を
保持して、図4(a)に示すように、開口部16aの内
壁を含む多孔質SiOCH膜13の表層にCxyを含有
するハイドロカーボン層(カバー絶縁膜)17を形成す
る。SiOCH膜12と多孔質SiOCH膜13とハイ
ドロカーボン層17とは全体として多孔質層間絶縁膜1
3aを形成する。
【0030】次いで、図4(b)に示すように、CF4
とCHF3とO2との混合ガスを用いた異方性エッチング
により、開口部16aの底部に露出するSiOCH膜1
2をエッチングして除去し、開口部16bを形成する。
これにより、新たな開口部16の底部にシリコン基板1
1が露出する。その後、図示しない配線用金属膜を形成
してパターニングし、上部の配線層を形成する。
【0031】以上のように、この発明の半導体装置の製
造方法においては、SiOCH膜からなる下地絶縁膜1
2上に多孔質SiOCH膜13を形成し、その多孔質S
iOCH膜13に開口部16aを形成している。従っ
て、この下地絶縁膜12によって下の層への水分の浸透
が抑制されるので、シリコン基板への汚染物の付着やシ
リコン基板表面の電極等の腐食を防止することができ
る。
【0032】また、多孔質SiOCH膜13に開口部1
6aを形成する工程の後、開口部16aをCxHyのガ
スのプラズマに曝している。これにより、開口部16a
内壁を含む多孔質SiOCH膜13の表面にCxyを含
んだハイドロカーボン層17を形成することができるた
め、耐湿性の向上を図ることができる。この場合も、第
1の実施の形態と同様に、多孔質層間絶縁膜13aの耐
湿性の向上を図ることができるので、低誘電率特性を損
なうことなく、上下の配線−電極等の間の良好なコンタ
クト抵抗を得ることができる。従って、高速ロジック半
導体集積回路において多孔質層間絶縁膜13aへのコン
タクトホールの形成方法として有効であり、層間絶縁膜
13aの低誘電率化による高速化に対する効果は著し
い。
【0033】(第3の実施の形態)図5は、本発明の第
3の実施の形態に係る半導体装置の製造方法を示す断面
図である。第1及び第2の実施の形態と異なるところ
は、ウエハはシリコン基板上に形成された下部絶縁膜2
1の上にAl膜22aとその上のTi膜22bとからな
る下部配線22が形成されていることである。
【0034】図5は、このウエハに本発明を適用して多
孔質層間絶縁膜24aと、下部配線22上の開口部26
とを形成した後の断面図である。図5中、23は第1又
は第2の実施の形態で用いたものと同じ材料からなる下
地絶縁膜、24は第1又は第2の実施の形態で用いたも
のと同じ材料からなる多孔質絶縁膜、25は第1又は第
2の実施の形態で用いたものと同じ材料からなるカバー
絶縁膜である。
【0035】第3の実施の形態の場合、この下地絶縁膜
23やカバー絶縁膜25によって多孔質層間絶縁膜24
a及びその下方への水分の侵入が抑制されるので、下部
配線22の腐食を防止することができる。この場合も、
第1の実施の形態と同様に、多孔質層間絶縁膜24aの
耐湿性の向上を図ることができるので、低誘電率特性を
損なうことなく、上下部の配線層同士の良好なコンタク
ト抵抗を得ることができる。従って、高速ロジック半導
体集積回路において層間絶縁膜24aへのコンタクトホ
ールの形成方法として有効であり、層間絶縁膜24aの
低誘電率化による高速化に対する効果は著しい。
【0036】以上、実施の形態によりこの発明を詳細に
説明したが、この発明の範囲は上記実施の形態に具体的
に示した例に限られるものではなく、この発明の要旨を
逸脱しない範囲の上記実施の形態の変更はこの発明の範
囲に含まれる。例えば、第1の実施の形態において多孔
質SiOCH膜3の下にSiN膜2を敷いているが、他
の絶縁膜、例えばSiON膜やSiOCH膜を敷いても
よい。第2の実施の形態において多孔質SiOCH膜1
3の下にSiOCH膜を敷いているが、他の絶縁膜、例
えばSiN膜やSiON膜を敷いてもよい。また、第1
及び第2の実施の形態においてこれらの下地絶縁膜の代
わりにSiOC膜、又はSiOCHN膜のうち何れか一
からなる下地絶縁膜を敷いてもよい。なお、SiOC膜
とはSi、O、C、Hのみを含む絶縁膜のことをいい、
SiOCHN膜とはSi、O、C、H、Nのみを含む絶
縁膜のことをいう。この場合、SiOC膜は、例えば流
量50SCCMの(CH3)3SiOSi(CH3)3を用いたプラズマCV
D法により、ガス圧1Torrに調整し、下部電極に周
波数400kHzの電力200Wを印加して形成し、S
iOCHN膜は、SiOCH膜の成膜ガス即ち(CH3)3Si
OSi(CH 3)3 とO2の混合ガスに、微量のN2Oを加えたガ
スを用いたプラズマCVD法により形成することができ
る。
【0037】さらに、第1の実施の形態において、多孔
質層間絶縁膜3aに開口部7aを形成する工程の後、露
出面をアンモニアガスと接触させて開口部7aの内壁を
含む多孔質層間絶縁膜3aの表面に窒素含有絶縁膜4、
4aを形成しているが、窒素ガス又は二窒化酸素ガスの
うち何れか一のガスのプラズマに接触させてもよい。ま
た、図2(a)に示すように、レジスト膜5を除去した
後に開口部7aの内壁をアンモニアガス等の窒素含有ガ
スに接触させているが、レジスト膜5を残したまま開口
部7aの内壁をアンモニアガス等の窒素含有ガスに接触
させてもよい。処理条件はレジスト膜を除去した場合と
同じとすることができる。
【0038】また、上記実施の形態において、多孔質絶
縁膜3、13、24として、多孔質SiOCH膜を用い
ているが、その代わりに多孔質SiOC膜、又は多孔質
SiOCHN膜のうち何れか一を用いてもよい。
【0039】
【発明の効果】以上のように、本発明によれば、窒素含
有絶縁膜、SiOC含有絶縁膜、SiOCH含有絶縁
膜、又はSiOCHN含有絶縁膜のうち何れか一からな
る下地絶縁膜上に多孔質絶縁膜を含む多孔質層間絶縁膜
を形成し、その多孔質層間絶縁膜に開口部を形成してい
る。
【0040】従って、この下地絶縁膜によって水分の透
過が抑制されるので、配線等の腐食を防止することがで
きる。さらに、多孔質層間絶縁膜に開口部を形成する工
程の後、露出面をアンモニアガス、窒素ガス又は二窒化
酸素ガスのうち何れか一のガスのプラズマに接触させ
て、層間絶縁膜の表面及び開口部の側壁に窒素含有絶縁
膜を形成している。
【0041】これにより、多孔質層間絶縁膜の表面全体
が窒素含有絶縁膜により覆われるようになるため、多孔
質層間絶縁膜への水分の透過をより一層抑制することが
できる。また、多孔質層間絶縁膜に開口部を形成する工
程の後、開口部をCxyのガスのプラズマに曝してい
る。これにより、開口部内壁を含む多孔質層間絶縁膜の
表面はCxyを含んだハイドロカーボン層を形成するこ
とができるため、耐湿性の向上を図ることができる。
【0042】以上のように、多孔質層間絶縁膜の耐湿性
の向上を図ることができるので、低誘電率特性を損なう
ことなく、上下部の配線層同士の良好なコンタクト抵抗
を得ることができる。従って、高速ロジック半導体集積
回路において多孔質層間絶縁膜へのコンタクトホールの
形成方法として有効であり、低誘電率の層間絶縁膜によ
る高速化に対する効果は著しい。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態である半導体装置の
製造方法を示す断面図(その1)である。
【図2】本発明の第1の実施の形態である半導体装置の
製造方法を示す断面図(その2)である。
【図3】本発明の第2の実施の形態である半導体装置の
製造方法を示す断面図(その1)である。
【図4】本発明の第2の実施の形態である半導体装置の
製造方法を示す断面図(その2)である。
【図5】本発明の第3の実施の形態である半導体装置の
製造方法を示す断面図である。
【符号の説明】
1,11 シリコン基板 2,12,23 下地絶縁膜 3,13,24 多孔質SiOCH膜 3a,13a,24a 多孔質層間絶縁膜 4,17,25 カバー絶縁膜(窒素含有絶縁膜又はハ
イドロカーボン層) 5,14 フォトレジスト膜 6,7,7a,7b 開口部 21 下部絶縁膜 22 下部配線 22a Al膜 22b Ti膜
───────────────────────────────────────────────────── フロントページの続き (72)発明者 猪鹿倉 博志 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 前田 和夫 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 (72)発明者 塩谷 喜美 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 (72)発明者 大平 浩一 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 Fターム(参考) 5F033 KK01 KK08 KK18 MM05 QQ09 QQ10 QQ12 QQ15 QQ16 QQ37 QQ60 QQ63 QQ64 QQ90 QQ92 RR06 RR08 RR21 RR23 RR29 SS02 SS03 SS15 TT04 TT07 XX18

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 導電性の基板上に窒素含有絶縁膜からな
    る下地絶縁膜を形成する工程と、 前記下地絶縁膜上に多孔質絶縁膜を形成する工程と、 前記下地絶縁膜と前記多孔質絶縁膜とを含む層間絶縁膜
    に開口部を形成する工程とを有することを特徴とする半
    導体装置の製造方法。
  2. 【請求項2】 前記多孔質絶縁膜を含む層間絶縁膜は、
    前記多孔質絶縁膜と、該多孔質絶縁膜上に形成された窒
    素含有絶縁膜とで構成されていることを特徴とする請求
    項1記載の半導体装置の製造方法。
  3. 【請求項3】 前記開口部を形成する工程の後、 前記層間絶縁膜の表面及び前記開口部の内面をアンモニ
    アガス、窒素ガス又は二窒化酸素ガスのうち何れか一の
    ガスのプラズマに接触させて、前記層間絶縁膜の表面及
    び前記開口部の側壁に窒素含有絶縁膜を形成する工程を
    有することを特徴とする請求項1又は2記載の半導体装
    置の製造方法。
  4. 【請求項4】 前記開口部を形成する工程の後、 前記層間絶縁膜の表面及び前記開口部の内面を酸素ガス
    のプラズマに接触させる工程と、 前記層間絶縁膜の表面及び前記開口部の内面をアンモニ
    アガス、窒素ガス又は二窒化酸素ガスのうち何れか一の
    ガスのプラズマに接触させて、前記層間絶縁膜の表面及
    び前記開口部の側壁に窒素含有絶縁膜を形成する工程と
    を有することを特徴とする請求項1又は2記載の半導体
    装置の製造方法。
  5. 【請求項5】 前記開口部を形成する工程の後、 前記開口部をCxHyのガスのプラズマに曝す工程を有
    することを特徴とする請求項1又は2記載の半導体装置
    の製造方法。
  6. 【請求項6】 前記開口部を形成する工程の後、 前記層間絶縁膜の表面及び前記開口部の内面を酸素ガス
    のプラズマに接触させる工程と、 前記開口部をCxHyのガスのプラズマに曝す工程とを
    有することを特徴とする請求項1又は2記載の半導体装
    置の製造方法。
  7. 【請求項7】 前記開口部をCxHyのガスのプラズマ
    に曝す工程の後、 O2プラズマ、或いはO2+CF4ガスのプラズマを用い
    て前記開口部内に残留するCxHyを除去する工程を有
    することを特徴とする請求項6記載の半導体装置の製造
    方法。
  8. 【請求項8】 請求項3又は4記載の開口部の側壁に窒
    素含有絶縁膜を形成する工程の後、又は請求項7記載の
    CxHyを除去する工程の後、 前記下地絶縁膜に開口部を形成して前記基板を露出する
    工程を有することを特徴とする請求項3、4又は7記載
    の半導体装置の製造方法。
  9. 【請求項9】 前記導電性の基板は金属配線であること
    を特徴とする請求項1乃至8記載の半導体装置の製造方
    法。
  10. 【請求項10】 導電性の基板上にSiOC含有絶縁
    膜、SiOCH含有絶縁膜、SiOCHN含有絶縁膜の
    うち何れか一からなる下地絶縁膜を形成する工程と、 前記下地絶縁膜上に多孔質絶縁膜を形成する工程と、 前記下地絶縁膜と前記多孔質絶縁膜とを含む層間絶縁膜
    に開口部を形成する工程とを有することを特徴とする半
    導体装置の製造方法。
  11. 【請求項11】 前記層間絶縁膜は、前記下地絶縁膜と
    前記多孔質絶縁膜との他に、該多孔質絶縁膜上に形成さ
    れた窒素含有絶縁膜とで構成されていることを特徴とす
    る請求項10記載の半導体装置の製造方法。
  12. 【請求項12】 前記開口部を形成する工程の後、 前記層間絶縁膜の表面及び開口部の内面をアンモニアガ
    ス、窒素ガス又は二窒化酸素ガスのうち何れか一のガス
    のプラズマに接触させて、前記層間絶縁膜の表面及び前
    記開口部の側壁に窒素含有絶縁膜を形成する工程を有す
    ることを特徴とする請求項10又は11記載の半導体装
    置の製造方法。
  13. 【請求項13】 前記開口部を形成する工程の後、 前記層間絶縁膜の表面及び前記開口部の内面をCxy
    ガスのプラズマに接触させる工程を有することを特徴と
    する請求項10又は11記載の半導体装置の製造方法。
  14. 【請求項14】 前記開口部をCxyのガスのプラズマ
    に曝す工程の後、 O2プラズマ、或いはO2+CF4ガスのプラズマを用い
    て前記開口部内に残留するCxHyを除去する工程を有
    することを特徴とする請求項13記載の半導体装置の製
    造方法。
  15. 【請求項15】 請求項12記載の層間絶縁膜の表面及
    び開口部の側壁に窒素含有絶縁膜を形成する工程の後、
    又は請求項14記載のCxyを除去する工程の後、 前記下地絶縁膜に開口部を形成して前記基板を露出する
    工程を有することを特徴とする請求項12又は14記載
    の半導体装置の製造方法。
  16. 【請求項16】 前記導電性の基板は金属配線であるこ
    とを特徴とする請求項10乃至15記載の半導体装置の
    製造方法。
JP2000029387A 2000-02-07 2000-02-07 半導体装置の製造方法 Expired - Fee Related JP3365554B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000029387A JP3365554B2 (ja) 2000-02-07 2000-02-07 半導体装置の製造方法
TW089106690A TW493240B (en) 2000-02-07 2000-04-11 Semiconductor device manufacturing method
US09/547,852 US6514855B1 (en) 2000-02-07 2000-04-12 Semiconductor device manufacturing method having a porous insulating film
DE60022857T DE60022857T2 (de) 2000-02-07 2000-04-13 Verfahren zur Herstellung eines Halbleiterbauelements
EP05011683A EP1566837A3 (en) 2000-02-07 2000-04-13 Semiconductor device manufacturing method
EP00107914A EP1122773B1 (en) 2000-02-07 2000-04-13 Semiconductor device manufacturing method
KR10-2000-0020713A KR100369511B1 (ko) 2000-02-07 2000-04-19 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000029387A JP3365554B2 (ja) 2000-02-07 2000-02-07 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2001223267A true JP2001223267A (ja) 2001-08-17
JP3365554B2 JP3365554B2 (ja) 2003-01-14

Family

ID=18554660

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000029387A Expired - Fee Related JP3365554B2 (ja) 2000-02-07 2000-02-07 半導体装置の製造方法

Country Status (6)

Country Link
US (1) US6514855B1 (ja)
EP (2) EP1566837A3 (ja)
JP (1) JP3365554B2 (ja)
KR (1) KR100369511B1 (ja)
DE (1) DE60022857T2 (ja)
TW (1) TW493240B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP2003302917A (ja) * 2002-04-09 2003-10-24 Semiconductor Energy Lab Co Ltd 半導体表示装置
JP2003308027A (ja) * 2002-04-15 2003-10-31 Semiconductor Energy Lab Co Ltd 半導体表示装置
JP2004004757A (ja) * 2002-04-15 2004-01-08 Semiconductor Energy Lab Co Ltd 表示装置及びその作製方法
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6812128B2 (en) 2002-10-03 2004-11-02 Oki Electric Industry Co., Ltd. Method of manufacturing multilayer structured semiconductor device
JP2004347822A (ja) * 2003-05-21 2004-12-09 Semiconductor Energy Lab Co Ltd 発光装置およびその作製方法
KR100698094B1 (ko) 2005-07-27 2007-03-23 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080047660A (ko) * 2006-11-27 2008-05-30 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
KR100951565B1 (ko) 2003-06-27 2010-04-09 주식회사 하이닉스반도체 반도체소자 제조 방법
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US7955975B2 (en) 2002-04-09 2011-06-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
KR101060561B1 (ko) * 2003-12-24 2011-08-31 매그나칩 반도체 유한회사 반도체 소자의 층간 절연막 형성 방법
US8120031B2 (en) 2002-05-17 2012-02-21 Semiconductor Energy Laboratory Co., Ltd. Display device including an opening formed in a gate insulating film, a passivation film, and a barrier film
CN111344856A (zh) * 2017-11-14 2020-06-26 三菱电机株式会社 半导体装置及其制造方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
GB0117250D0 (en) * 2001-07-14 2001-09-05 Trikon Holdings Ltd Method of forming a conductive interconnect
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
CN1296986C (zh) * 2002-08-30 2007-01-24 茂德科技股份有限公司 后端制作工艺整合的方法
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4057972B2 (ja) 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures
US7985677B2 (en) * 2004-11-30 2011-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7517791B2 (en) * 2004-11-30 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7732349B2 (en) * 2004-11-30 2010-06-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of insulating film and semiconductor device
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7687326B2 (en) * 2004-12-17 2010-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7579224B2 (en) * 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
US7365026B2 (en) * 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
JP4892209B2 (ja) * 2005-08-22 2012-03-07 日立化成デュポンマイクロシステムズ株式会社 半導体装置の製造方法
US20080246124A1 (en) * 2007-04-04 2008-10-09 James Mathew Plasma treatment of insulating material
FR2926294B1 (fr) * 2008-01-16 2010-08-13 Commissariat Energie Atomique Procede de realisation de cavites d'air dans des microstructures
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727106B2 (ja) 1988-03-30 1998-03-11 東京エレクトロン株式会社 膜形成方法
JPH04139825A (ja) 1990-10-01 1992-05-13 Nec Corp シリコン酸化膜の形成方法及びその装置
JPH04309228A (ja) 1991-04-08 1992-10-30 Fujitsu Ltd 半導体装置の製造方法
JPH04311059A (ja) * 1991-04-09 1992-11-02 Oki Electric Ind Co Ltd 配線容量の低減方法
JP3151440B2 (ja) 1991-10-29 2001-04-03 ノードバーグ日本株式会社 自走式破砕機
JP3006641B2 (ja) 1992-09-09 2000-02-07 富士通株式会社 Atm交換機における呼受付判定装置
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JP2666681B2 (ja) 1993-06-11 1997-10-22 日本電気株式会社 半導体装置の製造方法
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5472913A (en) 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
JP2820070B2 (ja) 1995-08-11 1998-11-05 日本電気株式会社 プラズマ化学気相成長法とその装置
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US6156651A (en) 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
JPH10229083A (ja) 1997-02-14 1998-08-25 Sony Corp 金属配線および/または金属プラグの形成方法
JPH10256363A (ja) 1997-03-13 1998-09-25 Sony Corp 半導体装置およびその製造方法
JPH1118239A (ja) 1997-06-23 1999-01-22 Sumitomo Electric Ind Ltd 熱収縮チューブ用収縮工具および収縮方法
EP0935284A1 (en) * 1998-01-29 1999-08-11 Chul-Ju Hwang CVD of silicon containing film using Si2H6
JP3726226B2 (ja) 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
FR2798512B1 (fr) * 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
US9406806B2 (en) 2002-04-09 2016-08-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
KR101013487B1 (ko) 2002-04-09 2011-02-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치
US8946718B2 (en) 2002-04-09 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US8502215B2 (en) 2002-04-09 2013-08-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US11101299B2 (en) 2002-04-09 2021-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US10854642B2 (en) 2002-04-09 2020-12-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US10700106B2 (en) 2002-04-09 2020-06-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US10083995B2 (en) 2002-04-09 2018-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US8415669B2 (en) 2002-04-09 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US10050065B2 (en) 2002-04-09 2018-08-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US9666614B2 (en) 2002-04-09 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US8946717B2 (en) 2002-04-09 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
KR101013473B1 (ko) 2002-04-09 2011-02-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 표시장치 및 그의 제작방법
US7955975B2 (en) 2002-04-09 2011-06-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US8008666B2 (en) 2002-04-09 2011-08-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
JP2003302917A (ja) * 2002-04-09 2003-10-24 Semiconductor Energy Lab Co Ltd 半導体表示装置
US8120033B2 (en) 2002-04-09 2012-02-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US8835271B2 (en) 2002-04-09 2014-09-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US7671369B2 (en) 2002-04-09 2010-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device
US9105727B2 (en) 2002-04-09 2015-08-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
JP2003308027A (ja) * 2002-04-15 2003-10-31 Semiconductor Energy Lab Co Ltd 半導体表示装置
US8709847B2 (en) 2002-04-15 2014-04-29 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating display device
JP2004004757A (ja) * 2002-04-15 2004-01-08 Semiconductor Energy Lab Co Ltd 表示装置及びその作製方法
US11422423B2 (en) 2002-05-17 2022-08-23 Semiconductor Energy Laboratory Co., Ltd. Display device
US10133139B2 (en) 2002-05-17 2018-11-20 Semiconductor Energy Laboratory Co., Ltd. Display device
US8120031B2 (en) 2002-05-17 2012-02-21 Semiconductor Energy Laboratory Co., Ltd. Display device including an opening formed in a gate insulating film, a passivation film, and a barrier film
US9366930B2 (en) 2002-05-17 2016-06-14 Semiconductor Energy Laboratory Co., Ltd. Display device with capacitor elements
US10527903B2 (en) 2002-05-17 2020-01-07 Semiconductor Energy Laboratory Co., Ltd. Display device
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6812128B2 (en) 2002-10-03 2004-11-02 Oki Electric Industry Co., Ltd. Method of manufacturing multilayer structured semiconductor device
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
JP2004347822A (ja) * 2003-05-21 2004-12-09 Semiconductor Energy Lab Co Ltd 発光装置およびその作製方法
KR100951565B1 (ko) 2003-06-27 2010-04-09 주식회사 하이닉스반도체 반도체소자 제조 방법
KR101060561B1 (ko) * 2003-12-24 2011-08-31 매그나칩 반도체 유한회사 반도체 소자의 층간 절연막 형성 방법
KR100698094B1 (ko) 2005-07-27 2007-03-23 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080047660A (ko) * 2006-11-27 2008-05-30 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
CN111344856A (zh) * 2017-11-14 2020-06-26 三菱电机株式会社 半导体装置及其制造方法
CN111344856B (zh) * 2017-11-14 2023-05-30 三菱电机株式会社 半导体装置及其制造方法

Also Published As

Publication number Publication date
DE60022857T2 (de) 2006-03-23
DE60022857D1 (de) 2005-11-03
KR20010077813A (ko) 2001-08-20
EP1566837A3 (en) 2006-10-25
EP1122773B1 (en) 2005-09-28
EP1122773A3 (en) 2003-03-26
EP1122773A2 (en) 2001-08-08
JP3365554B2 (ja) 2003-01-14
EP1566837A2 (en) 2005-08-24
US6514855B1 (en) 2003-02-04
KR100369511B1 (ko) 2003-02-05
TW493240B (en) 2002-07-01

Similar Documents

Publication Publication Date Title
JP2001223267A (ja) 半導体装置の製造方法
US7129175B2 (en) Method of manufacturing semiconductor device
KR100392888B1 (ko) 반도체장치의 제조방법
JP3193335B2 (ja) 半導体装置の製造方法
JP2001326279A (ja) 半導体装置及びその製造方法
JPH02132825A (ja) 微細孔への金属穴埋め方法
US5962344A (en) Plasma treatment method for PECVD silicon nitride films for improved passivation layers on semiconductor metal interconnections
JP2002009150A5 (ja) 半導体装置とその製造方法
US6713386B1 (en) Method of preventing resist poisoning in dual damascene structures
JP2000077406A (ja) 半導体装置の製造方法
JPH05259297A (ja) 半導体素子の製造方法
JP3781729B2 (ja) 半導体装置の製造方法
KR100444811B1 (ko) 실리콘 함유 기판 상에 전도성 규화물층 형성방법 및전도성 규화물 접촉부 형성방법
US7338897B2 (en) Method of fabricating a semiconductor device having metal wiring
JP3104750B2 (ja) 半導体装置の製造方法
JP2003124307A (ja) 半導体装置及びその製造方法
JP2001077192A (ja) 半導体装置およびその製造方法
JPH06169021A (ja) 半導体装置及びその製造方法
US7253116B2 (en) High ion energy and reative species partial pressure plasma ash process
JP2830604B2 (ja) 半導体装置の製造方法
JP3289275B2 (ja) 半導体装置及びその製造方法
TW301044B (en) Method of increasing O3 TEOS void filling deposition
KR100532981B1 (ko) 반도체소자 식각방법
JPH07288254A (ja) 半導体装置およびその製造方法
JP2795029B2 (ja) 多層配線の形成方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20021015

S202 Request for registration of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315201

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

S805 Written request for registration of cancellation of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315805

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

S805 Written request for registration of cancellation of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315805

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

LAPS Cancellation because of no payment of annual fees