JP2001326279A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JP2001326279A
JP2001326279A JP2000146242A JP2000146242A JP2001326279A JP 2001326279 A JP2001326279 A JP 2001326279A JP 2000146242 A JP2000146242 A JP 2000146242A JP 2000146242 A JP2000146242 A JP 2000146242A JP 2001326279 A JP2001326279 A JP 2001326279A
Authority
JP
Japan
Prior art keywords
insulating film
film
semiconductor device
gas
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000146242A
Other languages
English (en)
Other versions
JP3600507B2 (ja
Inventor
Hiroshi Ikakura
博志 猪鹿倉
Tomomi Suzuki
智美 鈴木
Yoichi Yamamoto
陽一 山本
Yuichiro Kotake
勇一郎 小竹
Yoshimi Shiotani
喜美 塩谷
Koichi Ohira
浩一 大平
Kazuo Maeda
和夫 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Original Assignee
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Process Laboratory Co Ltd, Canon Marketing Japan Inc filed Critical Semiconductor Process Laboratory Co Ltd
Priority to JP2000146242A priority Critical patent/JP3600507B2/ja
Priority to US09/843,725 priority patent/US6479408B2/en
Priority to TW090110406A priority patent/TW493244B/zh
Priority to KR10-2001-0024614A priority patent/KR100484321B1/ko
Priority to EP01111210A priority patent/EP1156133A3/en
Publication of JP2001326279A publication Critical patent/JP2001326279A/ja
Application granted granted Critical
Publication of JP3600507B2 publication Critical patent/JP3600507B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 銅配線間に低誘電率を有する多層の絶縁膜か
らなる層間絶縁膜を形成したときに、層間絶縁膜の剥が
れを防止しつつ、層間絶縁膜を挟む銅配線間のリーク電
流を小さくする。 【解決手段】 表面に銅配線23が露出した基板21上
に低誘電率を有する層間絶縁膜を形成する半導体装置の
製造方法において、層間絶縁膜は多層の絶縁膜から構成
されてなり、多層の絶縁膜のうち銅配線23と接する絶
縁膜24を、シロキサン結合を有するアルキル化合物
と、該アルキル化合物のガス流量と等しいか又は少ない
ガス流量に調整されたN2O、H2O又はCO2のうち何
れか一の酸素含有ガスとを含む成膜ガスをプラズマ化
し、反応させて成膜する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法に関し、より詳しくは、銅配線を被覆して低
誘電率を有する層間絶縁膜を形成する半導体装置及びそ
の製造方法に関する。
【0002】
【従来の技術】近年、半導体集積回路装置の高集積度
化、高密度化とともに、データ転送速度の高速化が要求
されている。このため、RCディレイの小さい低誘電率
を有する絶縁膜(以下、低誘電率絶縁膜と称する。)が
用いられている。例えば、比誘電率3.5〜3.8のS
iOF膜や比誘電率3.0〜3.1の多孔質SiO2
などである。
【0003】一方、配線材料に関して、従来のアルミニ
ウム(Al)から電気抵抗の低い銅(Cu)配線に変わ
りつつある。従って、従来の多層の銅配線を有する半導
体装置を作成するため、銅配線上に層間絶縁膜として低
誘電率絶縁膜を形成しているが、一般に低誘電率絶縁膜
には銅配線からの銅が拡散し易いため、上下配線の間の
リーク電流が増加してしまう。従って、低誘電率絶縁膜
に対する銅元素の拡散を防止するため、SiC系のバリア
絶縁膜の開発が同時に行われている。
【0004】銅配線を有する半導体装置は、銅配線上に
SiC系のバリア絶縁膜と低誘電率絶縁膜とが順に積層さ
れてなる。
【0005】
【発明が解決しようとする課題】しかしながら、SiC系
のバリア絶縁膜は、比誘電率が5程度と比較的低いが、
リーク電流の増大を十分に抑制することができない。こ
の場合、リーク電流を十分に抑制するためには、さらに
SiC系のバリア絶縁膜に酸素を導入する必要がある。
【0006】このようにするとリーク電流は十分なレベ
ルまで低減することができるが、銅配線の表面が酸化さ
れてバリア絶縁膜及び低誘電率絶縁膜が剥がれ易くなる
という新たな問題が生じる。本発明は、上記の従来例の
問題点に鑑みて創作されたものであり、銅配線間に低誘
電率を有する多層の絶縁膜からなる層間絶縁膜を形成し
たときに、層間絶縁膜の剥がれを防止しつつ、層間絶縁
膜を挟む銅配線間のリーク電流を小さくすることができ
る半導体装置及びその製造方法を提供するものである。
【0007】
【課題を解決するための手段】上記課題を解決するた
め、請求項1記載の発明は、半導体装置の製造方法に係
り、表面に銅配線が露出した基板上に低誘電率を有する
層間絶縁膜を形成する半導体装置の製造方法において、
前記層間絶縁膜は多層の絶縁膜から構成されてなり、該
多層の絶縁膜のうち前記銅配線と接する絶縁膜を、シロ
キサン結合を有するアルキル化合物と、該アルキル化合
物のガス流量と等しいか又は少ないガス流量に調整され
たN2O、H2O又はCO2のうち何れか一の酸素含有ガ
スとを含む成膜ガスをプラズマ化し、反応させて成膜す
ることを特徴とし、請求項2記載の発明は、請求項1記
載の半導体装置の製造方法に係り、前記成膜ガスは、前
記アルキル化合物及び前記酸素含有ガスの他にハイドロ
カーボンを有するガスを含むことを特徴とし、請求項3
記載の発明は、請求項2記載の半導体装置の製造方法に
係り、前記ハイドロカーボンを有するガスは、メタン
(CH4)、エチレン(C24)、又はエタン(C
26)のうち何れか一であることを特徴とし、請求項4
記載の発明は、請求項1乃至3の何れか一に記載の半導
体装置の製造方法に係り、前記シロキサン結合を有する
アルキル化合物は、ヘキサメチルジシロキサン(HMD
SO:(CH3)3Si-O-Si(CH3)3)、又はオクタメチルシク
ロテトラシロキサン(OMCTS:
【0008】
【化2】) であることを特徴とし、請求項5記載の発明は、請求項
1乃至4のいずれか一に記載の半導体装置の製造方法に
係り、プラズマ生成手段として平行平板型の電極を用
い、かつ前記成膜時に、前記基板を保持する電極に周波
数100kHz乃至1MHzの交流電力を印加すること
を特徴とし、請求項6記載の発明は、請求項1乃至5の
いずれか一に記載の半導体装置の製造方法に係り、プラ
ズマ生成手段として平行平板型の電極を用い、かつ前記
成膜時に、前記基板を保持する電極に対向する電極に周
波数1MHz以上の交流電力を印加することを特徴と
し、請求項7記載の発明は、請求項5又は6記載の半導
体装置の製造方法に係り、前記電極の間隔は前記基板の
厚さ以上、25mm以下であることを特徴とし、請求項
8記載の発明は、半導体装置に係り、銅配線と、該銅配
線を絶縁する低誘電率を有する多層の絶縁膜からなる層
間絶縁膜とを有する半導体装置であって、前記層間絶縁
膜の多層の絶縁膜のうち前記配線と接する絶縁膜として
請求項1乃至7の何れか一に記載の半導体装置の製造方
法により成膜した低誘電率を有するバリア絶縁膜を用い
ていることを特徴としている。
【0009】以下に、上記本発明の構成により奏される
作用を説明する。層間絶縁膜を構成する多層の絶縁膜の
うち銅配線と接する絶縁膜を、シロキサン結合を有する
アルキル化合物と、そのアルキル化合物のガス流量と等
しいか又は少ないガス流量に調整されたN2O、H2O又
はCO2のうち何れか一の酸素含有ガスとを含む成膜ガ
スをプラズマ化し、反応させて形成している。
【0010】シロキサン結合を有するアルキル化合物を
含む成膜ガスを用いたプラズマCVD法により、或いは
シロキサン結合を有するアルキル化合物にハイドロカー
ボンを有するガスを用いたプラズマCVD法により成膜
しているため、緻密で、且つ低誘電率を有する絶縁膜を
形成することができる。特に、平行平板型のプラズマ成
膜装置を用い、かつ少なくとも低周波数の電力供給源を
基板を保持する電極側に接続し、基板に低周波数の電力
を印加することにより、緻密性の高い膜を形成すること
ができる。
【0011】また、酸化剤である酸素含有ガスとして酸
素の含有量が少ないものを用い、かつアルキル化合物の
ガス流量と比較して酸素含有ガスのガス流量を等しいか
又は少なくしているため、成膜ガス中の酸素の相対量が
少なくなる。従って、その成膜時に、例えば銅配線が酸
化するのを抑制することができる。さらに、バリア絶縁
膜上に他の低誘電率を有する絶縁膜を形成するときには
銅配線はすでにバリア絶縁膜により被覆されているの
で、成膜ガス中に酸素含有ガスを含んでいても、銅配線
の酸化を防止することができる。
【0012】また、上記のようにして形成されたバリア
絶縁膜は緻密であるため、そのバリア絶縁膜を上下の銅
配線の間に挟むことにより銅配線から層間絶縁膜への銅
の拡散を防止して層間絶縁膜を挟む銅配線の間のリーク
電流を低減し、かつ、層間絶縁膜全体の誘電率を低減す
ることができる。以上のように、本発明によれば、緻密
で、層間絶縁膜を挟む銅配線の間のリーク電流が少な
く、かつ低誘電率を有する層間絶縁膜を形成することが
できる。
【0013】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて図面を参照しながら説明する。 (第1の実施の形態)図8は、本発明の実施の形態に係
る半導体装置の製造方法に用いられる平行平板型のプラ
ズマ成膜装置101の構成を示す側面図である。
【0014】このプラズマ成膜装置101は、プラズマ
ガスにより被成膜基板21上にバリヤ絶縁膜を形成する
場所である成膜部101Aと、成膜ガスを構成する複数
のガスの供給源を有する成膜ガス供給部101Bとから
構成されている。成膜部101Aは、図8に示すよう
に、減圧可能なチャンバ1を備え、チャンバ1は排気配
管4を通して排気装置6と接続されている。排気配管4
の途中にはチャンバ1と排気装置6の間の導通/非導通
を制御する開閉バルブ5が設けられている。チャンバ1
にはチャンバ1内の圧力を監視する不図示の真空計など
の圧力計測手段が設けられている。
【0015】チャンバ1内には対向する一対の上部電極
(第1の電極)2と下部電極(第2の電極)3とが備え
られ、上部電極2に周波数13.56MHzの高周波電
力を供給する高周波電力供給電源(RF電源)7が接続
され、下部電極3に周波数380kHzの低周波電力を
供給する低周波電力供給電源8が接続されている。これ
らの電源7、8から上部電極2及び下部電極3に電力を
供給して、成膜ガスをプラズマ化する。上部電極2、下
部電極3及び電源7、8が成膜ガスをプラズマ化するプ
ラズマ生成手段を構成する。
【0016】上部電極2は成膜ガスの分散具を兼ねてい
る。上部電極2には複数の貫通孔が形成され、下部電極
3との対向面における貫通孔の開口部が成膜ガスの放出
口(導入口)となる。この成膜ガス等の放出口は成膜ガ
ス供給部101Bと配管9aで接続されている。また、
場合により、上部電極2には図示しないヒータが備えら
れることもある。成膜中に上部電極2を温度凡そ100
℃程度に加熱しておくことにより、成膜ガス等の反応生
成物からなるパーティクルが上部電極2に付着するのを
防止するためである。
【0017】下部電極3は被成膜基板21の保持台を兼
ね、また、保持台上の被成膜基板21を加熱するヒータ
12を備えている。成膜ガス供給部101Bには、ヘキ
サメチルジシロキサン(HMDSO:(CH3)3Si-O-Si(CH
3)3)、一酸化窒素(N2O)、水(H2O)、メタン
(CH4)、及び窒素(N2)の供給源が設けられてい
る。これらのガスは適宜分岐配管9b乃至9f及びこれ
らすべての分岐配管9b乃至9fが接続された配管9a
を通して成膜部101Aのチャンバ1内に供給される。
分岐配管9b乃至9fの途中に流量調整手段11a乃至
11eや、分岐配管9b乃至9fの導通/非導通を制御
する開閉手段10b乃至10kが設置され、配管9aの
途中に配管9aの閉鎖/導通を行う開閉手段10aが設
置されている。また、N2ガスを流通させて分岐配管9
b乃至9e内の残留ガスをパージするため、、N2ガス
の供給源と接続された分岐配管9fとその他の分岐配管
9b乃至9eの間の導通/非導通を制御する開閉手段1
0l乃至10pが設置されている。なお、N2ガスは分
岐配管9b乃至9e内のほかに、配管9a内及びチャン
バ1内の残留ガスをパージする。
【0018】以上のような成膜装置101によれば、ア
ルキル化合物の供給源(HMDSO)と、ハイドロカー
ボン(CH)含有ガスの供給源と、酸素含有ガスの供給
源とを備え、さらに成膜ガスをプラズマ化するプラズマ
生成手段2、3、7、8を備えている。これにより、プ
ラズマCVD法によりSi,O,C,Hを含むバリア絶
縁膜を形成することができる。このため、下記の第3の
実施の形態に示すように、低い誘電率を有し、かつ銅の
拡散を抑制するバリア絶縁膜を形成することができる。
【0019】そして、プラズマ生成手段として、例えば
平行平板型の第1及び第2の電極2、3によりプラズマ
を生成する手段、ECR(Electron Cyclotron Resonan
ce)法によりプラズマを生成する手段、アンテナからの
高周波電力の放射によりヘリコンプラズマを生成する手
段等がある。これらのプラズマ生成手段のうち平行平板
型の第1及び第2の電極2、3にそれぞれ高低2つの周
波数の電力を供給する電源7、8が接続されている。従
って、これら高低2つの周波数の電力をそれぞれ各電極
2、3に印加してプラズマを生成することができる。特
に、このようにして生成した絶縁膜は緻密であり、かつ
CH3を含むため、低誘電率を有する。
【0020】次に、本発明が適用される、バリア絶縁膜
の成膜ガスであるシロキサン結合を有するアルキル化合
物、及びハイドロカーボンを有するガスについては、代
表例として以下に示すものを用いることができる。 (i)シロキサン結合を有するアルキル化合物 ヘキサメチルジシロキサン(HMDSO:(CH3)3Si-O-S
i(CH3)3) オクタメチルシクロテトラシロキサン(OMCTS:
【0021】
【化3】) (ii)ハイドロカーボンを有するガス メタン(CH4) エチレン(C24) エタン(C26) 次に、図1、図2、図8及び図9(a)を参照して、本
発明の第1の実施の形態に係る半導体装置及びその製造
方法を説明する。
【0022】図1(a)乃至(d)、図2(a)、
(b)は、本発明の第1の実施の形態に係る半導体装置
及びその製造方法を示す断面図である。成膜ガスとして
HMDSO+N2Oを用い、図9(a)に使用する成膜
ガスを構成する各ガスのチャンバ1内への導入のタイミ
ングを示す。図1(a)は、銅配線を形成した後の状態
を示す断面図である。図中、符号22は下地絶縁膜、2
3はメッキにより形成された銅配線(下部配線)であ
る。なお、図示していないが、下地絶縁膜22と銅配線
(下部配線)23の間には下層から下地絶縁膜22に対
する銅のバリアとしてのTaN膜と、スパッタにより形
成されたCu膜とが形成されている。これらが被成膜基
板21を構成する。
【0023】このような状態で、図1(b)に示すよう
に、プラズマCVD法により銅配線23上にバリア絶縁
膜24を形成する。バリア絶縁膜24を形成するには、
まず、被成膜基板21を成膜装置101のチャンバ1内
に導入し、基板保持具3に保持する。続いて、ヘキサメ
チルジシロキサン(HMDSO)を流量50sccmで、N
2Oガスを流量30sccmで、図7に示すプラズマ成膜装
置101のチャンバ1内に導入し、圧力を1Torrに保持
する。次いで、下部電極3に周波数380KHzの電力
100Wを印加する。上部電極2には電力を印加しな
い。
【0024】これにより、ヘキサメチルジシロキサンと
2Oがプラズマ化する。この状態を所定時間保持し
て、膜厚約50のSi,O,C,Hを含有する絶縁膜か
らなるバリア絶縁膜24を形成する。調査によれば、成
膜されたSi,O,C,Hを含有する絶縁膜は、周波数
1MHzで測定した比誘電率が凡そ3.2であり、電界
強度5MV/cmのときリーク電流が10-8A/cm2
であった。
【0025】次に、図1(c)に示すように、よく知ら
れたプラズマCVD法により、低誘電率を有する膜厚約
500nmの多孔質シリコン含有絶縁膜25を形成す
る。多孔質シリコン含有絶縁膜の形成方法として、例え
ば、減圧熱CVD法による成膜とプラズマCVD法によ
る成膜を繰り返して多層の絶縁膜を形成する方法、有機
膜とSiO2膜とを交互に積層した後、酸素プラズマに
よりアッシングして有機物を除去する方法等がある。
【0026】続いて、アッシングやエッチングにおける
多孔質シリコン含有絶縁膜25の保護膜29である薄く
て緻密性の高いNSG膜(不純物を含まないシリコン酸
化膜)或いはSiOC含有絶縁膜を形成する。保護膜2
9がない場合、フォトレジスト膜26をアッシングする
際、或いは多孔質シリコン含有絶縁膜25の下のバリヤ
絶縁膜24をエッチングする際に処理ガスにより多孔質
シリコン含有絶縁膜25が変質し、低誘電率特性が劣化
する恐れがある。なお、場合により、保護膜29を省略
してもよい。
【0027】次いで、図1(d)に示すように、フォト
レジスト膜26を形成した後、パターニングし、ビアホ
ールを形成すべき領域にフォトレジスト膜26の開口部
26aを形成する。続いて、CF4+CHF3系の混合ガ
スをプラズマ化したものを用いた反応性イオンエッチン
グ(RIE)によりフォトレジスト膜26の開口部26
aを通して層間絶縁膜25をエッチングし、除去する。
これにより、開口部25aが形成されてバリア絶縁膜2
4が表出する。その後、フォトレジスト膜26をアッシ
ングする。このとき、上記層間絶縁膜25のエッチング
ガス及びアッシングガスに対してバリヤ絶縁膜24はエ
ッチング耐性を有する。従って、銅配線23がエッチン
グガスによる悪影響を受けない。CF4+CHF3系の混
合ガスは、CF4+CHF3のほかにAr+O2等を加え
て濃度調整を行ってもよい。
【0028】次に、図2(a)に示すように、層間絶縁
膜25のエッチングに用いたガスと組成比を変えたCF
4+CHF3系の混合ガスをプラズマ化したものを用いた
反応性イオンエッチング(RIE)により、保護膜29
の開口部及び層間絶縁膜25の開口部25aを通してバ
リア絶縁膜24をエッチングし、除去する。これによ
り、ビアホール27が形成されてその底部に銅配線23
が表出する。このとき、上記バリア絶縁膜24のエッチ
ングガスに対して銅配線23はエッチング耐性を有す
る。従って、銅配線23がエッチングガスによる悪影響
を受けない。なお、銅配線の表面は酸化されるが、レジ
スト膜のアッシング工程を経てバリア膜のエッチング工
程の後に還元性ガス、例えばNH3や、アルゴン、窒素
等の不活性ガスで希釈した水素のプラズマに曝して除去
する。
【0029】次いで、フォトレジスト膜26を除去した
後、図2(b)に示すように、ビアホール27内に導電
膜、例えば窒化タンタル(TaN)等のバリア金属膜と
スパッタ法により形成した銅膜とからなる下地導電膜3
0を敷き、続いてこの下地導電膜30上、ビアホール2
7内に銅膜28aを埋め込む。次いで、銅膜28aを通
して下部配線23と接続するように銅又はアルミニウム
からなる上部配線28bを形成する。
【0030】以上により、層間絶縁膜25及びバリヤ絶
縁膜24のビアホール27を通して下部配線23と接続
する上部配線28bの形成が完了する。次に、第1の実
施の形態により作成したバリヤ絶縁膜の特性を調査した
結果を説明する。図3、図4は、本発明の第1の実施の
形態に係る半導体装置の製造方法により形成されたバリ
ヤ絶縁膜の特性を調査した結果を示すグラフである。図
7は、上記調査に用いた試料の構造を示す断面図であ
る。
【0031】調査に用いた試料を以下のようにして作成
する。即ち、図7に示すように、成膜ガスとしてHMD
SとN2Oとを用いたプラズマCVD法によりSiOC
H膜からなるバリヤ絶縁膜33をp型シリコン基板32
上に形成する。バリヤ絶縁膜33の成膜条件は以下の通
りである。バリヤ絶縁膜の膜厚は472.2nmであっ
た。
【0032】(i)成膜ガス条件 成膜ガス(流量):HMDS(50sccm)+N2O(x scc
m) ガス圧力=1Torr 基板加熱温度:350℃ 上記N2Oガス流量(x sccm)は0〜50sccmの範囲で
変化させた。
【0033】(ii)プラズマ化条件 高周波電力(13.56MHz)PHF=0W 低周波電力(380KHz)PLF=100W さらに、バリヤ絶縁膜33に接触させる電極面積0.0
230cm2を有する水銀プローブ34をバリヤ絶縁膜
33表面に接触させる。
【0034】比誘電率を測定する場合は、直流バイアス
に1MHzの高周波の信号を重畳したC−V測定法を用
い、屈折率を測定する場合は、エリプソメータで633
8オングストロームのHe−Neレーザを用いる。ま
た、リーク電流を測定する場合、シリコン基板32を接
地するとともに、水銀プローブ34に負の電圧を印加す
る。
【0035】比誘電率及び屈折率を測定した結果を図3
に示す。図3の左側の縦軸は線形目盛で表した比誘電率
を示し、右側の縦軸は線形目盛で表した屈折率を示す。
横軸は線形目盛で表したN2Oの流量(sccm)を示す。
図3に示すように、比誘電率はN2Oの流量0sccmのと
き凡そ3.3、50sccmのとき凡そ3.41であり、N
2Oの流量の増加とともに増加する。また、屈折率はN2
Oの流量0sccmのとき凡そ1.658であり、以降N2
Oの流量が増加するとともに減少し、30sccmのときに
最小値凡そ1.605となる。そして、以降、N2Oの
流量が増加するにしたがって増加し、50sccmのとき凡
そ1.615となる。
【0036】また、リーク電流を測定した結果を図4に
示す。図4の縦軸は対数目盛で表したリーク電流(A/
cm2)を示し、横軸は線形目盛で表したバリヤ絶縁膜
33にかかる電界(MV/cm)を示す。なお、横軸の
負の符号は水銀プローブ34に負の電位を加えることを
表している。図4に示すように、リーク電流は電界強度
3MV/cmで10-9 A/cm2C台が得られた。実用
的には電界強度3MV/cmで10-3 A/cm2以下が
好ましい。
【0037】以上のように、この発明の実施の形態によ
れば、シリコン(Si)、酸素(O)、炭素(C)及び
水素(H)だけを含むシリコン化合物を成膜ガスとして
プラズマ化し、反応させて、下部配線23と層間絶縁膜
25の間にSi,O,C,Hを含有するバリヤ絶縁膜2
4を形成している。シリコン(Si)、酸素(O)、炭
素(C)及び水素(H)だけを含むシリコン化合物を用
い、酸素を少量含む酸化剤を用いているので、バリヤ絶
縁膜24を形成するときに、下部配線23が酸化するの
を抑制することができる。また、層間絶縁膜25を形成
するときには下部配線23はすでにバリヤ絶縁膜24に
より被覆されているので、酸素含有ガスを用いても、下
部配線23が酸化するのを防止することができる。
【0038】ところで、低誘電率を有する絶縁膜25は
一般に多孔質であり、外部から銅元素が拡散し易いが、
下部配線23と多孔質絶縁膜25との間にバリヤ絶縁膜
24を形成した場合、銅配線23から多孔質絶縁膜25
に侵入しようとする銅元素の侵入がバリヤ絶縁膜24に
よって阻止される。従って、バリヤ絶縁膜24を間に挟
むことにより銅の拡散を防止して多孔質絶縁膜25を挟
む配線23、28b間のリーク電流を低減し、かつ、バ
リヤ絶縁膜24を含む層間絶縁膜25全体の誘電率を低
減することができる。
【0039】例えば、緻密性の高い膜は、平行平板型の
プラズマ成膜装置を用い、かつ低周波数のAC電源8及
び高周波数のRF電源7をそれぞれ下部電極3及び上部
電極2に接続し、低周波数の印加電力を高周波数の印加
電力よりも高くすることにより形成することができる。
なお、上記では、シリコン(Si)、酸素(O)、炭素
(C)及び水素(H)だけを含むシリコン化合物として
HMDSOを用いているが、上記した他のシリコン化合
物、例えばオクタメチルシクロテトラシロキサン(OM
CTS)を用いることも可能である。
【0040】また、酸素含有ガスとしてN2Oを用いて
いるが、水(H2O)又は二酸化炭素(CO2)を用いて
もよい。 (第2の実施の形態)図5(a)、(b)は、本発明の
第2の実施の形態に係る半導体装置及びその製造方法を
示す断面図である。
【0041】図1及び図2に示す第1の実施の形態と異
なるところは、上記シリコン化合物とN2Oとにハイド
ロカーボンを有するガスを加えた成膜ガスを用いている
ことである。さらに、平行平板型の対向電極のうち、基
板を保持する下部電極3に低周波数の電力を印加するほ
かに、この下部電極3に対向する上部電極2にも高周波
の交流電力を印加していることである。
【0042】成膜ガスとしてHMDSO+N2O+CH4
を用い、図9(b)に使用する成膜ガスを構成する各ガ
スのチャンバ1内への導入のタイミングを示す。まず、
図5(a)に示すように、下地絶縁膜22上に銅配線2
3を形成する。続いて、HMDSOと、N2Oガスと、
ハイドロカーボンを有するガスとしてのCH4とを図7
に示すプラズマ成膜装置101のチャンバ1内に導入
し、プラズマCVD法により銅配線23上にバリヤ絶縁
膜31を形成する。この状態を図5(b)に示す。
【0043】即ち、HMDSOを流量50sccmで、N2
Oガスを流量30sccmで、CH4を流量50sccmで図7
に示すプラズマ成膜装置のチャンバ1内に導入し、チャ
ンバ内のガス圧力を1Torrに保持する。続いて、平行平
板型の対向電極のうち、基板を保持する下部電極3に3
80kHzの交流電力100Wを印加するとともに、こ
の下部電極3に対向する上部電極2にも周波数13.5
4MHzの高周波電力50Wを印加する。これにより、
成膜ガスはプラズマ化されるので、この状態を5秒間保
持することにより、銅配線23を被覆して膜厚50nm
のバリヤ絶縁膜31aを形成する。
【0044】次に、第2の実施の形態により作成したバ
リヤ絶縁膜の特性を調査した結果を図6を参照して説明
する。図6は、本発明の第2の実施の形態に係る半導体
装置の製造方法により形成されたバリヤ絶縁膜の特性を
調査した結果を示すグラフである。図7は、上記調査に
用いた試料の構造を示す断面図である。調査に用いた試
料を以下のようにして作成する。即ち、図7に示すよう
に、成膜ガスとしてHMDSとN2Oとを用いたプラズ
マCVD法によりSiOCH膜からなるバリヤ絶縁膜3
5をp型シリコン基板32上に形成する。バリヤ絶縁膜
35の成膜条件は以下の通りである。
【0045】(i)成膜ガス条件 成膜ガス(流量):HMDS(50sccm)+N2O(30sccm)
+CH4(y sccm) ガス圧力=1Torr 基板加熱温度:350℃ 上記CH4ガス流量(y sccm)は0〜300sccmの範囲
で変化させた。
【0046】(ii)プラズマ化条件 高周波電力(13.56MHz)PHF=0W 低周波電力(380KHz)PLF=100W さらに、バリヤ絶縁膜33に接触させる電極面積0.0
230cm2を有する水銀プローブ34をバリヤ絶縁膜
33表面に接触させる。
【0047】比誘電率及び屈折率を測定する場合は、第
1の実施の形態と同じようにした。比誘電率及び屈折率
を測定した結果を図6に示す。図6の左側の縦軸は線形
目盛で表した比誘電率を示し、右側の縦軸は線形目盛で
表した屈折率を示す。横軸は線形目盛で表したCH4
流量(cc/min,sccm)を示す。図6に示すように、比
誘電率はCH4の流量0sccmのとき凡そ3.6であり、
以降CH4の流量の増加とともに増加し、CH4の流量3
00sccmのとき凡そ4.5となる。また、屈折率も同様
な傾向を有し、CH4の流量0sccmのとき凡そ1.64
であり、300sccmのとき凡そ1.94となる。
【0048】また、別の調査によれば、リーク電流は印
加電界が5MV/cmのとき、10 -8A/cm2台であ
った。以上のように、この発明の第2の実施の形態によ
れば、第1の実施の形態と異なり、シリコン化合物とN
2Oとにハイドロカーボンを有するガスとしてCH4を加
えた成膜ガスを用い、さらに、平行平板型の対向電極の
うち、基板を保持する下部電極3のほかに、この下部電
極3に対向する上部電極2にも高周波電力を印加してい
る。これにより、緻密で、かつ誘電率の低い絶縁膜を形
成することが出来るため、バリヤ絶縁膜31を含む層間
絶縁膜25全体の誘電率を低減するとともに、銅の拡散
をより完全に防止してリーク電流を低減することができ
る。
【0049】また、銅配線23と接するバリヤ絶縁膜3
1aの成膜ガスのうち、酸素含有ガスとしてN2Oを用
い、かつその流量をアルキル化合物の流量に対して少な
くしている。従って、成膜ガス中の酸素の含有量が少な
くなるため、銅配線23表面の酸化を抑制することがで
き、かつ銅の拡散を防止することができる。なお、上記
のハイドロカーボンを有するガスとしてメタン(C
4)を用いているが、エチレン(C24)、或いはエ
タン(C26)を用いてもよい。
【0050】以上、実施の形態によりこの発明を詳細に
説明したが、この発明の範囲は上記実施の形態に具体的
に示した例に限られるものではなく、この発明の要旨を
逸脱しない範囲の上記実施の形態の変更はこの発明の範
囲に含まれる。
【0051】
【発明の効果】以上のように、本発明によれば、層間絶
縁膜を構成する多層の絶縁膜のうち配線と接する絶縁膜
を、シロキサン結合を有するアルキル化合物と、そのア
ルキル化合物のガス流量と等量以下のN2O、H2O又は
CO2のうち何れか一の酸素含有ガスとを含む成膜ガス
をプラズマ化し、反応させて形成している。
【0052】シロキサン結合を有するアルキル化合物を
含む成膜ガスを用いたプラズマCVD法により、或いは
シロキサン結合を有するアルキル化合物にハイドロカー
ボンを有するガスを用いたプラズマCVD法により成膜
しているため、低誘電率を有する絶縁膜を形成すること
ができる。また、酸化剤である酸素含有ガスとして酸素
の量が少ないものを用い、かつアルキル化合物の流量に
比べてガス流量を少量としているため、その成膜時に、
例えば銅配線が酸化するのを防止することができる。
【0053】また、バリア絶縁膜を上下の銅配線の間に
挟むことにより銅配線から層間絶縁膜への銅の拡散を防
止し、層間絶縁膜を挟む銅配線の間のリーク電流を低減
し、かつ、層間絶縁膜全体の誘電率を低減することがで
きる。以上のように、本発明によれば、緻密で、かつリ
ーク電流の少ない、低誘電率を有する層間絶縁膜を形成
することができる。
【図面の簡単な説明】
【図1】(a)〜(d)は本発明の第1の実施の形態で
ある半導体装置及びその製造方法について示す断面図
(その1)である。
【図2】(a)、(b)は本発明の第1の実施の形態で
ある半導体装置及びその製造方法について示す断面図
(その2)である。
【図3】本発明の第1の実施の形態であるバリヤ絶縁膜
の比誘電率及び屈折率の特性を示すグラフである。
【図4】本発明の第1の実施の形態であるバリヤ絶縁膜
のリーク電流の特性を示すグラフである。
【図5】(a)、(b)は本発明の第2の実施の形態で
ある半導体装置及びその製造方法について示す断面図で
ある。
【図6】本発明の第2の実施の形態であるバリヤ絶縁膜
の比誘電率及び屈折率の特性を示すグラフである。
【図7】本発明の実施の形態であるバリヤ絶縁膜の特性
調査に用いた試料の構成を示す断面図である。
【図8】本発明の実施の形態である半導体装置の製造方
法に用いられるプラズマ成膜装置の構成を示す側面図で
ある。
【図9】(a)は、本発明の第1の実施の形態である半
導体装置の製造方法に用いられる成膜ガスのプラズマ成
膜装置のチャンバ内への導入について示すタイミングチ
ャートであり、(b)は、同じく第2の実施の形態であ
る半導体装置の製造方法に用いられる成膜ガスのプラズ
マ成膜装置のチャンバ内への導入について示すタイミン
グチャートである。
【符号の説明】
1 チャンバ 2 上部電極 3 下部電極 4 排気配管 5 バルブ 6 排気装置 7 高周波電力供給電源(RF電源) 8 低周波電力供給電源 9a 配管 9b〜9f 分岐配管 10a〜10n,10p 開閉手段 11a〜11e 流量調整手段 12 ヒータ 21 被成膜基板 22 下地絶縁膜 23 銅配線(下部配線) 24,31,33,35 バリヤ絶縁膜 25 層間絶縁膜 26 フォトレジスト膜 27 ビアホール 28 上部配線 32 シリコン基板 34 水銀プローブ 101A 成膜部 101B 成膜ガス供給部
───────────────────────────────────────────────────── フロントページの続き (72)発明者 鈴木 智美 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 山本 陽一 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 小竹 勇一郎 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 塩谷 喜美 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 (72)発明者 大平 浩一 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 (72)発明者 前田 和夫 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 Fターム(参考) 5F033 HH08 HH11 HH32 JJ11 JJ32 KK11 KK32 MM05 MM13 NN06 NN07 PP15 QQ09 QQ10 QQ13 QQ21 QQ25 QQ28 QQ37 RR01 RR04 RR29 SS01 SS13 SS15 TT02 WW00 WW01 XX00 XX14 XX24 5F058 BC02 BC04 BF07 BF22 BF26 BF29 BJ02

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 表面に銅配線が露出した基板上に低誘電
    率を有する層間絶縁膜を形成する半導体装置の製造方法
    において、前記層間絶縁膜は多層の絶縁膜から構成され
    てなり、該多層の絶縁膜のうち前記銅配線と接する絶縁
    膜を、シロキサン結合を有するアルキル化合物と、該ア
    ルキル化合物のガス流量と等しいか又は少ないガス流量
    に調整されたN2O、H2O又はCO2のうち何れか一の
    酸素含有ガスとを含む成膜ガスをプラズマ化し、反応さ
    せて成膜することを特徴とする半導体装置の製造方法。
  2. 【請求項2】 前記成膜ガスは、前記アルキル化合物及
    び前記酸素含有ガスの他に、ハイドロカーボンを有する
    ガスを含むことを特徴とする請求項1記載の半導体装置
    の製造方法。
  3. 【請求項3】 前記ハイドロカーボンを有するガスは、
    メタン(CH4)、エチレン(C24)、又はエタン
    (C26)のうち何れか一であることを特徴とする請求
    項2記載の半導体装置の製造方法。
  4. 【請求項4】 前記シロキサン結合を有するアルキル化
    合物は、ヘキサメチルジシロキサン(HMDSO:(C
    H3)3Si-O-Si(CH3)3)、又はオクタメチルシクロテトラ
    シロキサン(OMCTS: 【化1】) であることを特徴とする請求項1乃至3の何れか一に記
    載の半導体装置の製造方法。
  5. 【請求項5】 プラズマ生成手段として平行平板型の電
    極を用い、かつ前記成膜時に、前記基板を保持する電極
    に周波数100kHz乃至1MHzの交流電力を印加す
    ることを特徴とする請求項1乃至4のいずれか一に記載
    の半導体装置の製造方法。
  6. 【請求項6】 プラズマ生成手段として平行平板型の電
    極を用い、かつ前記成膜時に、前記基板を保持する電極
    に対向する電極に周波数1MHz以上の交流電力を印加
    することを特徴とする請求項1乃至5のいずれか一に記
    載の半導体装置の製造方法。
  7. 【請求項7】 前記電極の間隔は前記基板の厚さ以上、
    25mm以下であることを特徴とする請求項5又は6記
    載の半導体装置の製造方法。
  8. 【請求項8】 銅配線と、該銅配線を絶縁する低誘電率
    を有する多層の絶縁膜からなる層間絶縁膜とを有する半
    導体装置であって、前記層間絶縁膜の多層の絶縁膜のう
    ち前記配線と接する絶縁膜として請求項1乃至7の何れ
    か一に記載の半導体装置の製造方法により成膜した低誘
    電率を有するバリア絶縁膜を用いていることを特徴とす
    る半導体装置。
JP2000146242A 2000-05-18 2000-05-18 半導体装置及びその製造方法 Expired - Fee Related JP3600507B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000146242A JP3600507B2 (ja) 2000-05-18 2000-05-18 半導体装置及びその製造方法
US09/843,725 US6479408B2 (en) 2000-05-18 2001-04-30 Semiconductor device and method of manufacturing the same
TW090110406A TW493244B (en) 2000-05-18 2001-05-01 Semiconductor device and method of manufacturing the same
KR10-2001-0024614A KR100484321B1 (ko) 2000-05-18 2001-05-07 반도체 장치 및 그 제조 방법
EP01111210A EP1156133A3 (en) 2000-05-18 2001-05-14 Method of manufacturing a multilayered dielectric film and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000146242A JP3600507B2 (ja) 2000-05-18 2000-05-18 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2001326279A true JP2001326279A (ja) 2001-11-22
JP3600507B2 JP3600507B2 (ja) 2004-12-15

Family

ID=18652630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000146242A Expired - Fee Related JP3600507B2 (ja) 2000-05-18 2000-05-18 半導体装置及びその製造方法

Country Status (5)

Country Link
US (1) US6479408B2 (ja)
EP (1) EP1156133A3 (ja)
JP (1) JP3600507B2 (ja)
KR (1) KR100484321B1 (ja)
TW (1) TW493244B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152076A (ja) * 2001-11-14 2003-05-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003234346A (ja) * 2001-12-06 2003-08-22 Canon Sales Co Inc 半導体装置の製造方法
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
WO2007004381A1 (ja) * 2005-06-30 2007-01-11 Juridical Foundation Osaka Industrial Promotion Organization SiOCH膜の製造方法およびプラズマCVD装置、並びにSiOCH膜
US7176121B2 (en) 2002-10-17 2007-02-13 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
CN1310321C (zh) * 2002-03-06 2007-04-11 富士通株式会社 包含配线的结构体及其形成方法
JP2008199059A (ja) * 2008-05-01 2008-08-28 Sony Corp 固体撮像素子及びその製造方法
US7723849B2 (en) 2002-10-17 2010-05-25 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2016087982A (ja) * 2014-11-07 2016-05-23 東レ株式会社 金属積層フィルム

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939795B2 (en) 2002-09-23 2005-09-06 Texas Instruments Incorporated Selective dry etching of tantalum and tantalum nitride
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP3845061B2 (ja) 2002-10-24 2006-11-15 株式会社半導体プロセス研究所 半導体装置及びその製造方法
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
JP2005294333A (ja) * 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
US9397318B2 (en) * 2012-09-04 2016-07-19 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06168930A (ja) * 1992-11-30 1994-06-14 Nec Corp 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH07211712A (ja) * 1994-01-25 1995-08-11 Canon Sales Co Inc 成膜方法
JPH09134910A (ja) * 1995-11-10 1997-05-20 Hitachi Ltd プラズマ化学気相成長装置および半導体装置の製造方法
JPH09199503A (ja) * 1996-01-23 1997-07-31 Sony Corp 半導体装置およびその製造方法
JP2001102378A (ja) * 1999-07-23 2001-04-13 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ZA884511B (en) * 1987-07-15 1989-03-29 Boc Group Inc Method of plasma enhanced silicon oxide deposition
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
JP3226479B2 (ja) * 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
WO1998050945A2 (en) 1997-05-07 1998-11-12 Skamser Daniel J Low density film for low dielectric constant applications
JPH113888A (ja) 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
JP3726226B2 (ja) 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06168930A (ja) * 1992-11-30 1994-06-14 Nec Corp 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH07211712A (ja) * 1994-01-25 1995-08-11 Canon Sales Co Inc 成膜方法
JPH09134910A (ja) * 1995-11-10 1997-05-20 Hitachi Ltd プラズマ化学気相成長装置および半導体装置の製造方法
JPH09199503A (ja) * 1996-01-23 1997-07-31 Sony Corp 半導体装置およびその製造方法
JP2001102378A (ja) * 1999-07-23 2001-04-13 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152076A (ja) * 2001-11-14 2003-05-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003234346A (ja) * 2001-12-06 2003-08-22 Canon Sales Co Inc 半導体装置の製造方法
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
CN1310321C (zh) * 2002-03-06 2007-04-11 富士通株式会社 包含配线的结构体及其形成方法
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7176121B2 (en) 2002-10-17 2007-02-13 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7723849B2 (en) 2002-10-17 2010-05-25 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US10121693B2 (en) 2003-03-25 2018-11-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9659867B2 (en) 2003-03-25 2017-05-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10304726B2 (en) 2003-03-25 2019-05-28 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8431480B2 (en) 2003-03-25 2013-04-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8617981B2 (en) 2003-03-25 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8810034B2 (en) 2003-03-25 2014-08-19 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9064870B2 (en) 2003-03-25 2015-06-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9818639B2 (en) 2003-03-25 2017-11-14 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9490213B2 (en) 2003-03-25 2016-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP5152972B2 (ja) * 2005-06-30 2013-02-27 康文 藤原 SiOCH膜の製造方法
WO2007004381A1 (ja) * 2005-06-30 2007-01-11 Juridical Foundation Osaka Industrial Promotion Organization SiOCH膜の製造方法およびプラズマCVD装置、並びにSiOCH膜
JP2008199059A (ja) * 2008-05-01 2008-08-28 Sony Corp 固体撮像素子及びその製造方法
JP2016087982A (ja) * 2014-11-07 2016-05-23 東レ株式会社 金属積層フィルム

Also Published As

Publication number Publication date
EP1156133A2 (en) 2001-11-21
KR20010106215A (ko) 2001-11-29
US20010051445A1 (en) 2001-12-13
JP3600507B2 (ja) 2004-12-15
EP1156133A3 (en) 2003-01-08
KR100484321B1 (ko) 2005-04-20
TW493244B (en) 2002-07-01
US6479408B2 (en) 2002-11-12

Similar Documents

Publication Publication Date Title
JP2001326279A (ja) 半導体装置及びその製造方法
JP3419745B2 (ja) 半導体装置及びその製造方法
TW483027B (en) Semiconductor device and method of making same
US6855484B2 (en) Method of depositing low dielectric constant silicon carbide layers
TWI428712B (zh) 用於各種蝕刻及微影集成結構之非晶系碳(apf)的使用技術
JP3178375B2 (ja) 絶縁膜の形成方法
JP3701626B2 (ja) 半導体装置の製造方法
KR20080098566A (ko) 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소
JP3532830B2 (ja) 半導体装置及びその製造方法
JP2003059923A (ja) 半導体装置及びその製造方法
JP4260764B2 (ja) 半導体装置の製造方法
US5217567A (en) Selective etching process for boron nitride films
JP3934343B2 (ja) 半導体装置及びその製造方法
JP3845061B2 (ja) 半導体装置及びその製造方法
KR100414611B1 (ko) 반도체 장치의 제조 방법
JP2009059804A (ja) 半導体装置の製造方法およびハードマスク
US20060105576A1 (en) High ion energy and reative species partial pressure plasma ash process
EP1128421A2 (en) Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040916

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080924

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080924

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090924

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees