KR19980041870A - 전도체와 절연체 사이의 열화 방지용 플루오르 차단제 층 - Google Patents

전도체와 절연체 사이의 열화 방지용 플루오르 차단제 층 Download PDF

Info

Publication number
KR19980041870A
KR19980041870A KR1019970045109A KR19970045109A KR19980041870A KR 19980041870 A KR19980041870 A KR 19980041870A KR 1019970045109 A KR1019970045109 A KR 1019970045109A KR 19970045109 A KR19970045109 A KR 19970045109A KR 19980041870 A KR19980041870 A KR 19980041870A
Authority
KR
South Korea
Prior art keywords
fluorine
layer
metal
insulator
barrier layer
Prior art date
Application number
KR1019970045109A
Other languages
English (en)
Other versions
KR100332272B1 (ko
Inventor
쿠니에드워드씨삼세
리현케이
맥데비트토마스엘
스탬퍼앤쏘니케이
Original Assignee
포만제프리엘
인터내셔널비지네스머신즈코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만제프리엘, 인터내셔널비지네스머신즈코포레이션 filed Critical 포만제프리엘
Publication of KR19980041870A publication Critical patent/KR19980041870A/ko
Application granted granted Critical
Publication of KR100332272B1 publication Critical patent/KR100332272B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulating Bodies (AREA)

Abstract

본 발명은 절연체 물질과 금속 사이에 플루오르-차단제 층을 형성시킴으로써, 플루오르-함유 물질로부터 방출된 플루오르에의 노출로 인한 열화에 대한 금속의 내성을 개선시키는 방법에 관한 것이다. 본 발명은 반도체 구조체에서 알루미늄 야금(metallurgy)과 같은 야금의 부식 및 중독에 대한 내성을 개선시키는데 특히 유용하다. 본 발명은 또한 본 방법에 의해 제조된 집적회로 구조체를 포함한다.

Description

전도체와 절연체 사이의 열화 방지용 플루오르 차단제 층
본 발명은 일반적으로 반도체 장치 및 그 제조방법, 더 구체적으로는 반도체 장치 와이어링(wiring)의 열화를 방지하는 방법 및 구조체에 관한 것이다.
열화는 플루오르를 함유하는 절연재와 접촉하는 금속선에서 발생한다. 이러한 열화는 집적회로(IC)의 가능한 파손 메카니즘을 나타내기 때문에 심각한 문제이다. 열화 문제는 그에 따른 공정 모니터링, 검사, 장치 유지 요건에 의한 산업상 고비용 문제이다. 본 발명 이전에는, 플루오르를 함유하는 절연체와 접촉하는 금속선과 연관된 열화 문제에 대한 이해가 이루어진 바 없거나, 해결방법이 훨씬 적었다.
따라서, 본 발명의 목적은 플루오르-함유 절연체 물질과 접촉하는 금속에 내열화성을 제공하는 것이다.
본 발명의 다른 목적은 플루오르를 함유하는 산화물 절연체 선과 접촉하는 알루미늄 선에 내부식성을 제공하는 것이다.
본 발명의 또 다른 목적은 집적회로 금속화 계획에 사용되는 금속의 플루오르 중독(fluorine poisoning)을 방지하여 원치않는 비아(via) 저항성 성장을 방지하고, 금속화 층과 비아 플러그(plug)내 금속의 접촉을 향상시키는 것이다.
도 1은 플루오르 차단제 층의 부가 형성에 관한 본 발명의 한 실시태양의 공정들을 나타내는 흐름도이다.
도 2a 내지 도 2f는 도 1의 흐름도에 기술된 본 발명의 몇가지 상이한 가공 단계에서의 반도체 장치의 단면도이다.
도 3은 플루오르 차단제 층의 실질적인 형성에 관한 본 발명의 실시태양의 공정들을 나타내는 흐름도이다.
도 4a 내지 도 4e는 플루오르-함유 층의 표면 개질에 관한 도 3의 흐름도에 기술된 본 발명의 상이한 실시태양의 공정 순서의 상이한 단계에서의 반도체 장치의 단면도이다.
도 5는 본 발명의 또 다른 실시태양에 의해 제작한 반도체 장치의 단면도이다.
상기 목적 및 기타 목적은 본 발명에서 플루오르를 함유하는 절연체 물질과 금속 사이에 플루오르-비함유 차단제 층을 제공하여 절연체 물질로부터 금속으로의 플루오르 확산을 효과적으로 억제함으로써 금속 열화를 방지하여 달성된다.
본 발명을 위하여, 금속 접촉부 및 금속-기제의 전도체와 관련하여 사용되는 열화라는 용어는 금속의 부식 또는 중독을 포함한다. 플루오르에의 노출에 의한 금속선 또는 금속 접촉부의 부식은 화학반응에 의해 플루오르와 금속으로부터 플루오르화 금속의 형성을 뜻한다. 플루오르에의 노출에 의한 금속의 중독이란 오염된 금속 계면의 접촉내성을 증가시키기에 적당한 양의 오염물질로서 플루오르에 의한 금속의 물리적 침투를 뜻한다.
특정한 이론에 결부시키려는 것은 아니지만, 불소-함유 절연물질은 금속선 및 금속 전도체의 패턴화중에 플루오르 성분을, 특히 플루오르(F) 또는 플루오르 기체(F2) 형태로 방출하는 경향이 있는데, 플루오르 성분은 방출된 플루오르에 노출되어 그에 의해 침투되는 금속(예: 알루미늄 또는 티탄)의 부식 및/또는 중독을 개시하고/개시하거나 촉진한다. 이러한 현상은 플루오르가 절연물질의 고유 성분이든지 또는 절연물질의 우연한 오염물질이든지 발생하는 것으로 관찰되었다. 예를 들어, 플루오르는 본 발명의 발명자들에 의하면 금속 전도체 선 사이의 절연 필름으로 통용되는 절연체 필름을 기재로 하는 통상의 TEOS(즉, 테트라에틸오르토실리케이트)에서 오염물질인 것으로 밝혀졌다. 그럼에도 불구하고 절연체 층의 오염물질로서 플루오르의 존재는, 일단 방출되면, 본 발명에 사용된 개재 차단층을 사용하여 방지하지 않는 경우 인접 금속 전도체 선에 잠재적인 열화 위협을 준다.
본 발명의 발명자들에 의해, 플루오르에 노출된 금속에서 일어나는 부식 속도는 인접한 절연체 필름중의 플루오르 농도와 정비례 관계인 것으로 관찰되었다. 즉, 절연체 필름중의 플루오르 농도가 더 낮으면, 절연체 필름중에 더 높은 농도의 플루오르가 존재하는 경우보다 금속 부식이 덜 일어난다.
본 발명에서, 금속 전도체 층이 차단제 층에 형성되어 회로 와이어링을 형성하기 전에 플루오르-비함유 차단제 층이 산화규소, 질화규소, 규소 또는 탄소 필름과 같은 절연체 필름의 표면에 형성된다. 본 발명에서, 플루오르-비함유 차단제 층 물질 자체에는 플루오르가 없어야 하고, 플루오르를 방출하거나 금속 식각, 레지스트 스트리핑(resist stripping), 세정, 어닐링(annealing) 및 금속화 공정과 관련된 다른 공정중에 플루오르가 차단제 층을 통해 이동하지 않아야 한다. 플루오르-비함유란 용어는 플루오르가 존재하지 않지만, 금속 전도체 선 및 절연체 필름위 또는 그안에 형성된 비아 금속의 기능(들)을 손상시키는 진정한 열화 위협은 제공하지 않는 매우 적은 양으로 미량의 오염 플루오르가 존재함을 뜻한다. 따라서, 플루오르-비함유란 용어는 이와 같은 면에서 해석되어야 한다. 플루오르-비함유 차단물질은 또한 BEOL(back end of the line, 선의 후방말단) 가공 조건과 양립할 수 있는 절연 특성을 가져야 하며, 표준의 비아 공정과 양립할 수 있어야 한다.
플루오르-비함유 차단제 층은 두가지 상이한 방식으로 형성할 수 있다. 본질상, 한 방식은 기본적으로 부가 공정인 반면에 다른 방식은 차감(substractive) 공정이다. 부가 기법으로서, 차단제 층은 플루오르-함유 절연체 물질의 노출 표면 위에 증착되는 외부 층으로서 형성될 수 있다. 증착되어 필름을 형성할 수도 있는 플루오르-비함유 차단물질로는 플루오르-비함유 실란 또는 플루오르 오염물질을 최소화하는 방식으로 제조된 TEOS계 필름을 들 수 있다. 본 발명의 실시에서 필름으로서 증착될 수 있는 다른 플루오르-비함유 차단물질로는 플루오르가 없는, 스퍼터-증착(sputter-deposited) 산화물, 플라즈마 강화 화학증착 질화규소, 극한 물리증착 규소, 비도핑된 산화규소, 증착된 비정질 탄소, 기타 유사 물질이 있다.
플루오르-비함유 차단제 층을 형성하기 위한 차감 기법으로서, 플루오르 함량을 제거하여 플루오르-함유 물질의 표면 영역의 조성을 변화시켜 플루오르가 많은 내부 차단제 층 및 플루오르가 없는 외부 차단제 층을 효과적으로 형성함으로써 플루오르-비함유 차단제 층을 형성할 수 있다. 이러한 방식으로 플루오르-비함유 차단제 층을 형성하기 위하여, 플루오르-함유 절연물질을 플라즈마의 존재 또는 부재하에 수소 기체중에서 어닐링하거나, 또 다르게는 산소 또는 오존의 플라즈마에 노출시킴으로써, 플루오르-함유 절연재의 표면 영역으로부터 플루오르를 고갈시키고 제거하여 절연물질의 표면 영역중에 플루오르-비함유 층을 생성시킬 수 있다. 이러한 수소 어닐링의 경우, 절연물질의 표면으로부터 발생하여 플루오르-비함유 영역을 제공하는 HF 기체가 형성되는 것으로 생각된다. 한편, 이 때 정확한 메카니즘은 완전히 알려지지 않았지만, 산소 또는 오존 플라즈마는 플루오르-비함유 SiO로 대체될 절연물질의 표면 영역으로부터 발생하는 SiF 또는 F 기체의 형성을 가져오는 것으로 이론화된다.
본 발명의 부가 방식에 의해 생성되든지 또는 차감 방식에 의해 생성되든지간에, 플루오르-비함유 차단제 층은 그를 통한 플루오르의 이동을 방해하기에 적당한 두께를 가져야 한다. 일반적으로, 플루오르-비함유 차단제 층의 두께는 차단제 물질 및 그의 특정 형태에 따라 좌우될 것이다. 플루오르-비함유 차단제 층의 두께는 일반적으로 1nm 내지 300nm이다.
본 발명의 또 하나의 실시태양으로, 플루오르-비함유 차단제 층은 인접한 금속선 사이의 용량결합을 감소시키기 위하여 절연체 필름의 절연상수를 감소시킬 목적으로 절연체 필름을 의도적으로 플루오르로 도핑시키는 상황에 특히 유용하다. 이러한 상황은 BEOL 기법에서 예상된다. 본 발명의 이러한 실시태양에서, 플루오르-비함유 차단제 층은 플루오르가 많은 절연체 필름과 금속 전도체 선 사이에 형성되어, 금속 선에 달리 가해진 증가된 부식 위험을 방해한다.
본 발명의 또 하나의 실시태양으로, 플루오르-차단제 층을 사용하여 제 1 금속화 층과 비아중의 금속 사이의 접촉을 향상시킴으로써 비아 저항성을 감소시킨다. 예를 들어, 이러한 실시태양에서 플루오르-비함유 차단제 층은 비아를 둘러싸는 플루오르-함유 산화물의 표면에 형성되어, 비아 금속(들)(예: AlCu/TiN/Ti/W, AlCu/Ti/AlCu, 또는 Cu/Ta/TaN/Cu)의 중독, 및 플루오르 노출에 수반되는 비아 금속 부착력의 손실을 방지함으로써 제 1 수준의 금속에 대한 비아 금속의 접촉을 향상시킬 수 있다. 이러한 실시태양은 비아 저항성이 증가하는 것을 효과적으로 방지한다.
본 발명에 의해 플루오르 열화로부터 보호될 수 있는 금속으로는 플루오르의 존재하에 열화되기 쉬운 금속 또는 금속 합금이 있다. 본 발명에 의해 플루오르 열화로부터 보호될 수 있는 금속으로는, 예컨대 알루미늄 및 알루미늄 합금(예: Al-Si, Al-Cu, Al-Si-Cu), 구리 및 구리 합금(예: Cu-Sn), Au 및 Au-기제의 합금(예컨대, Au-Ge), 니켈 및 니켈 합금, 크롬, CrSi2, 게르마늄, 몰리브덴, MoSi2, 팔라듐, PdSi2, 백금, PtSi, 탄탈륨, TaSi2, 티탄, TiN, Ti-Pt, Ti-W, TiSi2, 텅스텐, WSi2, WN, ZrSi2등이 있다. 알루미늄 또는 구리는 오옴성 접촉에 이상적이고 규소, 다규소, 규산화물, 표면 실리카 층에 용이하게 결합하기 때문에, 알루미늄 또는 구리, 특히 이들의 합금은 초고밀도 집적회로(VLSI) 기법의 다단계 금속화 계획에 널리 사용된다. 그러나, 알루미늄-함유 금속 및 구리-함유 금속은 특히 플루오르-유도성 부식을 일으키기도 쉬우므로, 본 발명은 이러한 금속 재료를 보호하는데 특히 유용하다.
본 발명은 VLSI 기법에 중요한 다수준 금속화 계획에 용이하게 통합되고 그와 양립할 수 있다. 일반적으로, 단 하나의 추가 단계, 즉 플루오르-비함유 차단제 층 형성단계 자체만이 공정에 포함되어야 한다. 게다가, 본 발명은 금속 와이어링 및 금속화 상호접속 구조물의 열화를 방지하는 힘든 기법이기 때문에, 낮춰진 손상율 및 열화 모니터링 조건으로 인해 상당한 비용 절감이 달성된다.
전술한 목적 및 기타 목적, 양상, 이점은 도면을 참조로 하여 본 발명의 바람직한 실시태양에 대한 하기의 상세한 설명을 보면 더 잘 이해될 것이다.
각종 층의 두께는 분명하게 예시하기 위하여 나타낸 것이기 때문에 일정한 비율로 그릴 필요가 없으며, 제한적인 의미로 해석되지 않아야 한다.
도면들, 더 구체적으로 도 1을 참조로 하면, 플루오르-비함유 차단제 층의 부가 형성에 관한 본 발명의 방법중의 한 실시태양의 흐름도가 도시되어 있다. 도 1에 요약된 바와 같은 본 발명의 공정 순서는 다음의 공정 순서에 따라 진행된다:
① 금속 증착/포토리소그래피에 의한 마스킹(photolithographic masking)/식각/마스크 스트리핑/어닐링의 하부단계를 순차적으로 실행하여 금속 와이어링 패턴을 형성하는 단계,
② 플루오르 차단제 증착 단계(하부 층),
③ 플루오르화 절연체 증착 단계,
④ 플루오르 차단제 증착 단계(상부 층),
⑤ 포토리소그래피에 의한 마스킹/식각에 의한 비아 형성/금속으로의 비아 충전 및 제 2 수준의 와이어링 형성에 의한 접촉부 형성 단계,
⑥ 추가의 원하는 수준의 금속화를 위하여 단계 ① 내지 ⑤의 반복.
이러한 부가 공정의 수행은 각각 도 2a 내지 도 2f에 도시되어 있다. 도 2a에서, 금속 와이어 패턴(24)의 형성은 기판(26)(예컨대, 단결정질 반도체)위에 금속 층을 증착시키는 순차적인 하부단계에 의한 것으로 도시되며, 표준의 포토리소그래피 기법을 사용하여 와이어링 패턴(24)을 정하는 식각 공정을 위해 금속 층을 패턴화하고 마스킹할 수 있다. 그 다음, 마스크를 스트리핑하고, 금속화된 기판을 어닐링하여 야금을 결정화한다. 도 2b에 도시된 바와 같이, 플루오르-비함유 차단제(28)는 기판(26) 및 금속 와이어링(24)의 외표면 부분 위에 그 모양을 따라 형성된다. 화학증착(Chemical Vapor Deposition, CVD), 플라즈마 강화 화학증착(Plasma Enhanced Chemical Vapor Deposition, PECVD), 저압 화학증착(Low Pressure Chemical Vapor Deposition, LPCVD), 고밀도 플라즈마 화학증착(High Density Plasma Chemical Vapor Deposition, HDPCVD) 또는 물리증착(Physical Vapor Deposition, PVD) 등과 같은 통상의 절연체 필름 형성 공정을 사용하여 하부의 플루오르-비함유 차단제 층(28)을 증착시킬 수 있다. 플루오르-비함유 차단제 층 물질은, 예컨대 규소, 이산화규소, 질화규소 또는 탄소일 수 있다. 플루오르-비함유 차단제 층의 두께는 1nm보다 커야 하고, 바람직하게는 약 5nm보다 커야 하며, 관행상 또는 기술상 관점으로 볼 때 두께에 상한은 없다. 플루오르 차단제 층의 두께가 너무 작다면, 플루오르는 차단제 층을 통해 이동하여 차단제 층의 반대쪽에 위치한 금속을 바람직하지 않게 오염시킬 수 있다.
그 다음, 도 2c에 도시된 바와 같이, 플루오르가 많은 절연체 층(22)은 통상의 이산화규소 또는 비정질 탄소 층을 플루오르 도핑시킴으로써 증착시킬 수 있다. 이러한 도핑은 SixFy(x, y는 양의 값임)(예: SiF4) 또는 CxFy(예: CF4, CF6, C2F6, C6F12)와 같은 플루오르원으로 절연체-필름 형성 기체를 플루오르 도핑함으로써 동일 반응계내에서 수행할 수 있다. 절연체-필름 형성 기체는, 예컨대 CVD, PECVD, HDPCVD 또는 PVD 공정에 적용되는 것과 같은, SiH4/O2, SiH4/O2/Ar, SiH4/N2O 또는 TEOS/O2일 수 있다. 본 발명의 바람직한 실시태양에서는 SiF4도핑된 SiH4/O2/Ar HDPCVD 또는 SiF4도핑된 TEOS/O2/He PECVD를 사용하여 플루오르가 많은 절연체 층(22)을 형성한다. 플루오르가 많은 절연체 층(22)의 두께는 일반적으로 금속 와이어(24)의 두께보다 크고, 금속 와이어 두께의 3배보다 작은 값으로 형성된다. 플루오르가 많은 절연체 층(22)은, 예를 들어 SiOzF2-z-이 때, 0z2이다-이다. 도 2d의 이러한 중간 구조체는 전형적으로 연마되어 추가의 금속화 수준을 형성하기 전에 확실히 평탄화된다.
도 2e에 나타낸 바와 같이, 상부 플루오르-비함유 차단제 층(28)은 플루오르가 많은 절연체 층(22)의 연마된 표면에 형성된다. 상부 플루오르 차단체 층(28)은 하부 플루오르 차단제 층(28)에 대하여 전술한 것과 같은 기법중 임의의 기법에 의해 형성할 수 있다. 도 2e에 도시된 바와 같이, 개구는 상부 플루오르 차단제 층(28)에 포토리소그래피적으로 정해지고, 비아(20)는 금속 와이어(24)가 노출될 때까지 상부 플루오르 차단제 층(28), 플루오르가 많은 절연체 층(22), 그리고 하부 플루오르 차단제 층(28)을 거쳐 식각함으로써 형성된다.
도 2f에 나타낸 바와 같이, 비아(20)는 통상의 계획에 따라 순서대로 먼저 티탄, 그 다음 Ti-N(예컨대, PVD에 의해), 마지막으로 텅스텐(예컨대, CVD에 의해)을 포함하는 일련의 금속으로 충전시킬 수 있다. 비아(20)의 비아 금속은 차단제 필름(28)의 표면에 편평하게 식각되고 연마된다(예컨대, CMP에 의해). 그 다음, 제 2 수준의 금속화물(23)은 상부 차단제 필름(28)의 표면 및 비아(20) 위에 금속 필름을 형성시키고, 와이어(23)를 패턴화하고 식각한 다음 어닐링하여, 야금을 결정화함으로써 형성할 수 있다.
도 2f에 나타낸 바와 같이, 완료된 금속화는 텅스텐 비아(20)를 포함하며, 텅스텐 비아(20)는 그 아래의 알루미늄 와이어(24)를 SiOzF2-z층(22)을 지나 그 위의 알루미늄 와이어(23)에 상호접속시킨다. 제 1 수준의 금속화물 및 이들이 위치하고 있는 기판(26)중의 각 알루미늄 와이어(24)는 그 위에 하부 플루오르 차단제 층(28)이 형성되어 있다. 이 구조체는 또한 비아(20)중에서 금속 플러그용 플루오르 차단제로서 작용하는 상부 플루오르 차단제 층(28)과 금속 층(23)을 갖는다. 상부 차단제 층(28)은 금속화물(23)의 패턴화중에 플루오르가 많은 절연체(22)로부터 발생하는 플루오르-유도성 부식이 금속화물(23)에 일어나지 않도록 한다. 결과적으로, 비아(20)중의 금속 플러그에 대한 금속 와이어(23)의 부착이 증진되고, 상부 차단제 필름(28)에 의해 비아 금속의 플루오르-중독이 방해받기 때문에 비아(20)중의 금속 플러그의 저항성은 증가되지 않는다.
상기 논의된 바와 같이, 집적회로 제작에 사용되는, 플루오르 열화되기 쉬운 금속은 본 발명에 의해 유리해질 것이며, 본 발명은 알루미늄, 알루미늄 합금, 구리, 구리 합금 물질의 보호에 특히 유용하다.
도 3은 플루오르-비함유 차단제 층의 차감 형성에 관한 본 발명의 방법의 제 2 실시태양의 흐름도를 도시한다. 도 3에 요약된 본 발명의 가공 순서는 다음의 순서에 따라 진행된다:
① 금속 증착/포토리소그래피에 의한 마스킹/식각/마스크 스트리핑/어닐링의 하부단계를 순차적으로 실행하여 금속 와이어 패턴을 형성하는 단계,
② 플루오르화된 절연체 증착 단계,
③ 절연체 화학적 기계적 연마 단계,
④ 포토리소그래피에 의한 마스킹 및 식각에 의한 비아 형성 단계,
⑤ 플루오르 제거 단계,
⑥ 금속에 의한 비아 충전 및 제 2 수준의 와이어링 형성 단계,
⑦ 추가의 원하는 수준의 금속화를 위하여 단계 ① 내지 ⑤의 반복.
도 4a 내지 도 4e는 도 3에 요약된 계획에 따른 차감 방법에 의해 플루오르-비함유 차단제를 형성하는 것-이 때, 플루오르는 플루오르-함유 층의 표면 영역으로부터 고갈된다-을 도시한다. 도 4a 및 도 4b에 도시된 단계는 각각 상기 기술한 도 2a 및 도 2b에 도시된 공정과 같다. 도 4c에서 볼 수 있듯이, 비아(40)는 예를 들어 기판(44)(예: 단결정질의 반도체) 위의 SiOzF2-z절연체(42)-이 때, 0z0이다-중에 형성된다. 또 다르게는, 본 발명은 또한 플루오르 성분으로 오염되거나 오염된 것으로 의심되는 임의의 절연체(42)에 적용가능하다. 비아(40)의 저부에서, 금속 와이어(46)의 형태일 수 있는 금속이다. 도 4d에 도시된 바와 같이, 모든 표면을 오존 플라스마(48)에 노출시켜 절연체 층(42)의 표면 영역(43)으로부터 플루오르를 제거한다.
그러나, 플루오르 제거 공정은 또 다르게는 SiOzF2-z표면으로부터 F가 제거되도록 SiOzF2-z와의 열활성되거나 플라즈마 강화된 기체 반응을 사용하여 수행될 수 있음을 알아야 한다. 플루오르를 제거하기 위해 사용되는 열활성화 조건의 경우, 플루오르-함유 층의 표면 영역을 400℃, 대기압의 퍼니스(furnace) 관을 흐르는 H2또는 Ar/H2기체의 환경에 1분 내지 60분동안, 바람직하게는 30분동안 노출시켜 플루오르를 제거할 수 있다. 플루오르를 제거하기 위해 사용되는 플라즈마 강화된 기체 공정 조건의 경우, 플루오르를 함유하는 층의 표면 영역을 400℃, 3밀리토르 내지 100토르의 압력에서 10초 내지 300초, 바람직하게는 약 60초동안 O2또는 O2/O3플라즈마의 반응챔버 환경에 노출시킬 수 있다. 더 구체적으로는, 플루오르 함유 층의 표면 영역으로부터 플루오르를 제거하기 위한 한 조의 조건은 O3400sccm/O23600sccm의 공급 기체 혼합물, 400℃의 반응챔버 온도, 30토르의 반응챔버 압력, 600와트의 무선 주파수(rf), 0.7cm의 어플라이드 머티리얼즈(Applied Materials) P500 유니버설(Universal) CVD 챔버의 반응기 간격을 사용한다. 또 다른 방법으로서, 플루오르를 고갈시키는 습식 화학 식각 공정을 사용하는 것도 본 발명에 포함된다고 생각된다.
이러한 제거 공정은 절연체 물질(42)의 표면 영역(43)에서 모든 플루오르 함량을 고갈시킨다. 차단체 층(43)의 두께는 오존 플라즈마의 지속시간 및 강도의 일부로서 작용할 것이다. 상기 표면 영역에서 플루오르가 고갈된 후, 차단제 층(43)은 나머지 절연체 층(42)에 존재하는 플루오르가 방출되어 이에 금속 와이어(46)의 금속 표면(41), 또는 금속 상호접속부, 및 금속 와이어(46)와 접촉하는 비아(40)중에 형성될 추가 수준의 금속화물이 노출되지 않도록 할 수 있다.
도 5는 상감세공(damascene) 와이어링(예컨대, 상감세공 Al 또는 Cu 와이어) 및 플루오르 차단제 층의 몇가지 상이한 수행방법을 갖는 집적회로 구조체의 또 다른 형성방법을 도시한다. 구체적으로, 이 단면도에서, 상감세공 와이어(52)는 SiOzF2-z층(54)에 부분적으로 매립되어 있다. 즉, 이들 와이어(52)의 상부 절반은 SiOzF2-z층(54)에 의해 둘러싸여 있고, 하부 절반은 기판(58)에 부분적으로 매립되어 둘러싸여 있다. 플루오르-비함유 SiO2차단제 층(51)은 도 2a 내지 도 2f의 실시태양에 대하여 기술한 것과 동일한 방식으로 와이어(52)의 상부 위에 형성되고, 그 후 그 위에 제 2 SiOzF2-z층(56)이 증착된다. 그 다음, 제 2의 플루오르-비함유 SiO2차단체 층(53)이 절연체(56) 위에 증착된다. 차단제 층(53)은 마스크에 의해 위로 개방되고, 식각을 사용하여 도 5에 도시된 바와 같이 층(53/56) 및 (51)을 지나 금속 와이어(52)의 표면을 노출시킨다. 알루미늄 또는 구리 상호접속물은 SiOzF2-z층(56)을 지나 와이어(52)의 상부 표면과 접촉하는 비아(50)중에 증착되어 형성된다.
도 4d 및 도 5를 참조로 하면, 비아 또는 트렌치(trench) 측벽의 표면에 차단제 층을 형성하는 것은 절연체 층에 존재하는 플루오르 오염물질로부터 비아내에 증착되거나 비아에 인접하여 형성되는 금속화물을 보호함을 알 수 있다. 상기 예에 사용된 플루오르 차단제 층의 경우에 제시한 특정 유형의 형성 기법은 단지 예시적인 것이며, 플루오르 차단제 층을 형성하는 부가 방식 또는 차감 방식 중 하나를 상기 예의 실시태양 중 임의의 실시태양에 적용할 수 있었음을 알게 될 것이다. 이러한 특징은 인접한 금속선 사이의 용량결합을 감소시키기 위하여 절연체 필름의 절연상수를 감소시킬 목적으로 플루오르로 의도적으로 도핑시키는 BEOL 기법에 특별히 이점을 가짐을 인지할 것이다. 본 발명의 이러한 실시태양에서, 플루오르-비함유 차단제 층은 플루오르가 많은 절연체 필름과 금속 전도체 선 사이에 위치하여(본 발명의 부가 또는 차감 방식에 의해) 금속 선에 달리 가해진 증가된 열화 위험을 방해한다.
지금까지 본 발명을 바람직한 실시태양의 면에서 기술하였지만, 본 발명의 숙련자라면 첨부된 특허청구범위의 요지 및 범주에 포함되는 변형으로 본 발명을 실시할 수 있음을 인지할 것이다.
본 발명에 의하면, 플루오르를 함유하는 절연재와 금속 사이에 플루오르-비함유 차단제 층을 제공하여 절연재로부터 금속으로의 확산을 효과적으로 억제함으로써 금속의 열화를 방지할 수 있다.

Claims (20)

  1. 플루오르에의 노출로 인한 열화에 대한 금속의 내성을 개선시키는 방법으로서,
    ① 플루오르를 함유하는 절연체 물질을 제공하는 단계,
    ② 상기 절연체 물질 위에 플루오르-비함유 차단제 층을 형성하는 단계,
    ③ 상기 플루오르-비함유 차단제 층 위에 금속을 형성하는 단계
    를 포함하는 방법.
  2. 야금(metallurgy)의 내열화성을 개선시키는 방법으로서,
    ① 플루오르를 함유하는 절연체 필름을 제공하는 단계,
    ② 상기 절연체 필름 위에 플루오르-비함유 차단제 층을 증착하는 단계,
    ③ 상기 플루오르-비함유 차단제 층의 상부에 금속선의 패턴을 형성하는 단계
    를 포함하는 방법.
  3. 제 2 항에 있어서,
    상기 플루오르-비함유 차단제 층이 규소, 이산화규소, 질화규소, 탄소로 이루어진 그룹에서 선택되는, 플루오르가 전혀 없는 물질인 방법.
  4. 제 2 항에 있어서,
    상기 플루오르-비함유 차단제 층을 증착하는 단계가 플라즈마 강화된 화학증착(PECVD), 물리증착(PVD), 고밀도 플라즈마 화학증착(HDPCVD), 저압 화학증착(LPCVD)으로 이루어진 그룹에서 선택되는 증착 공정을 포함하는 방법.
  5. 제 2 항에 있어서,
    상기 절연체 필름이 산화물 절연체 필름인 방법.
  6. 제 2 항에 있어서,
    상기 절연체 필름이 SiOzF2-z-이 때, 0z2이다-인 방법.
  7. 제 2 항에 있어서,
    상기 금속선이 Al, Al-Si, Al-Cu, Al-Si-Cu, Cu, Cu-Sn, Cu-Si, Au, Au-Zn, Au-Ge, Ni, Cr, CrSi2, Ge, Mo, MoSi2, Pd, PdSi2, Pt, PtSi, Ta, TaSi2, Ti, TiN, Ti-Pt, Ti-W, TiSi2, W, WSi2, WN, ZrSi2로 이루어진 그룹에서 선택되는 금속 물질을 포함하는 방법.
  8. 제 2 항에 있어서,
    상기 금속선이 알루미늄-함유 물질, 티탄-함유 물질, 구리-함유 물질로 이루어진 그룹에서 선택되는 금속 물질을 포함하는 방법.
  9. 제 2 항에 있어서,
    상기 금속선이 알루미늄-함유 물질인 방법.
  10. 제 2 항에 있어서,
    상기 플루오르-비함유 차단제 층의 두께가 1nm 내지 300nm인 방법.
  11. 야금의 내열화성을 개선시키는 방법으로서,
    ① 표면 영역을 갖는 플루오르-함유 절연체 필름을 제공하는 단계,
    ② 상기 절연체 필름의 표면 영역으로부터 플루오르를 제거하여 상기 절연체 필름 위에 플루오르-비함유 차단제 층을 형성하는 단계,
    ③ 상기 플루오르-비함유 차단제 층의 상부에 금속선의 패턴을 형성하는 단계
    를 포함하는 방법.
  12. 제 11 항에 있어서,
    상기 표면 영역으로부터 플루오르를 제거하는 단계를, 상기 표면 영역을 수소 어닐링(annealing)에 노출시킴으로써 수행하는 방법.
  13. 제 11 항에 있어서,
    상기 표면 영역으로부터 플루오르를 제거하는 단계를, 상기 표면 영역을 오존 플라즈마에 노출시킴으로써 수행하는 방법.
  14. 제 11 항에 있어서,
    상기 플루오르-비함유 차단제 층의 두께가 1nm 내지 300nm인 방법.
  15. ① 기판,
    ② 상기 기판 위에 형성된 패턴화된 금속화물,
    ③ 상기 기판 및 이에 인접한 상기 패턴화된 금속화물 위에 형성된 플루오르-함유 절연체 층,
    ④ 상기 플루오르-함유 절연체 층과 상기 패턴화된 금속화물 사이의 플루오르 차단제 층
    을 포함하는 집적회로 구조체.
  16. 제 15 항에 있어서,
    상기 플루오르-함유 절연체 층에 형성된 개구를 포함하고, 이 때 플루오르 차단제 층이 플루오르-함유 절연체 층의 상기 개구의 측벽에 위치하는 집적회로 구조체.
  17. 제 16 항에 있어서,
    상기 개구가 비아(via) 또는 트렌치(trench)로 이루어진 그룹에서 선택되는 집적회로 구조체.
  18. ① 기판,
    ② 상기 기판 위에 형성된 패턴화된 금속화물,
    ③ 상기 기판 및 이에 인접한 상기 패턴화된 금속화물 위에 형성된 플루오르-함유 절연체 층,
    ④ 상기 플루오르-함유 절연체 층과 상기 패턴화된 금속화물 사이의 제 1 플루오르 차단제 층,
    ⑤ 상기 플루오르-함유 절연체 층의 상부에 형성된 제 2 플루오르 차단제 층
    을 포함하는 집적회로 구조체.
  19. 제 18 항에 있어서,
    금속-충전된 비아 개구가 상기 제 2 플루오르 차단제 층, 상기 절연체 층 및 상기 제 1 플루오르 차단제 층을 통하여 존재하고, 금속-충전된 비아 개구가 상기 패턴화된 금속화물과 접촉하는 집적회로 구조체.
  20. 제 19 항에 있어서,
    제 2 수준의 금속화물이, 상기 금속-충전된 비아 개구와 접촉하는 상기 제 2 플루오르 차단제 층의 상부에 형성되는 집적회로 구조체.
KR1019970045109A 1996-11-08 1997-08-30 전도체와절연체사이의열화방지용플루오르-비함유차단층 KR100332272B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/744,846 US6310300B1 (en) 1996-11-08 1996-11-08 Fluorine-free barrier layer between conductor and insulator for degradation prevention
US08/744,846 1996-11-08
US8/744,846 1996-11-08

Publications (2)

Publication Number Publication Date
KR19980041870A true KR19980041870A (ko) 1998-08-17
KR100332272B1 KR100332272B1 (ko) 2002-06-20

Family

ID=24994193

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970045109A KR100332272B1 (ko) 1996-11-08 1997-08-30 전도체와절연체사이의열화방지용플루오르-비함유차단층

Country Status (7)

Country Link
US (4) US6310300B1 (ko)
JP (1) JP3245104B2 (ko)
KR (1) KR100332272B1 (ko)
CN (1) CN1106030C (ko)
MY (1) MY127478A (ko)
SG (1) SG65024A1 (ko)
TW (1) TW346654B (ko)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3019021B2 (ja) * 1997-03-31 2000-03-13 日本電気株式会社 半導体装置及びその製造方法
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
US6054380A (en) * 1997-12-09 2000-04-25 Applied Materials, Inc. Method and apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
US6277730B1 (en) 1998-02-17 2001-08-21 Matsushita Electronics Corporation Method of fabricating interconnects utilizing fluorine doped insulators and barrier layers
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
JP3177968B2 (ja) * 1998-12-04 2001-06-18 日本電気株式会社 半導体装置及びその製造方法
US6153509A (en) * 1998-07-01 2000-11-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
KR100381961B1 (ko) 1999-04-26 2003-04-26 삼성전자주식회사 반도체 기판 상에 질화된 계면을 형성하는 방법
US6797601B2 (en) 1999-06-11 2004-09-28 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects
US6730584B2 (en) * 1999-06-15 2004-05-04 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US6559076B1 (en) 1999-08-19 2003-05-06 Micron Technology, Inc. Method of removing free halogen from a halogenated polymer insulating layer of a semiconductor device
US6727588B1 (en) * 1999-08-19 2004-04-27 Agere Systems Inc. Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics
JP4236778B2 (ja) * 1999-11-01 2009-03-11 株式会社ルネサステクノロジ 半導体装置
US20020076917A1 (en) * 1999-12-20 2002-06-20 Edward P Barth Dual damascene interconnect structure using low stress flourosilicate insulator with copper conductors
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
AU2001245685A1 (en) * 2000-03-29 2001-10-08 Intel Corporation Method for modifying the surface of a fluorocarbon
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6429129B1 (en) * 2000-06-16 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method of using silicon rich carbide as a barrier material for fluorinated materials
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
JP3574383B2 (ja) 2000-07-31 2004-10-06 富士通株式会社 半導体装置及びその製造方法
US6489242B1 (en) * 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6607967B1 (en) 2000-11-15 2003-08-19 Lsi Logic Corporation Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate
DE10059935A1 (de) * 2000-11-28 2002-06-06 Infineon Technologies Ag Dicht gepackte Halbleiterstruktur und Verfahren zum Herstellen einer solchen
DE10063469B4 (de) * 2000-12-19 2004-03-25 Micronas Gmbh Verfahren zur Herstellung eines elektronischen Chips und mit dem Verfahren hergestellter elektronischer Chip
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6576545B1 (en) * 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6521520B1 (en) 2001-08-30 2003-02-18 Lsi Logic Corporation Semiconductor wafer arrangement and method of processing a semiconductor wafer
US6620745B2 (en) * 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
KR20030039286A (ko) * 2001-11-12 2003-05-17 아남반도체 주식회사 반도체 소자 제조 방법
US7001823B1 (en) 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
US6537896B1 (en) * 2001-12-04 2003-03-25 Lsi Logic Corporation Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
US6562735B1 (en) 2001-12-11 2003-05-13 Lsi Logic Corporation Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6911378B2 (en) * 2003-06-24 2005-06-28 International Business Machines Corporation Stabilization of fluorine-containing dielectric materials in a metal insulator wiring structure
DE10339988B4 (de) * 2003-08-29 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer antireflektierenden Schicht
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
KR100563487B1 (ko) * 2003-12-31 2006-03-27 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
KR100552812B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 구리 배선 형성 방법
KR100529676B1 (ko) 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
DE102004031744A1 (de) * 2004-06-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Eine Technik zur Herstellung einer dielektrischen Zwischenschicht über einer Struktur mit eng beabstandeten Leitungen
KR100876532B1 (ko) * 2004-08-27 2008-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20060046465A1 (en) * 2004-08-27 2006-03-02 Dongbuanam Semiconductor Inc. Method for manufacturing a semiconductor device
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US7579224B2 (en) * 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
KR100731085B1 (ko) * 2005-09-28 2007-06-22 동부일렉트로닉스 주식회사 듀얼 다마신 공정을 이용한 구리 배선 형성 방법
GB0521585D0 (en) * 2005-10-22 2005-11-30 Depuy Int Ltd A spinal support rod
GB0521582D0 (en) * 2005-10-22 2005-11-30 Depuy Int Ltd An implant for supporting a spinal column
US8348952B2 (en) 2006-01-26 2013-01-08 Depuy International Ltd. System and method for cooling a spinal correction device comprising a shape memory material for corrective spinal surgery
JP5119606B2 (ja) * 2006-03-31 2013-01-16 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US7851351B2 (en) 2006-03-31 2010-12-14 Tokyo Electron Limited Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
GB0720762D0 (en) * 2007-10-24 2007-12-05 Depuy Spine Sorl Assembly for orthopaedic surgery
US7985513B2 (en) * 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US7830016B2 (en) * 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
US8293634B2 (en) * 2008-08-07 2012-10-23 International Business Machines Corporation Structures and methods for improving solder bump connections in semiconductor devices
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9255316B2 (en) 2010-07-19 2016-02-09 Ati Properties, Inc. Processing of α+β titanium alloys
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
JP6144003B2 (ja) * 2011-08-29 2017-06-07 富士通株式会社 配線構造及びその製造方法並びに電子装置及びその製造方法
CN102664193A (zh) 2012-04-01 2012-09-12 京东方科技集团股份有限公司 导电结构及制造方法、薄膜晶体管、阵列基板和显示装置
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034769B2 (en) 2012-12-12 2015-05-19 Micron Technology, Inc. Methods of selectively removing a substrate material
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
CN105448801A (zh) * 2014-05-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离的方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
US10847367B2 (en) 2018-12-28 2020-11-24 Micron Technology, Inc. Methods of forming tungsten structures
JP2022522226A (ja) 2019-04-11 2022-04-14 ラム リサーチ コーポレーション 高ステップカバレッジのタングステン堆積
US11244903B2 (en) 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3620833A (en) * 1966-12-23 1971-11-16 Texas Instruments Inc Integrated circuit fabrication
US4300989A (en) 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH06103787B2 (ja) * 1988-07-26 1994-12-14 日本碍子株式会社 導電性膜付ガラスセラミック基板
DE69033663T2 (de) 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
JPH0456325A (ja) 1990-06-26 1992-02-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2814021B2 (ja) 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
US5378317A (en) * 1990-10-09 1995-01-03 Chlorine Engineers Corp., Ltd. Method for removing organic film
US5219791A (en) 1991-06-07 1993-06-15 Intel Corporation TEOS intermetal dielectric preclean for VIA formation
US5397748A (en) 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
JP2748768B2 (ja) * 1992-03-19 1998-05-13 株式会社日立製作所 薄膜多層配線基板およびその製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
KR0131439B1 (ko) 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
US5384281A (en) 1992-12-29 1995-01-24 International Business Machines Corporation Non-conformal and oxidizable etch stops for submicron features
JPH07202186A (ja) 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451543A (en) 1994-04-25 1995-09-19 Motorola, Inc. Straight sidewall profile contact opening to underlying interconnect and method for making the same
JP2845160B2 (ja) * 1995-03-23 1999-01-13 日本電気株式会社 半導体装置
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US5661334A (en) * 1996-01-16 1997-08-26 Micron Technology, Inc. Inter-metal dielectric structure which combines fluorine-doped glass and barrier layers
JP3323055B2 (ja) * 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention

Also Published As

Publication number Publication date
SG65024A1 (en) 1999-05-25
JPH10144793A (ja) 1998-05-29
US6214730B1 (en) 2001-04-10
TW346654B (en) 1998-12-01
US6066577A (en) 2000-05-23
US6310300B1 (en) 2001-10-30
US5930655A (en) 1999-07-27
JP3245104B2 (ja) 2002-01-07
KR100332272B1 (ko) 2002-06-20
MY127478A (en) 2006-12-29
CN1106030C (zh) 2003-04-16
CN1182956A (zh) 1998-05-27

Similar Documents

Publication Publication Date Title
KR100332272B1 (ko) 전도체와절연체사이의열화방지용플루오르-비함유차단층
KR100347743B1 (ko) 무기 장벽 박막의 부착성 증대 방법
KR101231019B1 (ko) 집적회로장치 제조방법
US6821884B2 (en) Method of fabricating a semiconductor device
US7323408B2 (en) Metal barrier cap fabrication by polymer lift-off
CN106898595B (zh) 互连线结构与其制造方法
US20070197023A1 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
KR20070045986A (ko) 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법
JP4746829B2 (ja) 半導体装置およびその製造方法
CN102222640B (zh) 通孔形成方法
US20050098892A1 (en) Structure and process of metal interconnects
US6544891B1 (en) Method to eliminate post-CMP copper flake defect
US8721901B2 (en) Methods of processing substrates and methods of forming conductive connections to substrates
KR100571385B1 (ko) 에프에스지 절연막을 사용하는 비아홀 또는 콘택홀을구비한 반도체 소자 및 그 제조 방법
KR100606544B1 (ko) 반도체 소자의 구리 배선 방법
KR101098920B1 (ko) 반도체 소자의 제조방법
US20090061616A1 (en) Method for fabricating semiconductor device
KR100455443B1 (ko) 반도체소자의금속배선형성방법
KR100574560B1 (ko) 반도체 소자의 금속배선 형성 방법
KR20000027278A (ko) 반도체 소자의 금속 배선 형성 방법
KR100250727B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20060292859A1 (en) Damascene process using dielectic layer containing fluorine and nitrogen
KR20060038154A (ko) 응착력이 향상된 반도체 배선 제조 방법
KR20010058987A (ko) 반도체 소자의 금속 패턴 형성 방법
KR20080081578A (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140217

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160229

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20170227

Year of fee payment: 16