CN106898595B - 互连线结构与其制造方法 - Google Patents

互连线结构与其制造方法 Download PDF

Info

Publication number
CN106898595B
CN106898595B CN201610806487.1A CN201610806487A CN106898595B CN 106898595 B CN106898595 B CN 106898595B CN 201610806487 A CN201610806487 A CN 201610806487A CN 106898595 B CN106898595 B CN 106898595B
Authority
CN
China
Prior art keywords
aluminum
dielectric layer
layer
etch stop
interconnect structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610806487.1A
Other languages
English (en)
Other versions
CN106898595A (zh
Inventor
吴中文
张简旭珂
邱建文
陈建全
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106898595A publication Critical patent/CN106898595A/zh
Application granted granted Critical
Publication of CN106898595B publication Critical patent/CN106898595B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露是关于一种互连线结构与其制造方法。互连线结构包括第一介电层、存在于第一介电层中的导电特征、存在于第一介电层上的第二介电层、存在于第一介电层与第二介电层之间的含铝蚀刻停止层、至少存在于第二介电层中及电连接至导电特征的导电通孔,及至少存在于导电通孔底部转角处的至少一个含铝碎片。

Description

互连线结构与其制造方法
技术领域
本揭露是关于一种互连线结构与其制造方法。
背景技术
半导体集成电路(integrated circuit;IC)已经历迅速增长。现代集成电路由几乎数百万有效装置组成,如晶体管及电容器。IC材料及设计的技术进步已生产数代IC,其中每一代都具有比上一代更小及更复杂的电路。这些装置最初是彼此隔绝的,但后来经由多个金属层互连在一起以形成功能电路。随着IC变得日益复杂,互连线结构亦变得更为复杂,导致增大数目的金属层。
互连线结构可包括横向互连,如金属线(导线),及垂直互连,如导电通孔及触点。然而,复杂互连线限制现代集成电路的效能及密度。
发明内容
根据本揭露的一些实施例,互连线结构包括第一介电层、存在于第一介电层中的导电特征、存在于第一介电层上及其中具有孔洞的第二介电层、存在于孔洞中及电连接至导电特征的导体,及至少部分地存在于孔洞的至少一个侧壁上的多个含铝碎片,其中孔洞侧壁底部的含铝碎片密度大于孔洞侧壁中部的含铝碎片密度。
根据本揭露的一些实施例,互连线结构包括第一介电层、存在于第一介电层中的导电特征、存在于第一介电层上的第二介电层、存在于第一介电层与第二介电层之间的含铝蚀刻停止层、至少存在于第二介电层中及电连接至导电特征的导电通孔,及至少存在于导电通孔底部转角处的至少一个含铝碎片。
根据本揭露的一些实施例,制造互连线结构的一方法,此方法包括在第一介电层中形成导电特征;在导电特征及第一介电层上形成含铝蚀刻停止层;在含铝蚀刻停止层上形成第二介电层;及蚀刻第二介电层及含铝蚀刻停止层以在第二介电层及含铝蚀刻停止层中形成孔洞,其中导电特征至少部分地由孔洞曝露,及蚀刻含铝蚀刻停止层将至少一个含铝碎片重新溅射至孔洞的至少一个侧壁上。
附图说明
本揭露的实施方式最佳在阅读附图时根据下文的详细说明来进行理解。应注意,依据工业中的标准实务,多个特征并未按比例绘制。实际上,多个特征的尺寸可任意增大或缩小,以便使论述明晰。
图1A至图1F是依据本揭露的一些实施例制造互连线结构的方法的不同阶段;
图2是依据本揭露的一些实施例图1F中部分A的放大视图。
具体实施方式
以下揭示内容提供众多不同的实施例或实例以用于实施本揭露提供的标的物的不同特征。下文中描述组件及排列的特定实例以简化本揭露。这些组件及排列当然仅为实例,及不意欲进行限制。例如,在下文的描述中,第一特征在第二特征上方或之上的形成可包括其中第一特征与第二特征以直接接触方式形成的实施例,及亦可包括其中在第一特征与第二特征之间形成额外特征以使得第一特征与第二特征无法直接接触的实施例。此外,本揭露在多个实例中可重复元件符号及/或字母。此重复用于实现简化与明晰的目的,及其自身并不规定所论述的多个实施例及/或配置之间的关系。
此外,本揭露中可使用诸如“下方(beneath)”、“以下(below)”、“下部(lower)”、“上方(above)”、“上部(upper)”等等的空间相对术语在以便于描述,以描述一个元件或特征与另一或更多个元件或特征的关系,如附图中所图示。空间相对术语意欲包含在使用或操作中的装置除附图中绘示的定向以外的不同定向。或者,设备可经定向(旋转90度或其他定向),及本揭露中使用的空间相对描述词同样可相应地进行解释。
集成电路包含由互接线间隔分开的多个图案化金属线。通常,垂直间隔敷金属层的金属图案通过通孔而电互连。镶嵌是一互连线制程,在此制程中,开口形成于绝缘层中及充填金属以形成金属线。形成于沟槽状开口中的金属线通常大体平行于半导体基板而延伸。此种类型的半导体装置根据现代技术可包括八个或更多个水平的敷金属层以满足装置几何形状及微小型化需求。随着半导体装置尺寸持续缩小,互连线结构面临一些挑战,因为这些金属薄膜是具有高阻抗的薄膜,由此导致互连线结构中阻抗增大及RC延迟增长,尤其是在较小、狭窄的特征中如此。
请参看图1A至图1F,这些附图是依据本揭露的一些实施例制造互连线结构的方法的不同阶段。请参看图1A,开口形成于第一介电层110中。第一介电层110形成于半导体基板(未图示)上方。半导体基板可为半导体材料及可包括已知结构,这些结构例如包括分级层或埋置氧化物。在一些实施例中,基板包括体硅,此硅可为未经掺杂或经掺杂(例如p型、n型,或两者的组合)。亦可使用适合于半导体装置形成的其他材料。诸如锗、石英、蓝宝石及玻璃的其他材料可替代地用于基板。或者,硅基板可为绝缘体上半导体(semiconductor oninsulator;SOI)基板的有效层或诸如形成于整块硅层上的硅锗层的多层结构。诸如P型金氧半导体及N型金氧半导体晶体管(未图示)的集成电路可形成于基板顶表面上。
在一些实施例中,第一介电层110是具有低介电常数值(k值)的金属间介电质(inter-metal dielectric;IMD),此值例如低于约3.5。第一介电层110可包括介电材料,如氮化硅、氮化硅、氮氧化硅,或其他适合材料。
在一些实施例中,第一介电层110中形成有多个开口112。开口112可通过例如以下步骤而形成:在第一介电层110上方形成图案化光阻剂层(未图示),及使用干燥蚀刻处理步骤以移除第一介电层110的部分,以通过使用图案化光阻剂层(未图示)作为遮蔽来界定开口112。可使用多种适合的干燥蚀刻制程。在干燥蚀刻处理步骤之后,通过例如光微影移除制程而移除图案化光阻剂层(未图示)。
请参看图1B,导电特征120形成于开口112中。形成导电特征120的制程包括形成充填开口112及位于第一介电层110上的导电层,然后移除导电层的积聚在第一介电层110表面上方的多余部分(未图示),以使得导电特征120存在于开口112中。
导电层可为金属层。导电层材料可包括铜或铜合金,或其他适合的导电材料,如银、金、钨、铝,等等。导电层可由沉积制程而形成。移除制程可为任何适合的平面化制程,如化学机械抛光(chemical mechanical polishing;CMP)。化学机械制程经执行以整平导电特征120及第一介电层110的表面。导电特征120可为导电线,此线可为半导体装置的第一或任何随后的金属互连线位准。
在一些实施例中,因为铜容易扩散至一些介电材料内,尤其是一些类型的低介电常数介电材料,因此在形成导电层之前,视情况在开口112的内表面上方及第一介电层110表面上方沉积扩散阻障层122。扩散阻障层122可通过使用诸如化学气相沉积(chemicalvapor deposition;CVD)或物理气相沉积(physical vapor deposition;PVD)的方法而沉积至约之间的厚度。扩散阻障层122的金属阻障材料包括Ta、TaN或TiN。
请参看图1C,至少一个蚀刻停止层形成于第一介电层110之上及导电特征120之上。在一些实施例中,下蚀刻停止层130形成于第一介电层110之上及导电特征120之上,然后上蚀刻停止层140视情况形成于下蚀刻停止层130之上。下蚀刻停止层130及上蚀刻停止层140由不同材料制成。下蚀刻停止层130及上蚀刻停止层140可通过一系列沉积制程而形成。沉积制程可为如化学气相沉积、物理气相沉积、原子层沉积(atomic layerdeposition;ALD)、远程等离子增强化学气相沉积(remote plasma enhanced chemicalvapor deposition;RPECVD)、液态源雾状化学沉积(liquid source misted chemicaldeposition;LSMCD)、涂覆、旋涂或经调适以在基板上方形成薄膜层的另一制程。
下蚀刻停止层130是低电容材料,用以改良RC延迟。在一些实施例中,下蚀刻停止层130是含铝层。下蚀刻停止层130的材料可为例如氮化铝、氮氧化铝、碳化铝,或上述各者的组合。如若沉积氮化铝层以作为下蚀刻停止层130,则此层可由在氮大气中利用铝靶材进行反应性溅射(reactive sputtering;RS)而形成。下蚀刻停止层130形成于第一介电层110上及充当蚀刻停止物以用于持续的后段制程(back-end of line;BEOL)敷金属。下蚀刻停止层130的厚度是薄膜层及处于自
Figure BDA0001110691770000043
Figure BDA0001110691770000044
的范围中。然而,熟悉此项技术者将认识到,此描述中整篇列举的尺寸仅为实例,及将在使用不同的形成技术的情况下变更。
上蚀刻停止层140由不同于下蚀刻停止层130的材料制成。在一些实施例中,上蚀刻停止层140是无铝层。上蚀刻停止层140可具有低于约4.0的介电常数,或甚至低于约3.5,及可包括选自掺杂氮的(硅)碳化物(SiC:N,亦称为NDC)、掺杂氧的(硅)碳化物(SiC:O,亦称为ODC),及上述各者的组合。反应气体(前驱物)依据蚀刻停止层的所需组成而定,及可包括硅(Si)、碳(C)、氢(H)、氮(N)、氧(O)、硼(B),及/或类似物。诸如He、N2、Ar、Xe等等的无活性气体可用作环境气体。如若将形成ODC,则亦可添加CO2以提供氧。如若将形成NDC,则可添加NH3以提供氮。上蚀刻停止层140的厚度处于自
Figure BDA0001110691770000051
Figure BDA0001110691770000052
的范围中。然而,熟悉此项技术者将认识到,此描述中整篇列举的尺寸仅为实例,及将在使用不同的形成技术的情况下变更。
上蚀刻停止层140可利用下蚀刻停止层130的形成而现场形成,此意谓着下蚀刻停止层130及上蚀刻停止层140形成于同一处理腔室中。下蚀刻停止层130及上蚀刻停止层140的沉积可在高温下执行,例如在约100℃与约500℃之间。
请参看图1D,额外的第二介电层150形成于上蚀刻停止层140上。第二介电层150可为金属间介电质(inter-metal dielectric;IMD)层。第二介电层150可为单层或多层结构。第二介电层150的厚度随所应用技术而变化,例如约
Figure BDA0001110691770000053
至约
Figure BDA0001110691770000054
的厚度。然而,熟悉此项技术者将认识到,此描述中整篇列举的尺寸仅为实例,及将在使用不同的形成技术的情况下变更。
在一些实施例中,第二介电层150是含氧介电层。第二介电层150可由SiO2、掺杂碳的SiO2、相对低介电常数(k值)的介电材料(此介电材料具有小于约4.0的介电常数值),或上述各者的组合而形成。第二介电层150可由低介电常数介电材料、极低介电常数介电材料、多孔低介电常数介电层,或上述各者的组合而形成。术语“低介电常数”意欲定义3.0或更小的介电材料介电常数。术语“极低介电常数(extreme low k;ELK)”意谓着2.5或更小介电常数。术语“多孔低介电常数”是指2.0或更小的介电材料介电常数。依据实施例可使用多种低介电常数材料,例如旋涂无机介电质、旋涂有机介电质、多孔介电材料、有机聚合物、有机氧化硅玻璃、FSG(SiOF物种材料)、HSQ(氢倍半氧硅烷)物种材料、MSQ(甲基倍半氧硅烷)物种材料,或多孔有机物种材料。第二介电层150经由多种技术中任何技术而沉积,这些技术如化学气相沉积、物理气相沉积、原子层沉积、RPECVD、LSMCD、涂覆、旋涂或经调适以在基板上方形成薄膜层的另一制程。
抗反射涂层(anti-reflective coating;ARC)160及封盖层170可视情况沉积在第二介电层150上。抗反射涂层160可为无氮抗反射膜层(nitrogen free anti-reflectivecoating layer;NFARL)及封盖层170可为氮化钛(TiN))层或氮化钽(TaN)层。抗反射涂层160及封盖层170可由任何适合的沉积制程而形成。在一些实施例中,抗反射涂层160及封盖层170的合成物被视作障壁及抗反射膜(barrier and anti-reflective coating;BARC)层。在一些其他实施例中,封盖层170可用作蚀刻停止物以用于随后在形成半导体装置时进行处理。
请参看图1E,孔洞152形成于上述结构中。孔洞152可为示例性双镶嵌开口,此开口包括上沟槽区段151及下通孔孔洞区段153,这些区段在结构中经图案化以在基板中界定接触区域。在包括图案化方法的双镶嵌技术中,上沟槽区段151及下通孔孔洞区段153可通过使用典型微影术而形成,此微影术具有遮蔽技术及各向异性蚀刻操作(例如等离子蚀刻或反应性离子蚀刻)。
例如,上沟槽区段151可通过使用第一遮蔽层(未图示)连同适合蚀刻制程而形成。遮蔽层可为硬质遮罩层,此层包括经由诸如CVD制程的制程而形成的氮化硅,但亦可替代性地使用诸如氧化物、氮氧化物、碳化硅、上述各者的组合或类似物的其他材料及诸如等离子增强化学气相沉积、低压化学气相沉积,或甚至氧化硅形成后再进行氮化的其他制程。一旦形成,遮蔽层可由适合光微影制程经图案化以曝露下层层中将被移除以形成上沟槽区段151的彼等部分。上沟槽区段151可由执行干式蚀刻制程而形成,如等离子蚀刻或反应性离子蚀刻。中间的蚀刻停止层可视情况中间沉积在第二介电层150中以提供明晰指示,指示何时结束特定的蚀刻制程。在形成上沟槽区段151之后移除遮蔽层。
上沟槽区段151下方的第二介电层150经图案化以在上沟槽区段151下方形成下通孔孔洞区段153。例如,在上沟槽区段151的预定位置旁边的部分再次受到另一遮蔽层保护。由此,第二介电层150中用于形成下通孔孔洞区段153的部分从遮蔽层中曝露。第二介电层150的曝露部分由执行干式蚀刻制程而移除,如等离子蚀刻或反应性离子蚀刻。下通孔孔洞区段153比上沟槽区段151具有更高的深宽比,下通孔孔洞区段153的宽度小于上沟槽区段151的宽度。在形成下通孔孔洞区段153之后移除遮蔽层。
孔洞152穿透第二介电层150、抗反射涂层160、封盖层170、上蚀刻停止层140,及下蚀刻停止层130而形成。因此,导电特征120自孔洞152曝露。尽管实施例图示第二介电层150中的双镶嵌开口,但使用在第二介电层150中的单镶嵌开口亦提供价值。
因为孔洞152通过执行一或更多个干式蚀刻制程而形成,上述层曝露于离子轰击(例如诸如氟碳化物、氧、氯的反应性气体的等离子)。从曝露表面驱除层的材料,及层的材料重新溅射在孔洞152侧壁上。例如,下蚀刻停止层130是含铝蚀刻停止层,此含铝蚀刻停止层是低电容材料,用以改良互连线结构的RC延迟。铝较为轻质,及具有与介电材料的优良粘合能力。因此,来自驱除的下蚀刻停止层130材料的一些含铝碎片132存在于孔洞152的侧壁的底部部分,如存在于下通孔孔洞区段153的底部部分。换言之,在干式蚀刻制程期间,下蚀刻停止层130的一部分被离子轰击离开而变为含铝碎片132,及含铝碎片132的一部分粘着在第二介电层150的侧壁及孔洞152的底部转角处。
含铝碎片132在孔洞152的侧壁及底部转角上的分布对应于与导电特征120的距离。例如,含铝碎片132在孔洞152的侧壁底部部分具有更大密度,及含铝碎片132的密度随与导电特征120的距离增长而减少。在一些实施例中,含铝碎片132可在第二介电层150与导电特征120之间的转角处具有最大密度。
请参看图1F,阻障层180形成于孔洞152的侧壁上。阻障层180沉积在孔洞152的内表面上方及第二介电层150表面上方。阻障层180可通过使用诸如化学气相沉积或物理气相沉积的方法而沉积至约
Figure BDA0001110691770000071
Figure BDA0001110691770000072
之间的厚度。铜用作互连线介质,并日益获得认可及越来越用于此目的。铜已知具有低成本及低电阻率;然而铜在诸如二氧化硅及硅的介电材料内具有相对大的扩散系数。阻障层180用于防止以下诸如铜或铜合金的沉积导电金属扩散至第二介电层150内。阻障层180由实质上无铝的材料制成。阻障层180的金属阻障材料包括Ta、TaN或TiN。
在一些实施例中,较薄种晶层视情况形成于阻障层180上。种晶层具有一厚度,此厚度为约
Figure BDA0001110691770000073
至约
Figure BDA0001110691770000074
种晶层是包含至少一主金属元素及第一添加金属元素的金属合金层,此主金属元素例如为铜(Cu),及此添加金属元素例如为锰(Mn)。在其他实施例中,Ti、Nb、Cr、V、Y、Tc、Re或类似物可用作另一添加金属以用于形成种晶层。种晶层可通过使用物理气相沉积、化学气相沉积、等离子增强化学气相沉积、低压化学气相沉积,或其他的沉积技术而沉积。种晶层用于改良以下沉积制程的数量。
诸如双镶嵌开口的孔洞152充填导电材料。执行电化学铜沉积(electrochemicalcopper deposition;ECD)以在阻障层180上(或在种晶层上)形成导电层190及充填孔洞152。利用导电材料充填包括上沟槽区段151及下通孔孔洞区段153的孔洞152,使阻障层180至少部分位于导电层190与第二介电层150之间。导电层190可为金属层。导电层190的材料可包括铜或铜合金,或其他适合的导电材料,如银、金、钨等等。在一些实施例中,导电层190由实质上无铝的材料制成。阻障层180可充当保护装置以保护导电层190不扩散至第二介电层150内。由于电化学铜沉积的更大粒径(优良电迁移)及高沉积速率,电化学铜沉积已被采用用于铜敷金属。然而,诸如电子化学电镀的电化学铜沉积制程是湿式制程及在孔洞152中产生空隙的形成。此外,空隙中亦可能截留电解质,从而产生可靠性问题。
此外,在导电层190形成之后,对上述结构执行热处理,例如退火制程。在一些实施例中,在导电层190形成之后立即提供热处理步骤。在一些其他实施例中,在移除孔洞152外部的导电层190多余部分的CMP步骤之后,立即提供热处理步骤。退火制程温度的范围可自约50℃至400℃。退火制程的历时的范围可自约5分钟至60分钟。
在形成充填孔洞152的导电层190之后,执行化学机械抛光制程以移除导电层190的多余部分,及孔洞152外部的阻障层180,由此曝露封盖层170的顶表面及获得平面化表面。孔洞152中的残余导电层190被视作导体(在下文中被称作导体190)。孔洞152及其中的导体190被视作用于互连线至导电特征120的导电通孔。在一些实施例中,含铝碎片132至少存在于导电通孔的底部转角处。在一些实施例中,导电通孔的侧壁具有底部部分及中间部分,此底部部分比中间部分更靠近下蚀刻停止层130,及导电通孔的侧壁的底部部分上的含铝碎片132的密度小于导电通孔的侧壁的中间部分上的含铝碎片132密度。请参看图2及图1F,其中图2是依据本揭露的一些实施例的图1F中部分A的放大视图。在退火及平面化之后,例如化学机械抛光之后,导体190具有更低阻抗及更佳电迁移寿命。然而,不仅电化学铜沉积制程可在导体190中形成空隙,退火制程亦可导致空隙的形成。退火制程期间的应力使导体190变形及导致额外空隙形成于导体190与阻障层180之间的界面处。空隙的存在可减少孔洞152中导电材料的量,由此提高导体190阻抗,此亦可增长半导体装置的RC延迟。
在本揭露的一些实施例中,用于BOEL的蚀刻停止层,如下蚀刻停止层130,是可改良RC延迟的低电容材料制成的。因为通孔由干式蚀刻制程而形成,因此蚀刻停止层的一部分由离子轰击及从曝露表面驱除,及可粘着在通孔侧壁上及通孔转角处。在一些实施例中,用于蚀刻停止层的低电容材料为含铝材料,如氮化铝、氮氧化铝、碳化铝,或上述各者的组合。铝较为轻质,及具有与介电材料的优良粘合能力。因此,来自驱除的下蚀刻停止层130材料的一些含铝碎片132粘着于孔洞152的侧壁的底部部分,及孔洞152的底部转角处。含铝碎片132可充当额外障壁以防止导体190逸散。
前述内容概括数个实施例的特征,以便彼等熟悉此项技术者可更佳地理解本揭露的实施方式。彼等熟悉此项技术者应了解,本揭露可易于用作设计或修正其他制程及结构的基础,以实现与本揭露介绍的实施例相同的目的及/或达到与其相同的优势。彼等熟悉此项技术者亦应了解,此种同等构造不脱离本揭露的精神及范畴,及可在不脱离本揭露精神及范畴的情况下在本揭露中进行多种变更、取代及更动。

Claims (20)

1.一种互连线结构,其特征在于,包括:
一第一介电层;
一导电特征,存在于该第一介电层中;
一第二介电层,存在于该第一介电层上;
一导体,存在于该第二介电层中及电连接至该导电特征;以及
多个含铝碎片,存在于该第二介电层的侧壁以及该导体之间,其中该第二介电层的该侧壁的底部处的所述含铝碎片的密度大于该第二介电层的该侧壁的中部的所述含铝碎片的密度,其中所述含铝碎片包含氮化铝、氮氧化铝、碳化铝或其组合。
2.根据权利要求1所述的互连线结构,其特征在于,还包括:
一含铝蚀刻停止层,存在于该第一介电层与该第二介电层之间。
3.根据权利要求2所述的互连线结构,其特征在于,该含铝蚀刻停止层的材料为氮化铝、氮氧化铝、碳化铝或其组合。
4.根据权利要求1所述的互连线结构,其特征在于,该导体具有至少一个底部转角,所述多个含铝碎片中的至少一者存在于该导体的该底部转角。
5.根据权利要求1所述的互连线结构,其特征在于,该导体由一无铝材料制成。
6.根据权利要求1所述的互连线结构,其特征在于,该导体的材料包含铜。
7.根据权利要求1所述的互连线结构,其特征在于,还包含一阻障层,至少部分存在于该导体与该第二介电层之间。
8.根据权利要求7所述的互连线结构,其特征在于,该阻障层由一无铝材料制成。
9.一种互连线结构,其特征在于,包括:
一第一介电层;
一导电特征,存在于该第一介电层中;
一第二介电层,存在于该第一介电层上;
一含铝蚀刻停止层,存在于该第一介电层与该第二介电层之间;
一导电通孔,至少存在于该第二介电层中且电连接至该导电特征;以及
至少一个含铝碎片,至少存在于该导电通孔的一底部转角处,其中所述含铝碎片包含氮化铝、氮氧化铝、碳化铝或其组合。
10.根据权利要求9所述的互连线结构,其特征在于,多个所述含铝碎片存在于该导电通孔的至少一个侧壁上。
11.根据权利要求10所述的互连线结构,其特征在于,该导电通孔的该侧壁具有一底部部分及一中间部分,该底部部分比该中间部分更靠近该含铝蚀刻停止层,该导电通孔的该侧壁的该底部部分上的所述含铝碎片的密度小于该导电通孔的该侧壁的该中间部分上的所述含铝碎片的密度。
12.根据权利要求9所述的互连线结构,其特征在于,该含铝蚀刻停止层的材料为氮化铝、氮氧化铝、碳化铝或其组合。
13.根据权利要求9所述的互连线结构,其特征在于,还包含一无铝蚀刻停止层,设置于该含铝蚀刻停止层上。
14.一种用于制造一互连线结构的方法,其特征在于,包括:
在一第一介电层中形成一导电特征;
在该导电特征及该第一介电层上形成一含铝蚀刻停止层;
在该含铝蚀刻停止层上形成一第二介电层;
干式蚀刻该第二介电层及该含铝蚀刻停止层以在该第二介电层及该含铝蚀刻停止层中形成一孔洞,其中该导电特征至少部分地由该孔洞曝露,该干式蚀刻该含铝蚀刻停止层的步骤使得至少一个含铝碎片重新溅射在该第二介电层的一侧壁上,其中所述含铝碎片包含氮化铝、氮氧化铝、碳化铝或其组合;以及
形成一导体于该孔洞中,该含铝碎片存在于该第二介电层的该侧壁以及该导体之间。
15.根据权利要求14所述的方法,其特征在于,该含铝蚀刻停止层的材料为氮化铝、氮氧化铝、碳化铝或其组合。
16.根据权利要求14所述的方法,其特征在于,还包含:
形成一阻障层于该第二介电层的至少一个侧壁上,并且在形成该阻障层后,至少一个含铝碎片存在于该阻障层以及该第二介电层的该侧壁之间;以及
在形成该阻障层后,形成该导体于该孔洞中。
17.根据权利要求16所述的方法,其特征在于,该导体由一无铝材料制成。
18.根据权利要求16所述的方法,其特征在于,该导体的材料包含铜。
19.根据权利要求16所述的方法,其特征在于,该阻障层由一无铝材料制成。
20.根据权利要求14所述的方法,其特征在于,还包含:
在形成该第二介电层之前,形成一无铝蚀刻停止层于该含铝蚀刻停止层上。
CN201610806487.1A 2015-12-21 2016-09-07 互连线结构与其制造方法 Active CN106898595B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562270468P 2015-12-21 2015-12-21
US62/270,468 2015-12-21
US15/145,369 US9837306B2 (en) 2015-12-21 2016-05-03 Interconnection structure and manufacturing method thereof
US15/145,369 2016-05-03

Publications (2)

Publication Number Publication Date
CN106898595A CN106898595A (zh) 2017-06-27
CN106898595B true CN106898595B (zh) 2020-02-07

Family

ID=59066379

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610806487.1A Active CN106898595B (zh) 2015-12-21 2016-09-07 互连线结构与其制造方法

Country Status (3)

Country Link
US (4) US9837306B2 (zh)
CN (1) CN106898595B (zh)
TW (1) TWI611545B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837306B2 (en) * 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US10522468B2 (en) 2017-07-31 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10790362B2 (en) 2017-11-30 2020-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
CN110875320B (zh) 2018-08-29 2022-02-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US11322397B2 (en) * 2018-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices including formation of adhesion enhancement layer
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
US11037822B2 (en) 2019-05-08 2021-06-15 International Business Machines Corporation Svia using a single damascene interconnect
US11482454B2 (en) * 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
KR20220153175A (ko) 2021-05-10 2022-11-18 삼성전자주식회사 반도체 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101842744A (zh) * 2007-11-01 2010-09-22 爱发科成膜株式会社 半色调掩模、半色调掩模坯料及制造半色调掩模的方法
CN101859727A (zh) * 2009-04-01 2010-10-13 台湾积体电路制造股份有限公司 内连线结构
CN104051256A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525542A (en) * 1995-02-24 1996-06-11 Motorola, Inc. Method for making a semiconductor device having anti-reflective coating
US5702981A (en) * 1995-09-29 1997-12-30 Maniar; Papu D. Method for forming a via in a semiconductor device
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6372653B1 (en) * 2000-07-07 2002-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming dual damascene structure
US6492272B1 (en) * 2001-02-15 2002-12-10 Advanced Micro Devices, Inc. Carrier gas modification for use in plasma ashing of photoresist
US6586842B1 (en) * 2001-02-28 2003-07-01 Advanced Micro Devices, Inc. Dual damascene integration scheme for preventing copper contamination of dielectric layer
US7164206B2 (en) * 2001-03-28 2007-01-16 Intel Corporation Structure in a microelectronic device including a bi-layer for a diffusion barrier and an etch-stop layer
GB0117250D0 (en) * 2001-07-14 2001-09-05 Trikon Holdings Ltd Method of forming a conductive interconnect
US20050095869A1 (en) * 2003-11-05 2005-05-05 Hun-Jan Tao Low K dielectric surface damage control
KR100621548B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
JP2008522423A (ja) * 2004-12-01 2008-06-26 エヌエックスピー ビー ヴィ 集積回路ダイ上に相互接続構造を形成する方法
US7445943B2 (en) * 2006-10-19 2008-11-04 Everspin Technologies, Inc. Magnetic tunnel junction memory and method with etch-stop layer
US8282842B2 (en) * 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
US8993435B2 (en) 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US9023219B2 (en) * 2012-04-26 2015-05-05 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive device
KR20150112979A (ko) * 2013-01-31 2015-10-07 에버스핀 테크놀러지스, 인크. 자기저항 디바이스를 제조하는 방법
US9269809B2 (en) * 2013-03-14 2016-02-23 Globalfoundries Inc. Methods for forming protection layers on sidewalls of contact etch stop layers
US9129965B2 (en) * 2013-03-14 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9941214B2 (en) * 2013-08-15 2018-04-10 Taiwan Semiconductor Manufacturing Company Semiconductor devices, methods of manufacture thereof, and inter-metal dielectric (IMD) structures
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
TWM489420U (en) * 2014-02-21 2014-11-01 Ya-Ling Zhang Power generation device capable of reducing occupation area
US9437484B2 (en) * 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
KR102399345B1 (ko) * 2014-11-12 2022-05-19 삼성전자주식회사 반도체 장치의 제조 방법
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US20160372413A1 (en) * 2015-06-17 2016-12-22 Globalfoundries Inc. Unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same
US9515021B1 (en) * 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of forming the same
US20170162430A1 (en) * 2015-12-03 2017-06-08 GlobalFoundries, Inc. Methods for producing integrated circuits with air gaps and integrated circuits produced from such methods
US9837306B2 (en) * 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101842744A (zh) * 2007-11-01 2010-09-22 爱发科成膜株式会社 半色调掩模、半色调掩模坯料及制造半色调掩模的方法
CN101859727A (zh) * 2009-04-01 2010-10-13 台湾积体电路制造股份有限公司 内连线结构
CN104051256A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
TW201724436A (zh) 2017-07-01
US10510588B2 (en) 2019-12-17
CN106898595A (zh) 2017-06-27
US9837306B2 (en) 2017-12-05
US20200118869A1 (en) 2020-04-16
US20170178954A1 (en) 2017-06-22
US20180102283A1 (en) 2018-04-12
US20200251383A1 (en) 2020-08-06
TWI611545B (zh) 2018-01-11
US10854508B2 (en) 2020-12-01

Similar Documents

Publication Publication Date Title
CN106898595B (zh) 互连线结构与其制造方法
US10714379B2 (en) Reducing contact resistance in vias for copper interconnects
US8420528B2 (en) Manufacturing method of a semiconductor device having wirings
US7998855B2 (en) Solving via-misalignment issues in interconnect structures having air-gaps
US8134234B2 (en) Application of Mn for damage restoration after etchback
US10062645B2 (en) Interconnect structure for semiconductor devices
US9824918B2 (en) Method for electromigration and adhesion using two selective deposition
US7772119B2 (en) Dual liner capping layer interconnect structure
US20100040982A1 (en) Method for forming an opening
US8980745B1 (en) Interconnect structures and methods of forming same
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US10535560B2 (en) Interconnection structure of semiconductor device
US10177091B2 (en) Interconnect structure and method of forming
US20120199980A1 (en) Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
US10665541B2 (en) Biconvex low resistance metal wire
KR20100073779A (ko) 반도체 소자의 금속배선 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant