KR102384840B1 - 반응물 기화기 및 관련 시스템들 및 방법들 - Google Patents

반응물 기화기 및 관련 시스템들 및 방법들 Download PDF

Info

Publication number
KR102384840B1
KR102384840B1 KR1020170104315A KR20170104315A KR102384840B1 KR 102384840 B1 KR102384840 B1 KR 102384840B1 KR 1020170104315 A KR1020170104315 A KR 1020170104315A KR 20170104315 A KR20170104315 A KR 20170104315A KR 102384840 B1 KR102384840 B1 KR 102384840B1
Authority
KR
South Korea
Prior art keywords
solid source
tray
path
source chemical
flow
Prior art date
Application number
KR1020170104315A
Other languages
English (en)
Other versions
KR20180036525A (ko
Inventor
모히쓰 이. 버기스
에릭 제임스 쉐로
칼 루이스 화이트
카일 폰두룰리아
허버트 터호스트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/283,120 external-priority patent/US10876205B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180036525A publication Critical patent/KR20180036525A/ko
Application granted granted Critical
Publication of KR102384840B1 publication Critical patent/KR102384840B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

고체 소스 화학물질 기화기 용기들 및 다중 챔버 증착 모듈들에 관련된 시스템들 및 방법들이 본 출원에 개시된다. 몇몇 실시예들에서, 고체 소스 화학물질 기화기는 하우징 베이스 및 하우징 리드를 포함한다. 몇몇 실시예들은 또한 하우징 베이스 내에 하우징되도록 구성된 제 1 및 제 2 트레이를 포함하며, 각각의 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 구불구불한 경로를 정의한다. 몇몇 실시예들에서, 다중 챔버 증착 모듈은 제 1 및 제 2 기체 상 반응 챔버들 및 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 고체 소스 화학물질 기화기 용기를 포함한다.

Description

반응물 기화기 및 관련 시스템들 및 방법들{REACTANT VAPORIZER AND RELATED SYSTEMS AND METHODS}
관련 출원에 대한 참조
본 출원은 2016년 9월 30일에 출원된 미국 특허 출원 번호 제15/283,120호의 일부 계속 출원인, 2017년 5월 3일에 출원된 미국 특허 출원 번호 제15/585,540호에 대한 우선권을 주장하며, 그 각각의 전체 개시는 모든 목적들을 위해 본 출원에 참조로서 통합된다.
분야
본 출원은 일반적으로 반도체 프로세싱 장비를 수반한 시스템들 및 방법들에 관한 것이며 구체적으로 화학적 증기 전달을 위한 기화 시스템들에 관한 것이다.
통상적인 고체 또는 액체 소스 반응물 전달 시스템은 고체 또는 액체 소스 용기 및 가열 수단들(예로서, 방사 열 램프들, 저항 히터들 등)을 포함한다. 용기는 고체(예로서, 분말 형태로) 또는 액체 소스 전구체를 포함한다. 가열 수단은 용기에서 반응물을 기화시키기 위해 용기를 가열한다. 용기는 용기를 통해 불활성 캐리어 가스(예로서, N2)의 흐름을 위한 유입구 및 유출구를 갖는다. 캐리어 가스는 용기 유출구를 통해 및 궁극적으로 기판 반응 챔버로 그것과 함께 반응물 증기를 스위핑한다. 용기는 통상적으로 용기 외부로부터 용기의 내용물을 유동적으로 격리하기 위한 격리 밸브(isolation valve)들을 포함한다. 대개는, 하나의 격리 밸브는 용기 유입구의 위쪽으로 제공되며, 또 다른 격리 밸브는 용기 유출구의 아래쪽으로 제공된다.
일 양상에서, 고체 소스 화학물질 기화기가 제공된다. 기화기는 하우징 베이스, 상기 하우징 베이스 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 구불구불한(serpentine) 경로를 정의하는 상기 제 1 트레이, 상기 제 1 트레이에 수직으로 인접한 상기 제 1 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 구불구불한 경로를 정의하는, 상기 제 2 트레이, 및 하우징 리드를 포함할 수 있다.
몇몇 실시예들에서, 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 직렬로 유동적으로(fluidly) 연결된다. 다른 실시예들에서, 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 병렬로 유동적으로 연결된다. 이러한 실시예들에서, 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 고체 소스 화학물질 기화기 내에서 서로 유체 연통할 수 없다.
상기 제 1 및 제 2 구불구불한 경로들은 각각 고체 금속 블록에 형성된 리세스를 포함할 수 있다. 상기 리세스들의 각각은 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의할 수 있다.
몇몇 실시예들에서, 상기 하우징 리드는 상기 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통(fluid communication)하는 제 1 유입 밸브, 상기 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브, 상기 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브, 및 상기 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브를 포함한다.
상기 하우징 리드는 상기 리드 상에 장착되며 상기 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하는 벤트 밸브(vent vavle)를 더 포함할 수 있다.
또 다른 양상에서, 고체 소스 화학물질 기화기는 하우징 베이스, 상기 하우징 리드 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 경로를 정의하는, 상기 제 1 트레이, 및 상기 제 1 트레이에 수직으로 인접한 상기 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이를 포함한다. 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 경로를 정의한다. 상기 기화기는 또한 하우징 리드, 상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유입 밸브, 상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유출 밸브, 상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유입 밸브, 및 상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유출 밸브를 포함한다.
상기 하우징 리드는 상기 리드 상에 장착되며 상기 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하는 벤트 밸브를 더 포함할 수 있다.
몇몇 실시예들에서, 상기 고체 소스 화학물질 기화기는 약 400 내지 1200의 범위에서 고체 소스 화학물질 기화기에 의해 봉입된(enclosed) 볼륨(㎣으로) 대 상기 제 1 및 제 2 트레이들의 총 경로 길이(mm로)의 비를 정의한다.
또 다른 양상에서, 다수의 챔버 증착 모듈이 제공된다. 상기 다수의 챔버 증착 모듈은 제 1 기판상에 제 1 재료를 증착시키기 위한 제 1 기체 상 반응 챔버, 제 2 기판상에 제 2 재료를 증착시키기 위한 제 2 기체 상 반응 챔버, 및 상기 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 연결된 고체 소스 화학물질 기화기를 포함한다.
몇몇 실시예들에서, 상기 고체 소스 화학물질 기화기는 제 1 구불구불한 경로를 정의하는 제 1 트레이로서, 상기 제 1 구불구불한 경로가 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응되는, 상기 제 1 트레이, 및 제 2 구불구불한 경로를 정의하는 제 2 트레이로서, 상기 제 2 구불구불한 경로가 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응되는, 상기 를 제 2 트레이를 포함할 수 있다.
상기 고체 소스 화학물질 기화기는 하우징 베이스, 하우징 리드, 상기 하우징 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유입 밸브, 상기 하우징 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브, 상기 하우징 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브, 상기 하우징 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브, 및 상기 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하게 장착되는 벤트 밸브를 더 포함할 수 있다. 상기 제 1 유출 밸브 및 상기 제 2 유출 밸브는 연결 포인트에서 유체 연통할 수 있으며, 캐리어 가스는 분리 포인트에서 제 1 기체 상 반응 챔버 및/또는 제 2 기체 상 반응 챔버로 선택적으로 통과할 수 있다. 모듈은 또한 상기 연결 포인트 및 상기 분리 포인트 사이에 유동적으로 개재된 제 1 가스 패널 밸브를 포함할 수 있다. 상기 모듈은 추가적으로 하우징 리드 상에 또는 하우징 베이스의 벽에 제 1 필터를 포함할 수 있으며, 상기 제 1 필터는 고체 입자상 물질이 통과하여 흐르는 것을 방지하도록 적응된다. 상기 모듈은 상기 고체 소스 화학물질 기화기에 수직으로 인접한 히터 판을 추가적으로 포함할 수 있다.
몇몇 실시예들에서, 상기 모듈은 추가적으로 원자 층 증착(ALD)을 수행하기 위해 제 1 기체 상 반응 챔버를 동작시키도록 구성된 제어 프로세서들 및 소프트웨어를 포함한다. 다른 실시예들에서, 상기 모듈은 추가적으로 화학 기상 증착(CVD)을 수행하도록 상기 제 1 기체 상 반응 챔버를 동작시키도록 구성된 제어 프로세서들 및 소프트웨어를 포함한다.
몇몇 실시예들에서, 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 병렬로 유동적으로 연결된다. 이러한 실시예들에서, 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 모듈 경로는 상기 고체 소스 화학물질 기화기 및 분리 포인트 사이에 유동적으로 개재된 연결 포인트에서 유체 연통할 수 있다. 상기 분리 포인트는 상부 밸브 판에 배치될 수 있으며 상기 연결 포인트 및 상기 제 1 및 제 2 기체 상 반응 챔버들의 각각 사이에 유동적으로 개재될 수 있다.
몇몇 실시예들에서, 모듈은 상기 고체 소스 화학물질 기화기에 수직으로 인접한 히터 판 및 상기 하우징 리드 위에 배치된 밸브 판 히터를 추가적으로 포함한다. 상기 히터 판 및 밸브 판 히터는 약 50℃ 내지 250℃의 범위에서의 동작 온도로 하우징 베이스를 가열하도록 적응될 수 있다.
또 다른 양상에서, 다수의 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법은 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 고체 소스 화학물질 기화기를 연결하는 단계 및 상기 고체 소스 화학물질 기화기를 동작 온도로 가열하는 단계를 포함할 수 있다.
몇몇 실시예들에서, 상기 방법은 제 1 트레이의 제 1 구불구불한 경로에 제 1 고체 소스 화학물질을 및 제 2 트레이의 제 2 구불구불한 경로에 제 2 고체 소스 화학물질을 제공하는 단계를 추가적으로 포함한다. 상기 제 1 및 제 2 구불구불한 경로들은 병렬로 유동적으로 연결될 수 있다. 다른 배열들에서, 상기 제 1 및 제 2 구불구불한 경로들은 직렬로 유동적으로 연결될 수 있다. 상기 제 1 및 제 2 구불구불한 경로들은 상기 고체 소스 화학물질 기화기 내에서 서로 유체 연통하지 않도록 배열될 수 있다.
몇몇 실시예들에서, 상기 방법은 또한 상기 제 1 고체 소스 화학물질을 통해 제 1 불활성 가스를 및 상기 제 2 고체 소스 화학물질을 통해 제 2 불활성 가스를 통과시키는 단계, 상기 제 1 기체 상 반응 챔버내 제 1 기판상에 제 1 재료를 증착시키는 단계, 및 상기 제 2 기체 상 반응 챔버내 제 2 기판상에 제 2 재료를 증착시키는 단계를 포함한다. 상기 제 1 재료는 상기 제 2 재료와 상이할 수 있다. 제 1 재료를 증착시키는 단계 및 제 2 재료를 증착시키는 단계는 각각 원자 층 증착(ALD : atomic layer deposition)을 수행하는 단계를 포함할 수 있다. 상기 제 1 재료를 증착시키는 단계 및 상기 제 2 재료를 증착시키는 단계는 각각 화학 기상 증착(CVD : chemical vapor deposition)을 수행하는 단계를 포함할 수 있다.
본 개시의 이들 및 다른 양상들이 이하의 설명, 첨부된 청구항들을 고려하여, 및 도면들로부터 당업자에게 쉽게 명백해질 것이며, 이것은 본 발명을 제한하기 위해서가 아닌, 예시하도록 의도된다.
도 1a는 고체 소스 화학물질 기화기(SSCV) 용기들의 몇몇 실시예들의 개략도를 예시한다.
도 1b는 다수의 증착 챔버들을 공급한 용기를 포함하는 다중-챔버 증착 모듈의 실시예를 개략적으로 도시한다.
도 2a는 트레이들이 용기 내에서 별개의 흐름 경로들을 갖도록 하는 용기의 트레이들의 유체 구성을 도시한다.
도 2b는 트레이들을 통한 흐름 경로들이 병렬로 배열되지만 용기 내에서 병합할 수 있도록 하는 용기의 트레이들의 유체 구성을 도시한다.
도 2c는 트레이들을 통한 흐름 경로들이 직렬로 배열되도록 하는 용기의 트레이들의 유체 구성을 도시한다.
도 2d는 대표적인 ALD 프로세스를 예시한다.
도 3은 다수의 증착 챔버들에 유동적으로 연결되는 예시적인 SSCV 용기를 개략적으로 도시한다.
도 4는 실시예에 따른, 고체 소스 화학물질 용기의 하우징 리드, 하우징 베이스, 및 두 개의 내부 반응물 트레이들의 확대된, 정면, 최상부 및 우측 등각도이다.
도 5는 도 4의 조립된 용기의 정면, 최상부 및 우측 등각도이다.
도 6a는 다양한 실시예들에서 다양한 포팅 리세스들 및 다른 유체 구조들을 도시한, 도 4의 베이스 및 최상부 트레이의 부분 최상부 평면도이다.
도 6b는 특정한 실시예들에서 베이스 및 최상부 트레이, 다양한 포팅 리세스들, 및 트레이 구조의 최상부 등각도이다.
도 7a는 특정한 구성들에서 도 4의 최상부 트레이 및 리드의 단면의 정면, 최상부 및 우측 등각도이다.
도 7b는 몇몇 실시예들에 따른 구불구불한 경로를 따라 천공 벽들을 가진 트레이의 최상부 등각도이다.
도 7c는 실시예에 따른 경사진 통로들을 가진 도 7b에서의 사용을 위한 천공 벽의 측면 뷰의 개략도이다.
도 7d는 흐름 경로에 설치를 위한 예시적인 필라-유사(pillar-like) 돌출부를 예시한다.
도 7e는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7f는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7g는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7h는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7i는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7j는 흐름 경로에 설치를 위한 예시적인 필라-유사 돌출부를 예시한다.
도 7k는 흐름 경로에 설치를 위한 예시적인 벽 인서트를 예시한다.
도 7l는 흐름 경로에 설치를 위한 예시적인 벽 인서트를 예시한다.
도 7m은 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7n은 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7o는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7p는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7q는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7r은 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7s는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7t는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7u는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7v는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7w는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7x는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7ya은 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7yb는 도 7b 내지 도 7l의 필라-유사 돌출부들, 통합된 벽들 또는 벽 인서트들에서 생성될 수 있는 예시적인 통로 또는 천공 패턴을 예시한다.
도 7za은 특정한 벽 인서트들이 어떻게 흐름 경로에 설치될 수 있는지의 평면도를 예시한다.
도 7zb는 특정한 필라-유사 돌출부들이 어떻게 흐름 경로에 설치될 수 있는지의 평면도를 예시한다.
도 7zc은 특정한 벽 인서트들이 어떻게 흐름 경로에 설치될 수 있는지의 평면도를 예시한다.
도 8은 제 1 트레이와 유체 연통하도록 구성된 밸브들 중 하나를 통한 예시적인 용기의 단면 측면도이다.
도 9는 제 2 트레이와 유체 연통하도록 구성된 밸브들 중 하나를 통한 예시적인 용기의 단면 측면도이다.
도 10은 제 1 트레이 및 제 2 트레이와 유체 연통하도록 구성된 벤트 밸브를 통한 예시적인 용기의 단면 측면도이다.
도 11은 도 4의 고체 소스 화학물질 용기를 통합한 고체 소스 어셈블리의 정면, 최상부 및 좌측 등각도이다.
도 12는 실시예에 따라, 도 11의 고체 소스 어셈블리를 통합한 다중-챔버 증착 모듈의 정면도이다.
도 13은 예시적인 다중 챔버 증착 모듈의 개략적인 유체-흐름 다이어그램을 예시한다.
도 14는 몇몇 실시예들에 따른 고체 소스 어셈블리를 개략적으로 예시한다.
여기에서 제공된 주제들은, 만약에 있다면, 단지 편리함을 위한 것이며 반드시 청구된 발명의 범위 또는 의미에 영향을 주는 것은 아니다.
다중-챔버 증착 모듈에서 기화된 반응물을 전달하기 위한 시스템들 및 관련된 방법론들이 여기에서 설명된다. 본 출원은 화학적 고체 소스 재료를 기화시키며 하나 이상의 증착 모듈들을 포함한 증착 모듈들에서 사용될 수 있는 반응물 증기를 전달하기 위한 시스템들을 추가로 설명한다.
바람직한 실시예들 및 방법들의 다음의 상세한 설명은 청구항들을 이해하도록 돕기 위해 특정한 구체적인 실시예들을 상세히 열거한다. 그러나, 이것은 청구항들에 의해 정의되며 커버되는 바와 같이, 다수의 상이한 실시예들 및 방법들에서 본 발명을 실시할 수 있다.
화학 기상 증착(CVD)은 실리콘 웨이퍼들과 같은 기판들 상에 재료들의 박막들을 형성하기 위한 반도체 산업에서 알려져 있는 프로세스이다. CVD에서, 상이한 반응 화학물질들의 반응물 증기("전구체 가스(precursor gas)들"을 포함한)는 반응 챔버에서 하나 이상의 기판들로 전달된다. 많은 경우들에서, 반응 챔버는 기판 홀더(서스셉터와 같은) 상에 지지된 단일 기판만을 포함하며, 기판 및 기판 홀더는 원하는 프로세스 온도에서 유지된다. 통상적인 CVD 프로세스들에서, 상호 반응성 반응물 증기들은, 반응 가스들의 온도 및 양들에 관련된 성장률을 갖고, 기판상에 박막들을 형성하기 위해 서로 반응한다. 몇몇 변형들에서, 증착 반응물들을 구동하기 위한 에너지가 전체적으로 또는 부분적으로 플라즈마에 의해 공급된다.
몇몇 애플리케이션들에서, 반응 가스들은 반응물 소스 용기에 가스 형태로 저장된다. 이러한 애플리케이션들에서, 반응물은 종종 약 1 기압 및 실온의 표준 압력들 및 온도들에서 가스이다. 이러한 가스들의 예들은 질소, 산소, 수소, 및 암모니아를 포함한다. 그러나, 몇몇 경우들에서, 표준 압력 및 온도에서 액체 또는 고체(예로서, 염화 하프늄, 산화 하프늄, 이산화 지르코늄 등)인 소스 화학물질들("전구체들(precursors)")의 증기들이 사용된다. 몇몇 고체 물질들(본 출원에서 "고체 소스 전구체들(solid source precursors)"로서 불리우는)에 대해, 실온에서의 증기압은 너무 낮아서 그것들은 통상적으로 반응 프로세스를 위한 충분한 양의 반응물 증기를 생성하기 위해 매우 낮은 압력에서 가열되고 및/또는 유지된다. 일단 기화되면, 기체 상 반응물은 기체 상 반응물들을 반응 챔버로 전달하는 것과 연관된 밸브들, 필터들, 도관들 및 다른 구성요소들에서의 바람직하지 않은 응축을 방지하도록 프로세싱 시스템을 통해 기화 온도에서 또는 그 이상에서 유지되는 것이 중요하다. 이러한 자연적으로 고체 또는 액체 물질들로부터의 기체 상 반응물들은 다양한 다른 산업들에서 화학 반응들을 위해 유용하다.
원자 층 증착(ALD)은 기판들 상에 박막들을 형성하기 위한 또 다른 알려진 프로세스이다. 많은 애플리케이션들에서, ALD는 상기 설명된 바와 같이 고체 및/또는 액체 소스 화학물질을 사용한다. ALD는 막이 사이클들에서 수행된 자기-포화 반응들을 통해 구축되는 기상 증착의 유형이다. 막의 두께는 수행된 사이클들의 수에 의해 결정된다. ALD 프로세스에서, 가스 반응물들은 교번하여 및/또는 반복적으로, 웨이퍼 상에 재료의 박막을 형성하기 위해 기판 또는 웨이퍼로 공급된다. 하나의 반응물은 자기-제한 프로세스에서, 웨이퍼 상에 흡착한다. 상이한, 그 뒤에 펄싱된 반응물은 원하는 재료의 단일 분자 층을 형성하기 위해 흡착된 재료와 반응한다. 분해가 리간드(ligand) 교환 또는 게터링(gettering) 반응에서와 같은, 적절하게 선택된 시약을 갖고 및 흡착된 종들 사이에서 상호 반응을 통해 발생할 수 있다. 이론적 ALD 반응에서, 단지 분자 단층만이 사이클마다 형성한다. 보다 두꺼운 막들은 타겟 두께가 달성될 때까지 반복된 성장 사이클들을 통해 생성된다.
이론적 ALD 반응들에서, 상호 반응성 반응물들은 상이한 반응물들로의 기판 노출들 사이에서 매개 제거 프로세스들을 갖고 기체 상에서 분리되어 유지된다. 예를 들면, 시간-분할 ALD 프로세스들에서, 반응물들은 펄스들로, 통상적으로 퍼징 또는 펌프 다운 단계들에 의해 분리된, 고정된 기판으로 제공되고; 공간-분할 ALD 프로세스들에서, 기판은 상이한 반응물들을 갖고 구역들을 통해 이동되며; 몇몇 프로세스들에서 공간-분할 및 시간-분할 ALD 양쪽 모두의 양상들이 조합될 수 있다. 당업자는 몇몇 변형들 또는 하이브리드 프로세스들이 정상 ALD 파라미터 윈도우들의 바깥쪽에서 증착 조건들의 선택을 통해 및/또는 기판으로의 노출 동안 상호 반응성 반응물들 사이에서의 약간의 중첩을 허용하는 것을 통해, 약간의 CVD-형 반응들을 허용한다는 것을 이해할 것이다.
반응물 소스 용기들은 일반적으로 유입구 및 유출구로부터 연장된 가스 라인들, 라인들 상에서의 격리 밸브들, 및 밸브들 상에서의 부속품들을 공급받으며, 부속품들은 남아있는 기판 프로세싱 장치의 가스 흐름 라인들에 연결하도록 구성된다. 반응물 증기가 이러한 구성요소들 상에서 응축하며 증착하는 것을 방지하기 위해, 반응물 소스 용기 및 반응 챔버 사이에서의 다양한 밸브들 및 가스 흐름 라인들을 가열하기 위해 다수의 부가적인 히터들을 제공하는 것이 종종 바람직하다. 따라서, 소스 용기 및 반응 챔버 사이에서의 가스-운반 구성요소들은 때때로 온도가 반응물의 기화/응축 온도 이상으로 유지되는 "핫 존(hot zone)"으로서 불리운다.
도 1a는 고체 소스 화학물질 기화기(SSCV) 용기들의 몇몇 실시예들의 개략도를 예시한다. 고체 소스 전구체는 표준 조건들(즉, 실온 및 대기압) 하에서 고체인 소스 화학물질이다. 몇몇 실시예들에서, 용기(104)는 하우징 베이스(480), 하우징 리드(113), 제 1 트레이(108), 및 제 2 트레이(112)를 포함할 수 있다. 용기(104)는 하나 이상의 트레이들을 포함할 수 있으며, 도 1a는 여기에서 설명된 바와 같이, 용기(104)가 포함할 수 있는 트레이들의 수를 제한하는 것으로 보여지지 않아야 한다. 몇몇 실시예들에서, 리드(113)는 하우징 베이스(480)에 기계적으로 부착되도록 적응된다. 이것은 부착 디바이스들(예로서, 볼트들, 나사들 등) 중 하나 이상을 사용하여 행해질 수 있다. 특정한 실시예들에서, 리드(113) 및 하우징 베이스(480)는 기밀 방식으로 기계적으로 부착된다.
특정한 구성들에서, 트레이들(108, 112)은 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된다. 몇몇 실시예들에서, 제 2 트레이(112)는 제 1 트레이(108)에 수직으로 인접한 용기 내에서 하우징된다. 특정한 구성들에서, 수직으로 인접은 물리적으로 접촉하는 것을 포함한다. 몇몇 실시예들에서, 인접은, 추가로 상세히 설명되는 바와 같이, 하나의 트레이에서의 증기가 또 다른 트레이와 직접 통신하지 않도록 유체 밀봉되는 것을 포함한다. 몇몇 실시예들에서, 제 2 트레이(112)는 제 1 트레이(108) 위에 위치된다. 몇몇 실시예들에서, 제 2 트레이(112)는 제 1 트레이(108) 아래에 위치된다. 특정한 실시예들에서, 트레이들(108, 112) 각각은 증기 증착 반응을 위해 고체 소스 화학물질을 보유하도록 적응되는 구불구불한 경로를 정의한다.
도 1b는 다중-챔버 증착 모듈(198)이 어떻게 용기(104) 및 둘 이상의 증착 챔버들(312, 316)을 포함할 수 있는지를 개략적으로 도시한다. 몇몇 실시예들에서, 증착 챔버들(312, 316)은 대응하는 제어기들(313, 317)을 사용하여 제어될 수 있다. 몇몇 실시예들에서, 제어기들(313, 317)은 여기에서 보다 상세히 설명되는 바와 같이, ALD를 수행하도록 구성된다. 몇몇 실시예들에서, 제어기들(313, 137)은 ALD를 수행하도록 프로그램된 프로세서들 및 메모리를 포함한다. 증착 챔버들과 별개로 연관되는 것으로 도시되지만, 당업자는 단일 제어기 또는 다수의 제어기들이 양쪽 챔버들, 증착 모듈(198)에서의 임의의 히터들, 압력 제어를 위한 펌프들 및/또는 펌프들로의 밸브들, 기판 핸들링을 위한 로봇 제어, 및 고체 소스 용기(104)로의 캐리어 흐름 및 그로부터의 증기 흐름을 포함한, 증기 흐름의 제어를 위한 밸브들의 동작을 통제할 수 있다는 것을 이해할 것이다. 모듈(198)은 둘 이상의 증착 챔버들(312, 316)을 포함할 수 있으며, 도 1b는 여기에서 설명된 바와 같이, 모듈(198)이 포함할 수 있는 증착 챔버들(108, 112)의 수를 제한하는 것으로 보여져서는 안된다. 예시된 실시예에서, 증착 챔버들(312, 316)은, 보다 상세히 설명되는 바와 같이, 용기와 유체 연통한다.
예시된 SSCV 용기(104) 및 다중-챔버 증착 모듈(198)은 다수의 기체 상 반응 챔버들에서 사용될 기체 상 반응물들을 전달하는데 특히 적합하다. 기체 상 반응물들은 증착(예로서, CVD) 또는 원자 층 증착(ALD)을 위해 사용될 수 있다. 몇몇 실시예들에서, 제어 프로세서들 및 컴퓨터-판독 가능한 미디어 상에 저장된 프로그래밍은 본 출원에 개시된 실시예들이 ALD를 수행하기 위해 구성되도록 포함된다. 특정한 실시예들에서, 제어 프로세서들 및 컴퓨터-판독 가능한 미디어 상에 저장된 프로그래밍은 본 출원에 개시된 실시예들이 CVD를 수행하기 위해 구성되도록 포함된다.
몇몇 실시예들에서, 용기(104)의 트레이들(108, 112)의 유체 구성(200)은, 도 2a에 도시되는 바와 같이, 용기(104) 내에서, 트레이들이 서로 분리되는, 예로서 서로 직접 유체 연통하지 않는 흐름 경로들의 부분들을 형성하도록 한다. 분리 포인트(124)에서의 캐리어 가스 분할들의 유입 흐름은 가스 입구 포인트(130) 및 유입 밸브들(116, 120) 사이에 위치된다. 유입구들(152, 156)에서 용기(104)로의 캐리어 가스의 흐름은 유입 밸브들(116, 120)을 개방하고 및/또는 폐쇄함으로써 제어될 수 있다. 유체 흐름 경로들은 용기 유입구들(152, 156)로부터 그것들 각각의 트레이 유입구들(162, 166)로 계속된다. 용기 유입구들(152, 156) 및 트레이 유입구들(162, 166)은 몇몇 실시예들에서 일치할 수 있다. 트레이들(108, 112)의 각각은, 이하에서 도 4 내지 도 12의 설명으로부터 보다 잘 이해될 바와 같이, 구불구불한 반응물 베드들 및 그 위에서의 흐름 경로들을 정의할 수 있다.
도 2a에 도시된 바와 같이, 제 1 트레이(108)의 및 제 2 트레이(112)의 흐름 경로들은 용기(104) 내에서 유체 연통하지 않는다. 예시된 구성에서, 트레이들(108, 112)은 각각의 용기 유출구들(182, 186)과 유체 연통하는 각각의 트레이 유출구들(172, 176)을 갖는다. 특정한 구성들에서, 트레이 유출구들(172, 176)은 용기 유출구들(182, 186)과 일치할 수 있다. 트레이들(108, 112)을 통과하는 유체는 출구 포인트들(140)에서 도시된 유체 구성을 빠져나갈 수 있으며, 이것은 다른 흐름 제어 디바이스들(예로서, 밸브들) 및 증착 챔버(들)로 이어질 수 있다. 용기(104)로부터의 배출물은 트레이들(108, 112) 내에서 기화된 반응 가스 및 캐리어 가스를 포함한다. 몇몇 실시예들에서, 양쪽 트레이들로부터의 배출물은 예시된 출구 포인트들(140)의 아래쪽에서 병합할 수 있다.
비활성 또는 불활성 가스는 바람직하게는 기화된 전구체를 위한 캐리어 가스로서 사용된다. 불활성 가스(예로서, 질소, 아르곤, 헬륨 등)는 입구 포인트(130)를 통해 SSCV 용기(104)로 공급될 수 있다. 몇몇 실시예들에서, 상이한 불활성 가스들은 다양한 프로세스들을 위해 및 여기에서 설명된 다양한 시스템들에서 사용될 수 있다.
도시되지 않은 부가적인 밸브들 및/또는 다른 유체 제어 요소들이 포함될 수 있다는 것이 이해될 것이다. 예를 들면, 유입 밸브들 외에, 트레이들 (108, 112)의 각각은 이하에 도 4 내지 도 12에 대하여 설명되는 실시예들의 설명으로부터 이해될 것과 같이, 별개의 유출 밸브들을 제공받을 수 있다.
도 2b는 트레이들(108, 112)이 병렬로 배열될 수 있는 또 다른 실시예를 예시한다. 도 2b는 흐름이 SSCV 용기(104) 내에서 분리 포인트(124)에서 분리되며 병합기 포인트(260)에서 병합할 수 있다는 점에서 도 2a와 상이하다. 예시된 실시예에서, 분리 포인트(124)는 유입 밸브(204) 및 용기 유입구(256)의 아래쪽에 있는 반면, 병합기 포인트(260)는 용기 유출구(286) 및 유출 밸브(208)의 위쪽에 있다. 도 2a 및 도 2b의 특징들을 조합하는 다른 배열들에서, 분리 포인트(124) 및 병합기 포인트(260) 중 하나는 용기(104) 내에 있을 수 있는 반면, 다른 것은 용기(104) 밖에 있다.
당업자에 의해 이해될 바와 같이, 도 2a 및 도 2b에 도시된 바와 같이 트레이들(108, 112)을 통한 병렬 흐름 배열들은 고농도 선량들이 다수의 증기 소스들이 수반할 볼륨 또는 풋프린트를 차지하지 않고 증착 챔버(들)로 전달될 수 있게 한다. 이하에서 보다 상세히 설명되는 바와 같이, 각각의 트레이는 고체 반응물의 높은 표면적과 캐리어 가스의 접촉을 가능하게 하기 위해 고체 반응물을 통해, 가늘고 긴 경로, 특히 구불구불한 경로를 포함할 수 있다.
도시되지 않은 부가적인 밸브들 및/또는 다른 유체 요소들이 포함될 수 있다는 것이 이해될 것이다. 예를 들면, 3-방향 스위칭 밸브가 제 1 트레이(108) 및 제 2 트레이(112)를 통해 흐름을 교번시킬 수 있는 분리 포인트(162)에서 제공될 수 있다. 이러한 구성들은 또한 제 1 트레이(108)로 하여금 캐리어 가스가 제 2 트레이(112)에서 반응물 증기를 통해 흐르며 이를 운반하는 동안 그것의 제거 없이 고체 소스 화학물질 베드(들) 위에서 계속해서 증기를 기화시키며 수집하도록 허용할 수 있으며, 그 역 또한 마찬가지이다. 추가적으로, 스위칭 밸브들은 용기(104)로부터 둘 이상의 반응기들(예를 들면, 증착 챔버들)로 흐름을 교번시키기 위해 SSCV 용기(104)의 아래쪽으로 제공될 수 있다. 이러한 부가적인 스위칭 밸브들은 도 2a 및 도 2b의 병렬 배열들 중 어느 하나에 적용될 수 있다.
몇몇 실시예들에서, 트레이들(108, 112)은 도 2c에 도시된 바와 같이, 직렬로 배열될 수 있다. 이러한 유체 구성(200)에서, 트레이들(108, 112)은 공통 유입 밸브(204)를 공급하는 공통 입구 포인트(130)로부터 가스를 수신할 수 있다. 캐리어 가스는 용기 유입구(256)에서 용기에 들어갈 수 있다. 특정한 실시예들에서, 가스는 제 1 트레이(108)로 이행하기 전에 제 1 트레이 유입구(162)를 통과한다. 구불구불한 반응물 베드 및 흐름 경로를 포함할 수 있는, 제 1 트레이(108)를 통과한 후, 가스는 제 2 트레이 유입구(166)에서, 구불구불한 반응물 베드 및 흐름 경로를 또한 포함할 수 있는, 제 2 트레이(112)에 들어가기 전에 제 1 트레이 유출구(152)에서 제 1 트레이(108)를 빠져나갈 수 있다. 도 2c는 개략도이며 두 개의 트레이들(108, 112)이 SSCV 용기(104) 내에서 서로에 대한 다양한 물리적 관계들을 가질 수 있다는 것이 이해될 것이다. 도 4 내지 도 12에 도시된 실시예들에서, 저 프로파일 트레이들이 단일 하우징 내에서 수직으로 적층되며, 이러한 실시예들에서 제 1 트레이(108)는 상부 또는 하부 트레이를 나타낼 수 있다.
도시된 바와 같이, 몇몇 실시예들에서, 가스는 제 2 트레이 유출구(176)를 통해 제 2 트레이(112)를 빠져나갈 수 있다. 가스는 용기 유출구(286)로부터 유출 밸브(208)를 통해 출구 포인트(140)로 지나갈 수 있다. 몇몇 실시예들에서, 유출 밸브(208)는 출구 포인트(140)로 지나가는 유체의 흐름 및/또는 용기 유출구(286)를 통과하는 가스의 흐름을 조절하기 위해 사용될 수 있다.
도시되지 않은 부가적인 밸브들 및/또는 다른 유체 요소들이 포함될 수 있다는 것이 이해될 것이다. 예를 들면, 용기 유출구(256), 트레이 유입구들(162, 166), 트레이 유출구들(172, 176), 및 용기 유출구(286) 중 하나 이상은 그것을 통한 가스의 흐름을 조절하도록 구성되는 밸브들을 구비할 수 있다. 도시되지 않은 부가적인 밸브들 및 다른 유체 요소들이 특정한 구성들에 포함될 수 있다.
도 2d는 대표적인 ALD 프로세스(2100)를 예시한다. 몇몇 실시예들은 블록(2110)에서 기판 표면에 적용된 사전처리(pretreatment) 프로세스를 포함할 수 있다. 사전처리는 하나 이상의 프로세스들을 포함할 수 있다. 사전처리에서, 제 1 반응물(예로서, 금속을 포함한)이 증착될 기판 표면은 하나 이상의 사전처리 반응물들에 및/또는 온도 또는 압력과 같은, 특정 조건들에 노출될 수 있다. 사전처리는 뒤이은 증착 반응들 또는 흡착을 용이하게 하기 위해 기판 표면을 깨끗하게 하고, 불순물들을 제거하고, 자연 산화물을 제거하며, 바람직한 표면 종결들을 제공하기 위해서를 포함하여, 임의의 수의 이유들로 사용될 수 있다. 몇몇 실시예들에서, 사전처리는 H2O, O3, HCl, HBr, Cl2, HF, 플라즈마 제품들 등과 같은, 산화 소스 및/또는 세정 반응물과 같은, 하나 이상의 사전처리 반응물들에 기판 표면을 노출시키는 것을 포함한다. 몇몇 실시예들에서, 사전처리 프로세스는 적절한 화학물질의 기판의 하나 이상의 노출들을 포함하며, 노출들은 범위가 약 0.05초 내지 약 600초, 바람직하게는 약 0.1초 내지 약 60초에 이른다. 몇몇 실시예들에서, 사전처리 프로세스 동안 압력은 약 0.01 토르(Torr) 내지 약 100 토르 사이, 바람직하게는 약 0.1 토르 내지 약 10 토르에서 유지된다. 몇몇 실시예들에서, 다수의 사전처리 반응물들은 순차적으로 또는 동시에 사용된다. 몇몇 실시예들에서, 사전처리는 하나 이상의 사전처리 반응물들의 다수의 적용들을 수반할 수 있다.
사전처리 프로세스는 증기 형태에서 또는 액체 형태에서 사전처리 반응물들을 이용할 수 있다. 사전처리 프로세스는 뒤이은 ALD 프로세스들과 동일한 온도 및/또는 압력에서 수행될 수 있지만; 그것은 또한 상이한 온도 및/또는 압력에서 수행될 수 있다. 예를 들면, 현지 외 사전처리가 수용액에서 기판의 액침을 수반하는 경우에, 사전처리 반응물을 바람직하지 않게 증발시킬 수 있는 비교적 낮은 압력들에서 수행될 수 있는, ALD 프로세스보다 높은 압력에서 사전처리가 진행되도록 허용하는 것이 바람직할 것이다.
다시 도 2d를 참조하면, 기판은 블록(2120)에서 제 1 반응물과 접촉된다. 반응물들은 또한 반응물이 증착되는 막에서 요소(들)를 남기는 전구체들로서 불리울 수 있다. 정지된 기판(시간 분할 ALD)을 가진 몇몇 실시예들에서, 제 1 반응물은 기체 상 펄스의 형태로 반응 챔버로 안내되며 기판의 표면과 접촉된다. 제 1 반응물이 흡착될 전구체인 경우에, 조건들은 전구체의 단지 약 하나의 단층만이 자기-제한 방식으로 기판 표면상에 흡착되도록 선택될 수 있다. 제 1 전구체 펄스는 가스 형태로 공급된다. 제 1 전구체 가스는 종들이 노출된 표면들을 포화시키기에 충분한 농도에서 종들을 워크피스로 수송하기 위해 프로세스 조건들하에서 충분한 증기압을 보인다면 본 설명의 목적들을 위해 "휘발성"인 것으로 고려된다.
몇몇 실시예들에서, 제 1 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초, 약 0.05초 내지 약 2.0초 또는 약 0.1초 내지 약 1.0초 동안 기판에 접촉한다. 당업자가 이해할 바와 같이, 표면 포화를 보장하기 위한 노출 시간은 반응기 볼륨, 기판의 크기, 캐리어 가스에서 전구체 농도, 및 프로세스 조건들에 의존할 것이다.
ALD 형 프로세스들에서 이용된 제 1 전구체는, 제 1 전구체가 그것이 반응 챔버로 안내되며 기판 표면과 접촉되기 전에 기체 상에 있다고 하면, 표준 조건들(실온 및 대기압)하에서 고체, 액체, 또는 가스 재료일 수 있다. 몇몇 실시예들에서, 제 1 전구체는 금속을 포함할 수 있으며 여기에서 설명된 SSCV 용기(104)에서 분말의 형태로와 같은, 표준 조건들하에서 고체 소스 재료일 수 있다.
블록(2130)에서, 과잉 제 1 반응물 및 반응 부산물들이, 만약에 있다면, 예를 들면, 질소 또는 아르곤과 같은 불활성 가스의 공급에 의해, 기판 표면으로부터 제거된다. 기체 상 전구체들 및/또는 기체 상 부산물들은, 예를 들면, 진공 펌프를 갖고 챔버를 떠남으로써 및/또는 반응기 내에서의 가스를 아르곤 또는 질소와 같은 불활성 가스로 대체함으로써, 기판 표면으로부터 제거된다. 통상적인 제거 시간들은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10초 사이, 및 계속해서 더 바람직하게는 약 1 내지 2초 사이에 있다. 그러나, 극히 높은 종횡비 구조들 또는 복잡한 표면 형태를 가진 다른 구조들 위에 층들을 증착시키는 것이 요구될 때와 같은, 다른 제거 시간들이, 필요하다면 이용될 수 있다. 적절한 제거 시간들은 특정한 상황들에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
다른 실시예들에서, 만약에 있다면, 과잉 제 1 반응물 및 반응 부산물들을 제거하는 것은 제 1 반응물이 더 이상 기판에 접촉하지 않도록 기판을 이동시키는 것을 포함할 수 있다. 몇몇 실시예들에서, 어떤 반응물도 챔버의 다양한 부분들로부터 제거되지 않을 것이다. 몇몇 실시예들에서 기판은 제 1 전구체를 포함한 챔버의 부분으로부터 제 2 반응물을 포함하거나 또는 어떤 반응물도 포함하지 않는 챔버의 또 다른 부분으로 이동된다. 몇몇 실시예들에서, 기판은 제 1 반응 챔버로부터 제 2, 상이한 반응 챔버로 이동된다. 이러한 실시예들에서, 기판은, 예를 들면, 고정된 기판을 위한 챔버를 퍼징하는 것과 유사한, 제거를 돕기 위해 불활성 가스의 구역 또는 커튼을 통해 이동될 수 있다.
블록(2140)에서, 기판은 제 2 반응물(예로서, 전구체)과 접촉된다. 몇몇 실시예들에서, 제 2 반응물은 산소(예로서, 수증기, 오존 등)를 포함한다.
몇몇 실시예들에서, 제 2 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초, 약 0.05초 내지 약 2.0초 또는 약 0.1초 내지 약 1.0초 동안 기판에 접촉한다. 그러나, 반응기 유형, 기판 유형 및 그것의 표면적에 의존하여, 제 2 전구체 접촉 시간은 10초보다 훨씬 더 높을 수 있다. 몇몇 실시예들에서, 높은 볼륨들을 가진 특히 회분 반응기들은, 접촉 시간들이 대략 분들일 수 있다. 최적의 접촉 시간은 특정한 상황들에 기초하여 당업자들에 의해 쉽게 결정될 수 있다.
반응 챔버에서 제 2 전구체의 농도는 부피로 약 0.01%에서 부피로 약 99.0%까지일 수 있다. 제 2 전구체는 통상적인 단일 기판 반응기들에 대해 약 1 표준 ㎤/분 내지 약 4000 표준 ㎤/분 사이에서의 레이트에서 반응 챔버를 통해 흐를 수 있다. 당업자는 상기 범위들 밖에서의 반응 조건들이 특정한 유형들의 반응기들에 적합할 수 있다는 것을 이해할 것이다.
블록(2150)에서, 만약에 있다면, 과잉 제 2 반응물 및 가스 부산물들이, 블록(2130)에 대해 상기 설명된 바와 같이, 기판 표면으로부터 제거된다. 몇몇 실시예들에서, 과잉 반응물 및 반응 부산물들은 바람직하게는 불활성 가스의 도움으로 제거된다. 접촉 및 제거의 단계들은 원하는 두께의 박막이 기판상에 형성될 때까지 블록(2160)에서 선택적으로 반복될 수 있으며, 각각의 사이클은 완전한 ALD 프로세스에서 단지 분자 단층만을 남긴다. 그러나, 당업자는 몇몇 실시예들에서 단층 이상이 이론적 ALD 조건들 밖에 있도록 조건들을 수정함으로써 달성될 수 있다는 것을 이해할 것이다. 예를 들면, 상호 반응성 반응물들 사이에서의 약간의 중첩이 부분 또는 하이브리드 CVD-형 반응들을 야기하기 위해 허용될 수 있다. 몇몇 경우들에서, 다른 수단들(예로서, 플라즈마 제품들)을 통한 에너지의 주입에 의해, 보통의 ALD 윈도우 이상의 온도들의 선택을 통해 다양한 전구체들 중 적어도 하나의 적어도 부분적인 분해를 달성하는 것이 바람직할 수 있거나, 또는 제 1 반응물의 다수의 단층들의 응축이 이들 반응물들에 대한 보통의 ALD 윈도우 미만의 온도들의 선택에 의해 달성될 수 있다.
프로세스에 대한 다양한 다른 수정들 또는 부가들이 가능하다. 예를 들면, 보다 복잡한 사이클들이 부가적인 전구체들 또는 다른 유형들의 반응물들(예로서, 환원제들, 산화제들, 게터링 작용제들, 플라즈마 또는 열 처리들 등)을 위한 단계들을 포함할 수 있다. 상이한 사이클들은 원하는 막들의 조성들을 조정하기 위해 선택된 상대적 빈도에서 이용될 수 있다. 예를 들면, 실리콘 산화질화물은, 원하는 질소 함량에 의존하여, 매 1 실리콘 질화물 사이클에 대해 5개의 실리콘 산화물 사이클들, 또는 사이클들의 임의의 다른 원하는 비를 포함할 수 있으며, 비들은 그레이딩이 층 조성에서 요구된다면 증착 동안 변할 수 있다. 추가적으로, 프로세스는 순환적이기 때문에, "제 1" 반응물은 프로세스를 실질적으로 변경하지 않고 두 번째로 공급될 수 있다.
도 3을 참조하면, 몇몇 실시예들에서, SSCV 용기(104)는 하나 이상의 증착 챔버들(312, 316)에 유동적으로 연결될 수 있다. 몇몇 실시예들에서, 증착 챔버들(312, 316)은 대응하는 제어기들(313, 317)을 사용하여 제어될 수 있다. 몇몇 실시예들에서, 제어기들(313, 317)은 개개의 증착 챔버들(도시된 바와 같이)과 연관된다. 몇몇 실시예들에서, 증착 챔버들(312, 316)을 제어할 때 사용하기 위한 전자 장치들 및/또는 컴퓨터 요소들은 시스템에서의 다른 곳에서 발견될 수 있다. 예를 들면, 중앙 제어기들은 챔버들(312, 316) 자체의 장치 모두를 제어할 뿐만 아니라 SSCV 용기(104) 및 SSCV 용기(104)와 연관된 히터들에 연결하는 밸브들을 제어할 수 있다. 하나 이상의 밸브들은 다수의 챔버 증착 모듈(300) 전체에 걸쳐 가스의 흐름을 제어하기 위해 사용될 수 있다. 도 3에 도시된 바와 같이, 가스는 입구 포인트(330)에서 하나 이상의 유입 밸브들(116, 120)로 흐를 수 있다.
몇몇 상황들에서, 전구체 소스 용기들은 통상적으로 그것들이 용기들을 이동시키는 동안 방해를 최소화하기 위해 전구체 분말로 채워지거나 또는 재충진될 때 용기에서 불활성 가스(예로서, 헬륨)의 헤드 압력을 공급받는다. 동작 전에, 이러한 초과 압력을 배출하는 것이 바람직하지만, 이러한 배출 동안, 고체 전구체 입자들은 에어로졸화되며 불활성 가스 유출에 연행될 수 있다. 이것은 이러한 가스가 통상적으로 용기의 유출 격리 밸브, 반응 가스 전달 시스템, 및 궁극적으로 반응기의 배출/스크러버를 통해 배출되기 때문에 가스 전달 시스템을 오염시킬 수 있다. 나중에, 기판 프로세싱 동안, 전구체 전달 경로 및 배출 경로에 공통적인 가스 패널의 오염된 부분들은 기판상에서의 ALD 동안 프로세싱 결함들을 야기할 수 있다. 특정한 실시예들에서, 별개의 벤트 밸브(320)는 트레이들(108, 112) 양쪽 모두에 유동적으로 연결하기 위해 사용될 수 있다. 몇몇 경우들에서 벤트 밸브는 트레이들(108, 112) 중 하나 이상으로부터 압력을 방출하기 위해 사용될 수 있다. 이를 달성하기 위해, 예를 들면, 유입 밸브들(116, 120) 및 유출 밸브들(304, 308)은 몇몇 실시예들에서 벤트 밸브(320)를 통한 가스의 흐름을 가능하게 하기 위해 폐쇄될 수 있다. 가스의 흐름은 출구 포인트(341)에서 시스템을 빠져나갈 수 있다. 출구 포인트(341)는 폐기물로서 가스를 방출할 수 있다.
계속해서 도 3을 참조하면, 몇몇 실시예들에서 모듈(300)은 가스가 제 1 유입 밸브(116)를 통해 용기 유입구(152)로 흐르도록 허용하기 위해 구성될 수 있다. 유사하게, 모듈(300)은 제 2 유입 밸브(120)를 통해 및 제 2 용기 유입구(156)를 통해 가스 흐름을 가능하게 하기 위해 구성될 수 있다. 가스는 각각의 트레이 유입구들(162, 166)을 통해 용기 유입구들(152, 156)로부터 각각의 트레이들(108, 112)로 지나갈 수 있다.
도 3에 도시된 바와 같이, 몇몇 실시예들에서, 가스는 각각의 트레이 유출구들(172, 176)을 경유하여 각각의 용기 유출구들(162, 166)을 통해 트레이들(108, 112) 밖으로 흐를 수 있다. 몇몇 실시예들에서, 트레이들(108, 112)은 하나 이상의 연결 포인트들(324, 328)에서 유체 연통할 수 있다. 몇몇 실시예들에서, 연결 포인트들(324, 328) 중 하나 이상은 적절한 가스 라인들을 통해 가스를 가능하게 할 수 있는 하나 이상의 밸브들(도시되지 않음)을 포함할 수 있다. 예를 들면, 제 1 연결 포인트(324)에서의 밸브는 제 2 연결 포인트(328)에서 개방되는 밸브를 통해 가스 흐름을 가능하게 하기 위해 폐쇄될 수 있다.
몇몇 변형들에서, 모듈(300)은 가스가 제 1 유출 밸브(304)를 통해 흐르도록 허용하기 위해 구성될 수 있다. 몇몇 실시예들에서, 가스는 출구 포인트(340)로 계속해서 흐를 수 있다. 출구 포인트(340)는, 예로서, 별개의 증착 챔버 모듈로, 또는 가스의 분석을 위해 이어질 수 있다. 이러한 분석은 포화 레벨들, 화학물질들의 비들, 또는 가스에서 불순물들의 레벨들을 모니터링하는 것을 포함할 수 있다.
몇몇 실시예들에서, 시스템(300)은 가스가 제 2 유출 밸브(308)로부터 챔버 분리 포인트(332)로 흐르도록 허용하기 위해 구성될 수 있다. 몇몇 실시예들에서, 챔버 분리 포인트(332)는 보다 많은 증착 챔버들(312, 316)로의 가스의 흐름이 제어될 수 있도록 하나 이상의 밸브들을 포함할 수 있다. 예를 들면, 몇몇 구성들에서, 챔버 분리 포인트(332)에서 3-방향 밸브는 가스가 증착 챔버들(312, 316)로 교번하여 또는 동시에 흐르도록 구성될 수 있다.
도시되지 않은 부가적인 밸브들 및/또는 다른 유체 요소들이 포함될 수 있다는 것이 이해될 것이다. 예를 들면, 용기 유입구들(152, 156), 트레이 유입구들(162, 166), 트레이 유출구들(172, 176) 및 용기 유출구들(162, 166) 중 하나 이상은 그것을 통한 가스의 흐름을 조절하기 위해 구성되는 밸브들을 구비할 수 있다. 도시되지 않은 부가적인 밸브들 및 다른 유체 요소들이, 특정한 구성들에서, 포함될 수 있다.
도 4는 SSCV 용기(104)의 몇몇 실시예들의 확대도를 예시한다. 몇몇 실시예들에서, 용기(104)는 하나 이상의 밸브들(420, 424, 428, 432, 436)을 포함할 수 있다. 특정한 구성들은 도시된 것보다 많거나 또는 적은 수의 밸브들을 허용한다. 몇몇 실시예들에서, 밸브들은 용기(104)에 착탈 가능하게 부착될 수 있다. 예시된 바와 같이, 제 1 트레이(108) 및 제 2 트레이(112)는 하우징 베이스(480) 내에 하우징되거나 또는 포함될 수 있다. 도시된 바와 같이, 제 1 트레이(108)는 제 2 트레이(112)에 수직으로 인접할 수 있다. 몇몇 실시예들에서, 하우징 리드(113)는 하우징 베이스(480)에 기계적으로 부착될 수 있다. 몇몇 실시예들에서, 부착은 하나 이상의 부착 디바이스들(예로서, 나사들, 볼트들 등)을 사용하여 달성될 수 있다. 몇몇 실시예들에서, 하우징 리드(113) 및 하우징 베이스(480)는 여기에서 설명된 것을 제외하고, 가스가 실질적으로 용기(104)에 들어가고 및/또는 이것을 빠져나올 수 없도록 유체 밀봉된다.
몇몇 구성들에서, 하우징 리드(113)는 하나 이상의 유입 밸브들(420, 424), 하나 이상의 유출 밸브들(432, 436), 및/또는 벤트 밸브(428)를 포함할 수 있다. 몇몇 실시예들에서, 이들 밸브들은, 하우징 리드(113)에 부착될 수 있지만, 그로부터 분리될 수 있다. 몇몇 실시예들에서, 밸브들은 하우징 리드(113)에 착탈 가능하게 부착될 수 있다.
몇몇 실시예들에서, 트레이들(108, 112) 중 하나 이상은 금속, 특히 스테인리스 스틸 또는 알루미늄을 포함할 수 있다. 유사하게, 몇몇 실시예들에서, 하우징 리드(113) 및/또는 하우징 베이스(480) 중 하나 이상은 금속을 포함할 수 있다. 트레이들(108, 112), 하우징 리드(113) 및/또는 하우징 베이스(480)는 각각 몇몇 실시예들에서 모놀리식 금속 부분들일 수 있다.
도 5는 하우징 리드(113) 및 하우징 베이스(480)가 어떻게 특정한 실시예들에서 용기(104)를 형성하기 위해 조립될 수 있는지를 도시한다. 몇몇 실시예들에서, 하우징 리드(113) 및 하우징 베이스(480)의 어셈블리의 높이는 약 30mm 내지 750mm의 범위에 있을 수 있다. 몇몇 실시예들에서, 하우징 리드(113) 및 하우징 베이스(480)의 어셈블리의 높이는 약 50mm 내지 100mm의 범위에 있을 수 있으며, 예시된 실시예에서 약 76mm(약 3인치)이다. 몇몇 실시예들에서, 용기(104)의 길이는 약 100mm 내지 635mm의 범위에 있을 수 있다. 몇몇 실시예들에서, 용기(104)의 길이는 약 200mm 내지 400mm의 범위에 있을 수 있으며, 예시된 실시예에서 약 305mm(약 12인치)이다. 몇몇 실시예들에서, 용기(104)의 폭은 약 100mm 내지 525mm의 범위에 있을 수 있다. 몇몇 실시예들에서, 용기(104)의 폭은 약 180mm 내지 약 360mm의 범위에 있을 수 있으며, 예시된 실시예에서 약 254mm(약 10인치)이다. 몇몇 실시예들에서, 용기(104)는 약 1 내지 3.5의 범위에서 길이:폭 종횡비를 가질 수 있다. 몇몇 실시예들에서, 용기는 둥근 코너들을 가진 직사각형 프리즘과 비슷한 형태를 차지한다. 몇몇 실시예들에서, 여기에서 설명된 다양한 실시예들에서 용기의 질량은 약 25 kg 내지 110 kg의 범위에 있을 수 있다. 몇몇 실시예들에서, 용기의 질량은 약 35 kg 내지 65 kg의 범위에 있을 수 있다. 용기들 및/또는 트레이들의 보다 낮은 질량들은 보다 용이한 수송을 허용하지만, 보다 높은 질량들은 보다 균일한 온도 분포 및 적정한 변동에 대한 열 플라이휠 효과를 가능하게 할 수 있다.
도 6a는 다양한 실시예들에서 다양한 포팅 리세스들 및 다른 유체 구조들의 상면도를 예시한다. 몇몇 실시예들에서, 하나 이상의 포팅 리세스들(626, 634, 642, 650, 658)은 하우징 베이스(480)로 밀링될 수 있다. 특정한 구성들에서, 포팅 리세스들(626, 634, 642, 650, 658)은 여기에서 설명된 바와 같이, 하우징 베이스(480)에 기계적으로 부착될 수 있는, 도 5에 도시된 대응 밸브들(420, 424, 428, 432, 436)과 연관된 필터들을 수용하도록 적응될 수 있다. 하나 이상의 용기 유입구들(622, 630), 용기 유출구들(646, 654), 및/또는 용기 배출 포트(638)는 용기 하우징(480)으로 밀링될 수 있다. 몇몇 구성들에서, 용기 배출 포트(638)는 벤트 밸브(428)(도 5)와 유체 연통하도록 구성될 수 있다. 몇몇 실시예들에서, 하나 이상의 트레이들(108, 112)은 하나 이상의 트레이 유입구들(602, 606), 하나 이상의 트레이 유출구들(614, 618), 및/또는 하나 이상의 트레이 배출 채널들(610)을 포함할 수 있다.
몇몇 구성들에서, 트레이들(108, 112)의 각각은 별개의 트레이 배출 채널(610)을 포함하도록 구성될 수 있다. 몇몇 구성들에서, 하나 이상의 트레이 배출 채널들(610)은 대응 트레이(108, 112)로 및/또는 그 밖으로 가스 흐름을 허용하도록 구성될 수 있다. 특정한 실시예들에서, 하나 이상의 트레이 배출 채널들(610)의 각각은 용기 배출 포트(638)와 유체 연통할 수 있으며, 이것은 결과적으로 벤트 밸브(428)(도 5)와 유체 연통할 수 있다. 몇몇 실시예들에서, 트레이 유입구들(602, 606)은 대응하는 용기 유입구들(622, 630)과 유체 연통하도록 구성될 수 있다. 유사하게, 트레이 유출구들(614, 618)은 대응하는 용기 유출구들(646, 654)과 유체 연통하도록 구성될 수 있다.
도 6b는 리드가 제거된 SSCV 용기(104)를 예시한다. 도시된 바와 같이, 포팅 리세스들(626, 634, 642, 650, 658)은 상기 설명된 바와 같이 구성될 수 있다. 용기(104)는 하나 이상의 트레이들을 포함할 수 있지만, 도 6b에서 단지 상부 트레이(108)만이 가시적이다. 몇몇 실시예들에서, 하나 이상의 트레이들은 각각 대응하는 구불구불한 경로(674)를 정의할 수 있다. 각각의 구불구불한 경로(674)는 고체 소스 화학물질을 보유하며 그 위에서 가스의 흐름을 허용하도록 적응될 수 있다. 몇몇 구성들에서, 각각의 구불구불한 경로(674)는 트레이(들)(108, 112)(도 4)로 밀링되고 및/또는 기계 가공될 수 있거나, 또는 트레이는 구불구불한 경로(674)를 갖도록 몰딩될 수 있다. 몇몇 실시예들에서, 구불구불한 경로(들)(674)는 고체(예로서, 주조) 금속 블록 밖으로 밀링될 수 있다.
몇몇 실시예들에서, 구불구불한 경로(674)는 대응하는 트레이 유입구(602, 606), 대응하는 트레이 유출구(614, 618), 및/또는 대응하는 트레이 배출 채널(610)과 유체 연통할 수 있다. 각각의 구불구불한 경로(674)는 도 5 및 도 6a에 관하여 논의된 바와 같이 대응하는 유입 밸브(420, 424), 대응하는 유출 밸브(432, 436), 및/또는 벤트 밸브(428)와 유체 연통할 수 있다. 하나 이상의 밸브들과 구불구불한 경로(들)(674)를 연결하기 위해 사용된 유체 구성은 여기에서 설명된 바와 같을 수 있다.
보다 긴 경로 길이들은 고체 소스 화학물질의 가스 노출의 표면적을 증가시킬 수 있다는 것이 이해될 것이다. 각각의 트레이(108, 112)를 위한 구불구불한 경로(674)는 약 2000mm 내지 8000mm의 범위에서의 길이를 가질 수 있다. 몇몇 실시예들에서, 구불구불한 경로(674)는 약 3000mm 내지 5000mm의 범위에서의 길이를 가질 수 있으며, 예시된 실시예에서 약 3973mm(156.4인치)이다. 양쪽 트레이들(108, 112) 모두를 포함시킨 총 경로 길이는 그러므로 약 6000mm 내지 10000mm의 범위에 있을 수 있거나, 또는 예시된 실시예에서 약 7946mm일 수 있다.
당업자에 의해 이해될 바와 같이, 다수의 증기 소스들이 수반할 볼륨 또는 풋프린트를 감소시키는 것이 유리할 수 있다. 소형의 용기 어셈블리들은 이러한 풋프린트를 감소시킬 수 있다. 특정한 실시예들에서, 각각의 트레이(108, 112)는 약 25mm 내지 50mm 사이의 높이를 가질 수 있다. 특정한 구성들에서, 각각의 트레이(108, 112)는 약 15mm 내지 30mm 사이의 높이를 가질 수 있다. 몇몇 실시예들에서, 각각의 트레이(108, 112)는 약 40mm 내지 80mm 사이의 높이를 가질 수 있다. 몇몇 실시예들에서, 트레이들의 스택은 약 50mm 내지 100mm 사이의 결합된 높이(combined height)를 가질 수 있다. 몇몇 실시예들에서, 트레이들의 스택은 약 35mm 내지 60mm 사이의 결합된 높이를 가질 수 있다. 몇몇 실시예들에서, 트레이들의 스택은 약 85mm 내지 150mm 사이의 결합된 높이를 가질 수 있다.
SSCV 용기에서 고체 소스 화학물질의 큰 질량 및/또는 볼륨을 유지하기 위한 능력은 재충진 처리들 사이에 요구된 시간을 증가시킬 수 있다. 게다가, 이것은 동일한 시간량에서 보다 큰 질량의 승화된 고체 소스 화학물질을 허용할 수 있다. 따라서, 몇몇 실시예들에서, 구불구불한 경로(들)(674)는 기체 상 증착을 위한 통상적인 고체 소스 화학물질, 특히 HfCl4, ZrCl4, AlCl3, 또는 SiI4와 같은, 무기 고체 소스 금속 또는 반도체 전구체들의 약 750g 내지 2000g의 범위에서 유지하도록 적응될 수 있다. 몇몇 실시예들에서 구불구불한 경로(들)(674)는 각각 고체 소스 화학물질의 약 500g 내지 1200g의 범위에서 유지하도록 적응될 수 있다. 몇몇 실시예들에서 SSCV 용기(105)의 두 개의 구불구불한 경로들(674)은 함께 고체 소스 화학물질의 약 1500g 내지 2000g 사이에서 유지하도록 적응될 수 있다. 트레이들이 유지할 수 있는 고체 소스 화학물질의 보다 긴 경로 길이들 및/또는 보다 큰 질량들은 동일한 시간량에서 증착 챔버들에 대한 보다 많은 양의 전구체를 야기할 수 있다. 몇몇 경우들에서, 고체 소스 화학물질의 보다 긴 경로 길이 및/또는 보다 큰 질량들은 동일한 시간량에서 달성될 수 있는 포화의 양을 증가시킬 수 있다. 몇몇 실시예들에서, 두 개의 연이은 증기 프로세스들 사이에서의 경과 시간(예로서, 펄스/퍼지 길이)은 약 100ms 내지 3s 사이에 있을 수 있다. 몇몇 실시예들에서, 경과 시간은 약 30ms 내지 1.5s 사이에 있을 수 있다.
용기의 크기는 고체 소스 화학물질의 양에 관련될 수 있다. 예를 들면, 용기에 의해 봉입된 볼륨(㎣으로) 대 그것이 유지할 수 있는 고체 소스 화학물질의 질량(g으로)의 비는 약 2000 내지 5000의 범위에 있을 수 있다. 특정한 구성들에서, 모든 트레이들의 총 경로 길이(mm로) 대 그것들이 유지할 수 있는 고체 소스 화학물질의 총 양의 질량(g으로)의 비는 약 1 내지 10의 범위에 있을 수 있다. 몇몇 실시예들에서, 용기에 의해 봉입된 볼륨(㎣로) 대 모든 트레이들의 총 경로 길이(mm로)의 비는 약 400 내지 1200의 범위에 있을 수 있다. 이들 범위들은 용기에 두어진 자연 제한들, 사용된 재료들, 및 공간 제한들에 의해 부분적으로 결정된다.
도 7a는 제 2 트레이(112)와 유사할 수 있는, 특정한 구성들에서의 제 1 트레이(108)의 단면 측면도를 예시한다. 트레이(108)의 구불구불한 경로(674)는 리세스 높이(704) 및 리세스 폭(708)을 가질 수 있다. 몇몇 실시예들에서, 리세스 높이(704)는 약 10mm 내지 50mm 사이에 있을 수 있다. 몇몇 실시예들에서, 리세스 높이(704)는 약 20mm 내지 40mm 사이에 있을 수 있다. 몇몇 실시예들에서, 리세스 폭(708)은 약 3.0mm 내지 20mm 사이에 있을 수 있다. 몇몇 실시예들에서, 리세스 폭(708)은 약 5mm 내지 8mm 사이에 있을 수 있다. 몇몇 실시예들에서, 리세스 높이(704) 및 리세스 폭(708)은 3 내지 7의 높이:폭 종횡비를 정의할 수 있다. 몇몇 실시예들에서, 리세스 높이(704) 및 리세스 폭(708)은 약 4.0 내지 5.5 사이의 높이:폭 종횡비를 정의할 수 있다. 예시된 실시예에서, 리세스 높이는 약 30mm이고, 폭은 약 6.35mm이며, 높이:폭의 비는 약 4.7이다. 예로서, 높이의 약 2/3(예로서, 약 19mm 내지 22mm)는 처음에 채워질 때 고체 전구체로 채워질 수 있으며, 상기 채움 높이(예로서, 약 8mm 내지 11mm) 이상의 헤드룸은 고체 전구체 위로 반응물 증기의 모음을 용이하게 하기 위해 헤드 공간으로서 예약될 수 있으며, 캐리어 가스 흐름이 이러한 증기를 픽업하도록 허용할 수 있다.
캐리어 가스와 반응물의 증가된 혼합을 획득하는 것이 유리할 수 있다. 몇몇 실시예들에서, 이것은 흐름 경로들 내에서 캐리어 가스의 난류(turbulence)를 증가시킴으로써 달성된다. 예를 들면, 몇몇 실시예들은 평활한 가스 흐름 경로들에 비교하여 보다 많은 난류를 생성하며 그에 따라 흐름 경로(들)(674)의 하부에서 고체 반응물 베드를 기화시키는 것으로부터 형성된 반응물 증기와 흐르는 캐리어 가스의 혼합을 조장하는 하나 이상의 흐름 경로들 내에서의 구조적 특징들을 포함한다. 특정한 구성들에서, 구조들은 특히, 하부 2/3이 전구체로 채워질 때 불활성 가스 흐름을 위해 예약된 높이의 상부의 대략 1/3에서, 구불구불한 경로들(674)을 정의하는 리세스들의 수직 측 벽들로부터 수평으로 연장되는 돌출부들일 수 있다. 리세스 높이의 중간 1/3은 또한 고체 전구체의 베드가 부분적으로 소진될 때 부가적인 난류를 위한 수평 돌출부들을 포함할 수 있다. 리세스의 하부 1/3은 또한 고체 전구체 베드가 거의 소진되지만 여전히 동작 중일 때 보다 양호한 혼합을 위한 수평 돌출부들을 포함할 수 있다. 돌출부들은 평활한 벽들에 대해 난류를 증가시키도록 조장하기 위해 아래쪽으로 및/또는 위쪽으로 캐리어 가스 흐름을 향하게 하는 특징들을 포함할 수 있다. 이러한 돌출부들은 예를 들면, 수평 슬릿 어레이들, 홀 어레이들, 및/또는 롤 셀들과 같은, 소용돌이들을 촉진시키도록 적응될 수 있다. 돌출부들은 수평으로 또는 수직으로 배열될 수 있다. 몇몇 구성들에서, 난류를 증가시키기 위한 구조들의 캐리어 가스 유량 및 구성의 조합은 필터들을 막을 수 있는 증발되지 않은 반응물(예로서, 분말)을 과도하게 섞지 않고 캐리어 가스 및 반응물 증기의 혼합을 증가시키기 위해 조정될 수 있다. 몇몇 실시예들에서 캐리어 가스 유량들은 범위가 약 500 sccm 내지 10 slm까지, 바람직하게는 약 1 slm 내지 3 slm까지 이를 수 있다. 흐름 경로(들) 내에서 상기 설명된 임의의 특징들의 크기는 캐리어 가스 유량에 의존할 수 있다.
도 7b 내지 도 7zc은 상기 설명된 바와 같이 난류를 도입하도록 도울 수 있는 다양한 돌출부 구조들을 예시한다. 주지된 바와 같이, 돌출부들은 흐름 경로의 측벽들로부터 수평으로 또는 흐름 경로의 플로어로부터 수직으로 돌출될 수 있다. 당업자는, 단일 트레이 기화기 또는 다수의 적층된 트레이들을 가진 기화기에서 이용되는지에 관계없이, 도 7b 내지 도 7zc의 구조들이 유리하게는 보다 일관된 레이트의 기화 및 그에 따라 트레이를 빠져나간 캐리어 가스에 대한 보다 일관된 레벨의 포화를 장려할 수 있다는 것을 이해할 것이다. 도 7b 내지 도 7zc의 이하에서의 설명이 난류를 유도하기 위한 돌출부들에 초점을 맞추지만, 당업자는 트레이(108')의 다른 양상들이 트레이들(108, 112)에 대하여 여기에서 설명된 바와 같을 수 있다는 것을 이해할 것이다. 기화기 베이스(480) 및 리드(113)는 또한 여기에서의 다른 곳에서 설명된 바와 같을 수 있지만, 주지된 바와 같이 단일 트레이(108') 또는 다수의 적층된 트레이들을 하우징하기 위해 조정될 수 있다.
도 7b는 구불구불한 경로(674)를 따르는 천공 벽들(722)을 보여주는 트레이(108')의 최상부 등각도를 도시한다. 난류-유도 돌출부들(예로서, 통합된 벽들, 수직 또는 수평 필라-유사 돌출부들, 벽 인서트들)은 구불구불한 경로(674)와 일체형으로 형성되거나 또는 그것으로 설치될 수 있다. 도 7b에 도시된 바와 같이, 천공 벽들(722)은 벽들의 웹을 함께 형성하는, 구불구불한 경로(674)의 레그들을 분리하는 벽들(733)과 함께 일체형으로 형성될 수 있다. 예를 들면, 벽들(733) 및 천공 벽들(722)은 금속의 블록에서 구불구불한 경로 패턴을 밀링 몰딩하거나 또는 주조함으로써 동시에 형성될 수 있다. 천공 벽들(722)은 그것을 통해 가스 흐름을 향하게 하기 위해 천공들을 제공받는다. 예를 들면, 슬롯 패턴(726)은 일체형 벽들(722)로 밀링될 수 있다. 슬롯 패턴들(726)은 캐리어 가스가 구불구불한 경로(674)를 통해 이동할 수 있는 경로를 제공한다. 슬롯 패턴들(726)은 구불구불한 경로를 따라 캐리어 가스의 흐름을 향하게 하며 가스의 난류 흐름을 증가시키고 및/또는 캐리어 가스와 반응물의 혼합의 양을 증가시키도록 구성될 수 있다.
도 7b에 도시된 바와 같이, 천공 벽들(722)은 구불구불한 경로의 직선 부분들(727)을 따라 형성된다. 몇몇 실시예들에서, 천공 벽들(722)은 경로 만곡부들(729) 및/또는 경로 단부들(725)로 밀링된다. 하나 이상의 경로 단부들(725)(예로서, 트레이 유출구 가까이에 있는 단부) 가까이에서 가스의 난류 흐름을 감소시키는 것이 유리할 수 있다. 예를 들면, 어떤 돌출부들도 형성되거나 또는 설치되지 않는 고정 거리는 경로 단부들(725) 중 하나 이상의 가까이에서 통합될 수 있다. 고정 거리를 제공하는 것은 필터들을 막을 수 있는 연행된 증발되지 않은 반응물(예로서, 분말)의 양을 감소시키도록 도울 수 있다. 고정 거리(예로서, 구불구불한 경로를 따라 트레이 유입구 및/또는 트레이 유출구로부터의 거리)의 길이는 범위가 약 10mm 내지 400mm까지, 바람직하게는 약 50mm 내지 300mm까지, 및 보다 바람직하게는 약 100mm 내지 200mm까지에 이를 수 있다. 이것은 유출구 가까이에서 증발되지 않은 반응물(예로서, 혼합되지 않은 분말)의 양을 감소시키며 그러므로 필터들의 막힘을 감소시킬 수 있다.
천공 벽들(722)은 경로(674)를 따라 규칙적 또는 불규칙적 간격들로 위치될 수 있다. 도 7b는 트레이의 구불구불한 경로 전체에 걸쳐 규칙적 간격들로 36개의 천공 벽들(722)을 도시한다. 트레이는 무려 200개 이상의 천공 벽들 또는 겨우 단일 벽만을 포함할 수 있다. 트레이는 약 10 내지 140개 사이의 벽들, 바람직하게는 약 15 내지 90개 사이의 벽들, 및 보다 바람직하게는 약 25 내지 60개 사이의 벽들을 포함할 수 있다. 흐름 난류, 흐름 속도, 및 경로 길이 간의 균형은 얼마나 많은 벽을 포함할지를 결정할 때 고려될 수 있다. 예를 들면, 보다 많은 벽들이 난류의 양을 증가시킬 수 있지만 흐름 속도를 감소시킬 수 있으며 및/또는 캐리어 가스로의 반응물 증발을 최대화하기 위해 보다 짧은/보다 긴 경로 길이를 특징으로 삼을 수 있다. 두 개의 연속적인 벽들 사이에서의 거리는 몇몇 실시예들에서 약 2mm 내지 4000nm 사이, 보다 특히 약 20mm 내지 400mm 사이, 및 몇몇 실시예들에서 약 50mm 내지 250mm 사이에 있을 수 있다. 다른 변형들이 또한 가능하다.
도 7c는 특정한 슬롯 패턴(726)을 가진 천공(722)의 측면 뷰의 개략도이다. 슬롯 패턴(726)은 하나 이상의 채널 부분들(734)(예로서, 홀들)을 포함할 수 있다. 채널 부분들(734)은 홀들 및/또는 슬릿들의 어레이를 포함할 수 있다. 도 7c에서 도시된 바와 같이, 채널 부분들(734)은 트레이(108')의 베이스에 대한 경사에서 벽(722)으로 밀링된다. 예를 들면, 채널 부분들은 수평으로부터 45도에서 드릴링되는 홀들일 수 있다. 몇몇 실시예들에서, 채널 부분들(734)은 트레이(108')의 베이스에 평행하여 및/또는 그것에 대한 내리받이에서 밀링된다. 채널 부분들(734)이 트레이(108')의 베이스에 대해 경사, 내리받이, 및/또는 평행하여 밀링되는지는 캐리어 가스의 방향 및/또는 요구되는 난류의 방향 및/또는 정도에 의존할 수 있다. 채널 부분은 가스 흐름으로 z-구성요소를 유도하기 위해 구불구불한 경로에서의 돌출부의 위치에서 구불구불한 경로를 따르는 흐름의 y 방향에 대해 수직으로 경사지고/감퇴될 수 있으며, 따라서 난류를 유발한다. 몇몇 채널 부분들은 돌출부의 위치에서 구불구불한 경로를 따라 가스 흐름의 방향(y)에 대해 수평으로 각질 수 있다(예로서, 가스 흐름으로 x-구성요소를 유도하는). 몇몇 실시예들에서, 연속적 천공 벽들(722)에서 채널 부분들(734)의 상대적 방향은 교번하고 및/또는 달라지며, 따라서 구불구불한 경로를 따라 천공 벽들(722) 간에 상이한 가스 흐름 패턴을 생성한다. 천공 벽들(722)은 가스 흐름의 축에 대해 경사진 몇몇 채널 부분들(734) 및 천공 벽(722) 내에서 감퇴되는 몇몇 채널 부분들(734)을 포함할 수 있다. 바람직하게는, 캐리어 가스가 통과하는 채널 부분들은 천공 벽들이 전체 가스 흐름에 대한 제한들로서 작용하지 않도록 트레이의 유입구 및/또는 유출구와 같거나 또는 큰(예로서, 직경이 약 4.5mm보다 작지 않은) 폭(예로서, 직경)을 가진다.
몇몇 실시예들에서, 수직 롤 셀들(739)은 특정한 슬롯 패턴들(726)을 천공 벽(722)으로 설치함으로써 가스의 흐름에서 달성될 수 있다. 바람직하게는, 적어도 몇몇 롤 셀들은 y-z 평면에서 생성되며, 도 7c에 도시된 바와 같이, y-축은 구불구불한 경로(674)를 따르는 가스의 흐름을 정의하며 z는 수직 축이다. 롤 셀들은 또한 특정한 슬롯 패턴들(726)(도 7d 내지 도 7yb 참조)에 따라 측방향(x) 구성요소들을 포함할 수 있다.
상기 주지된 바와 같이, 난류-유도 요소의 적어도 일 부분은 하부 2/3이 기화될 반응물로 채워질 때 불활성 가스 흐름을 위해 예약된 높이의 상부 대략 1/3과 같은, 반응물의 최고 요구 레벨 위로 연장된다. 도 7c의 실시예에서, 천공 벽(722)의 최상부 가까이에서 밀링되지 않은 수직 부분(738)은 유리하게는 캐리어 가스의 흐름을 추가로 향하게 하기 위해 유지될 수 있다. 캐리어 가스 흐름에 유도된 난류는 가스가 반응물과 상호 작용하고, 기화를 촉진시키며 증발된 반응물을 연행할 가능성을 증가시킨다. 밀링되지 않은 수직 부분(738)의 길이는 약 1mm 내지 18mm 사이, 바람직하게는 약 3mm 내지 15mm 사이, 및 보다 바람직하게는 약 4mm 내지 10mm 사이에 있을 수 있다. 몇몇 실시예들에서, 밀링되지 않은 수직 부분(738)은 약 6mm의 길이를 가진다. 몇몇 경우들(도시되지 않음)에서, 밀링되지 않은 수직 부분(738)의 최상부는 주변 경로 벽들과 같은 높이가 아니다(예로서, 천공 벽(722)의 최상부는 다소 아래로 밀링되었다). 이것은 가스로 하여금 천공 벽(722)을 통해 흐르며 난류를 촉진시키도록 허용하며 또한 리드를 위한(또는 몇몇 경우들에서, 위에 놓인 트레이를 위한) 간격을 제공할 수 있다.
천공 벽(722)의 두께(742)(y 방향으로)는 슬롯 패턴(726)이 구불구불한 경로(674) 내에 난류 흐름을 생성할 때 얼마나 영향력이 있는지에 영향을 줄 수 있다. 예를 들면, 보다 작은 두께(742)는 캐리어 가스가 벽을 보다 쉽게 통과하도록 허용할 수 있으며, 따라서 흐름을 덜 방해한다. 천공 벽(722)의 두께(742)는 약 0.5mm 내지 25mm 사이, 바람직하게는 약 2mm 내지 20mm 사이, 및 보다 바람직하게는 약 5mm 내지 15mm 사이에 있을 수 있다. 반응물은 처음에 채널 높이의 약 2/3(예로서, 30mm 높이를 가진 채널에 대해 20mm)와 같은, 초기 높이(730)까지 채워질 수 있다. 도 7c의 실시예에서 도시된 바와 같이, 반응물(예로서, 분말)의 초기 높이(730)는 제 3 채널 부분(734)(최하부로부터 셀 때)의 바로 아래이다. 캐리어 가스는 처음에 단지 초기 높이(730)(예로서, 제 3 채널 부분(734)) 위에 있는 채널 부분들을 통해서만 흐를 것이다. 반응물이 증발하며 캐리어 가스와 혼합함에 따라, 반응물의 높이는 떨어지며 캐리어 가스가 흐를 수 있는 부가적인 채널 부분들을 드러낼 것이다. 이러한 방식으로, 반응물의 난류 흐름 및 증가된 혼합은 캐리어 가스의 흐름 전체에 걸쳐 유지될 수 있다. 이것은 시간에 걸쳐 반응물의 다소 일정한 혼합을 유지하도록 도울 수 있다. 반응물의 초기 높이(730)는 원하는 대로 더 낮거나 또는 더 높을 수 있다. 그러나, 바람직하게는 천공 벽(722)을 통한(예로서, 채널 부분들(734)을 통한) 적어도 하나의 개구는, 반응물이 그것의 최고 레벨에 있을 때를 포함하여, 임의의 스테이지에서 이용 가능하며, 그것을 통해 캐리어 가스는 가스의 흐름이 지연되지 않으며 난류가 발생되도록 흐를 수 있다.
도 7d 내지 도 7j는 난류 및 그에 따라 캐리어 가스와 반응물의 증가된 혼합을 촉진시키기 위해 구불구불한 경로(674)에 설치될 수 있는 몇몇 예시적인 필라-유사 돌출부들(750)을 예시한다. 돌출부들(750)은 둥글 수 있거나(예시된 바와 같이) 또는 또 다른 형태(예로서, 직사각형, 원뿔형, 비대칭형, 구형)를 취할 수 있다. 돌출부들은 수직 또는 수평으로 장착된 핀들, 중실 및/또는 중공 튜브들, 원통형 스크린들, 유공 튜빙, 감긴 시트 금속, 및/또는 포일들을 포함할 수 있다. 돌출부들(750)은 구불구불한 경로(674)를 통해 캐리어 가스의 난류 흐름의 양을 증가시키도록 위치될 수 있다. 몇몇 실시예들에서, 돌출부들은 지지 디바이스(770)를 사용하여 설치된다(예로서, 그것들을 제자리에 유지하기 위해, 마찰 결합, 중력에 대한 의존, 나사 부착 등으로). 지지 디바이스는 트레이(108')에 형성되는 대응하는 암형 수용 부분으로의 수형 부분 맞춤일 수 있다. 예를 들면, 슬롯 또는 리세스는 지지 디바이스(770)가 그 안에서 꼭 끼워 맞도록 허용하기 위해 트레이(108')로 밀링될 수 있으며, 따라서 돌출부(750)가 변위되는 것을 방지한다. 슬롯들은 구불구불한 흐름 경로(674)의 플로어 또는 측벽들(733)에서와 같은, 흐름 경로를 따라 다수의 위치들에서 형성될 수 있다. 몇몇 설계들에서, 지지 디바이스 및 수용 부분은 돌출부(750)가 트레이로 나사가 조여질 수 있도록 스레딩을 포함한다. 돌출부들(750)은 착탈 가능하게 삽입될 수 있거나, 또는 트레이(108')의 베이스로 소결되고 및/또는 납땜될 수 있다. 돌출부들(750)의 측방향 두께(742)는 약 0.5mm 내지 25mm 사이, 바람직하게는 약 2mm 내지 20mm 사이, 및 보다 바람직하게는 약 5mm 내지 15mm 사이에 있을 수 있다.
채널 부분들(734)은 캐리어 가스가 흐를 수 있는 돌출부들에 형성될 수 있다. 천공 벽들(722)을 참조하여 상기 설명된 채널 부분들처럼, 돌출부들에서의 채널 부분들(734)은 다수의 상이한 패턴들 중 하나 이상을 띨 수 있다. 단지 상이한 여러 가지의 이용 가능한 패턴들의 작은 샘플만이 도 7e 내지 도 7i에 예시된다. 채널 부분들(734)은 통로들을 통해 형성할 수 있거나, 또는 중공 포일 필라에서의 간단한 개구들일 수 있으며, 위쪽 측면 상에서의 개구들은 아래쪽 측면 상에서의 개구들과 y 방향으로 정렬되거나 또는 오정렬될 수 있다. 몇몇 실시예들에서, 도 7j에서 도시된 바와 같이, 돌출부들(750)은 나선(756)을 포함할 수 있다. 나선(756)은 캐리어 가스 흐름으로 수직(z) 및 측방향(x) 구성요소들을 도입할 수 있으며, 따라서 난류 및 반응물과의 부가적인 혼합을 촉진시킨다.
몇몇 실시예들에서, 벽 인서트들(751)은 흐름 경로에 설치될 수 있다. 도 7k 내지 도 7l은 흐름 경로에서의 설치를 위해 예시적인 평면 벽 인서트들을 예시한다. 몇몇 실시예들에서, 평면 인서트들(751)은 도 7d 내지 도 7h에 예시된 필라-유사 돌출부들(750)의 설치와 유사하게(예로서, 그것들을 구불구불한 경로(674)에서의 위치에 유지하기 위해, 마찰 결합, 중력에 대한 의존, 나사 부착 등으로), 하나 이상의 지지 디바이스들(770)(도 7l에 도시된 바와 같이)과 함께 설치될 수 있다. 평면 인서트들(751)은 구불구불한 경로(674)의 레그들을 분리하는 벽들(733)에 위치된 수직 슬롯들(예로서, 도 7za)로 그것들을 수직으로 슬라이딩함으로써 설치될 수 있다. 수직 슬롯들은 그것들의 지지 표면(들)(도 7k에서 도시된 바와 같이)으로서 작용할 수 있는, 그것들의 에지들(731)에서 벽 인서트들(751)을 수용하도록 사이징된다. 수직 슬롯들은 변위되는 것으로부터 제자리에 벽 인서트들(751)을 유지한다. 따라서, 슬롯들로 수용되는 벽 인서트들(751)은 통상적으로 리세스 폭보다 넓다.
벽 인서트들(751)은 리세스 높이와 동일한(또는 거의 동일한) 높이를 가질 수 있다. 벽 인서트들(751)의 높이는 약 30mm의 높이를 가진 리세스에 대해 약 0.5mm 내지 30mm 사이, 바람직하게는 약 2mm 내지 29mm 사이, 및 보다 바람직하게는 약 5mm 내지 25mm 사이에 있을 수 있다. 당업자는 상이한 높이들의 리세스들에 대한 적절한 높이들을 쉽게 결정할 수 있다. 어떤 흐름 배리어도 갖지 않는 공간이 난류-유도 돌출부들(예로서, 통합 벽, 수평 또는 수직 필라-유사 돌출부들 및/또는 인서트)의 최상부 가까이에서 제공될 수 있다. 이것은 유리하게는 난류와 높은 가스 흐름 전도도의 균형을 이루기 위해 제공될 수 있다. 공간의 길이는 약 1mm 내지 18mm 사이, 바람직하게는 약 2mm 내지 12mm 사이, 및 보다 바람직하게는 약 3mm 내지 10mm 사이에 있을 수 있다. 몇몇 실시예들에서, 공간은 높이가 약 4mm이다.
난류-유도 돌출부들(예로서, 통합 벽들, 필라-유사 돌출부들, 벽 인서트들)은 경로 만곡부들(도 7b 참조)에서보다는 경로 직선 부분들(727)에 위치될 수 있다. 경로 만곡부들(729)은 약간의 측방향 난류를 이미 유도할 수 있으며, 또한 캐리어 가스 흐름의 속도에 대한 몇몇 방해물(drag)을 생성할 수 있다. 만곡부들은 구불구불한 경로가 갑자기 곡선을 이루고 및/또는 자체로 다시 곡선을 이루기 시작하는 약 10mm 내지 80cm 사이로부터의 경로의 부분을 포함할 수 있다. 직선부들을 따르는 돌출부들의 빈도는 경로 만곡부들(729)을 따르는 돌출부들의 빈도의 2배, 3배, 또는 그 이상일 수 있다.
도 7m 내지 도 7yb는 임의의 난류-유도 요소(예로서, 통합 벽들, 필라-유사 돌출부들, 벽 인서트들)에서 제조될 수 있는 예시적인 슬롯 패턴들(726)을 예시한다. 다수의 패턴들(726)은 동일한 난류-유도 요소의 상이한 부분들에 적용될 수 있다. 패턴(들)은 경로 길이를 고려하여, 흐름 난류 및 가스 전도도 간에 요구된 균형을 유지하기 위해 선택될 수 있다. 여기에서의 교시들을 고려하여, 당업자는 반응물이 소비되며 반응물 베드가 구불구불한 흐름 경로 내에서 고도가 떨어짐에 따라 시간에 걸쳐 트레이 출구에서 일관된 레벨들의 포화를 달성하기 위해 피처들의 설계를 쉽게 최적화할 수 있다.
도 7za 내지 도 7zc은 특정한 돌출부들이 어떻게 흐름 경로(674)에 설치될 수 있는지에 대한 다양한 평면도들을 예시한다. 도 7za은 두 개의 벽 인서트들(751)을 포함하는 흐름 경로(674)의 일 부분을 예시한다. 벽 인서트들(751)은 대응 슬롯들(764)에 맞는다. 인서트들(751)은 인서트들(751)의 의도하지 않은 변위를 방지하기 위해 그것들의 에지들(731)에서 지지된다. 두 개의 연속적인 돌출부들(764) 사이에서의 거리는 돌출부의 유형, 돌출부가 위치되는 흐름 경로의 부분(예로서, 직선, 만곡부, 단부)에 의존하여 달라질 수 있다. 임의의 두 개의 연속적인 돌출부들 사이에서의 거리는 흐름 경로 전체에 걸쳐 달라질 수 있다.
도 7zb 및 도 7zc은 흐름 경로(674)의 최하부를 따라 트레이로 밀링된 수용 부분들로 삽입되는 돌출부들을 도시한다. 도 7zb에 도시된 바와 같이, 필라-유사 돌출부(750)는 트레이에 형성되는 홀 또는 리세스(775)에 맞는다. 도시된 바와 같이 돌출부(750)는 최상부로부터 보여진 둥근 단면을 갖지만, 다른 형태들이 가능하다(예로서, 직사각형, 사다리꼴, 삼각형, 긴타원형, 비대칭형). 도 7zc은 벽(751)의 의도하지 않은 변위를 방지하도록 돕기 위해 대응 홀들(775)에 맞는 두 개의 지지 디바이스들(770)을 가진 벽 인서트들(751)을 도시한다. 벽(751)은 두 개 이상의 지지 디바이스들을 포함할 수 있다. 하나 이상의 유형의 돌출부가 동일한 흐름 경로에서 사용될 수 있다.
도 8은 밸브(802)가 제 1 트레이(108)와 유체 연통하도록 구성되는 예시적인 용기(104)의 단면 측면도를 예시한다. 도 8의 밸브(802)는 제 1 트레이(108)와의 통신을 위한 유입 밸브 또는 유출 밸브를 나타낼 수 있다. 필터(804)는 고체 입자상 물질이 그것을 통해 흐르는 것을 방지하도록 적응된다. 필터 재료는 특정한 크기, 예를 들면 약 0.003㎛보다 큰 입자들의 통로를 제한하도록 구성된다. 재료는 니켈 파이버 미디어, 스테인리스 스틸, 세라믹들(예로서, 알루미나), 석영, 또는 통상적으로 가스 또는 액체 필터들에 통합된 다른 재료들과 같은, 다양한 상이한 재료들 중 임의의 것을 포함할 수 있다.
도 8에 도시된 바와 같이, 용기 유입구/유출구(808)는 트레이 유입구(812)와 유체 연통할 수 있다. 따라서, 트레이 유입구(812)를 통해, 용기 유입구/유출구(808)는 특정한 실시예들에서, 제 1 트레이(108)와 유체 연통할 수 있다. 특정한 구성들에서, 트레이 유입구/유출구(812)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이 트레이 유입구들 또는 트레이 유출구들(602, 606, 614, 618) 중 하나 이상에 대응할 수 있다. 유사하게, 용기 유입구/유출구(808)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이, 용기 유입구들 또는 용기 유출구들(622, 360, 646, 654) 중 하나 이상에 대응할 수 있다. 밸브(802)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이 유입 밸브들 및 유출 밸브들(420, 424, 432, 436) 중 하나 이상을 나타낼 수 있다.
도 9는 밸브(902)가 제 2 트레이(112)와 유체 연통하도록 구성되는 예시적인 용기(104)의 단면 측면도를 예시한다. 도 8의 밸브(802)는 제 2 트레이(112)와의 통신을 위한 유입 밸브 또는 유출 밸브를 나타낼 수 있다. 필터(904)는 상기 설명된 것과 유사할 수 있다. 도시된 바와 같이, 용기 유입구/유출구 포인트(908)는 트레이 유입구/유출구(912)와 유체 연통할 수 있다. 따라서, 트레이 유입구/유출구(912)를 통해, 용기 유입구/유출구(908)는 특정한 실시예들에서, 제 2 트레이(112)와 유체 연통할 수 있다. 특정한 구성들에서, 트레이 유입구/유출구(912)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이 트레이 유입구들 또는 트레이 유출구들(602, 606, 614, 618) 중 임의의 것에 대응할 수 있다. 유사하게, 용기 유입구/유출구(908)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이, 용기 유입구들 또는 용기 유출구들(622, 360, 646, 654) 중 임의의 것에 대응할 수 있다. 밸브(902)는 도 4 내지 도 6b에 대하여 여기에서 설명된 바와 같이 유입 밸브들 또는 유출 밸브들(420, 424, 432, 436) 중 임의의 것을 나타낼 수 있다.
도 10은 밸브(1002)가 제 1 트레이(108) 및 제 2 트레이(112) 양쪽 모두와 유체 연통하도록 구성되는 용기(104)의 몇몇 실시예들의 단면 측면도를 예시한다. 일 실시예에서, 도 10의 밸브(1002)는 고체 전구체의 최소 교란을 가진 움직임을 위해 재충진된 용기들을 제공받은 불활성 가스 초과 압력을 배출하기 위한 벤트 밸브(428)(도 5)를 나타낼 수 있다. 도시된 바와 같이, 가스는 배관(1034), 밸브(1002) 및 배관(1028)을 선택적으로 통과할 수 있다. 밸브(1002)가 벤트 밸브인 실시예에서, 배관(1028)은 직접 또는 간접적으로 배출 또는 진공 펌프로 이어질 수 있다. 용기는 가스가 필터(1004)를 통과하도록 허용하기 위해 구성될 수 있다. 도시된 바와 같이, 용기 유입구/유출구(1008)는 제 1 트레이 유입구/유출구(1012) 및 제 2 트레이 유입구/유출구(1016) 양쪽 모두와 유체 연통할 수 있다. 따라서, 몇몇 실시예들에서, 제 1 트레이 유입구/유출구(1012) 및/또는 제 2 트레이 유입구/유출구(1016)를 통해, 용기 유입구/유출구(1008)는 각각의 제 1 트레이(108) 및/또는 제 2 트레이(112)와 유체 연통할 수 있다. 몇몇 실시예들에서, 필터(1004)는 상기 논의된 바와 같이 필터(804)의 하나 이상의 속성들을 공유할 수 있다. 특정한 구성들에서, 트레이 유입구들/유출구들(1012, 1016) 중 하나 이상은, 도 6a에 대하여 상기 설명된 바와 같이, 트레이 배출 채널들(610)에 대응할 수 있다. 유사하게, 용기 유입구/유출구(1008)는, 도 6a에 대하여 상기 설명된 바와 같이, 용기 배출 포트(들)(638) 중 하나 이상에 대응할 수 있다. 밸브(1002)는 상기 설명된 바와 같이 벤트 밸브(428)를 나타낼 수 있다.
도 11은 고체 소스 화학물질 기화기(SSCV) 용기가 어떻게 고체 소스 어셈블리(1350)로 통합될 수 있는지의 예를 도시한다. 고체 소스 어셈블리(1350)는, 상기 설명된 바와 같이 하우징 리드(113) 및 하우징 베이스(480)를 포함할 수 있는, SSCV 용기(104)를 포함할 수 있다. 몇몇 실시예들에서, 고체 소스 어셈블리(1350)는 하나 이상의 가열 요소들(1102, 1106, 1110)을 포함할 수 있다. 몇몇 실시예들에서, 가열 요소들 중 하나 이상은 제 1 용기 히터(1102)로 작용할 수 있으며 SSCV 용기(104)에 수직으로 인접하여 또는 수직으로 근접하여 배치될 수 있다. 몇몇 실시예들에서, 제 1 용기 히터(1102)는 전도에 의해 용기(104)를 가열하도록 구성된다. 특정한 실시예들에서, 제 1 용기 히터(1102)는 SSCV 용기(104)의 하우징 아래에 배치되는 히터 판이다. 특정한 실시예들에서, 제 2 용기 히터(1110)는 하우징 리드(113) 위에 배치될 수 있다. 몇몇 실시예들에서, 제 2 용기 히터(1110)는 하나 이상의 밸브들(420, 424, 428, 432, 436) 위에 배치되며 고체 소스 어셈블리(1350)에서 하나 이상의 밸브들 및 SSCV 용기(104)를 복사 가열하도록 구성된다. 특정한 구성들에서, 밸브 판 히터(1106)는 SSCV 용기(104)로부터 수용된 증기들의 분배를 위한 밸브들을 지지하는, 밸브 판(1112) 위에 배치될 수 있다. 몇몇 실시예들에서, 하나 이상의 고온 피드 스루들은 고체 소스 어셈블리(1350)를 떠나기 위해 가스를 위한 가열 경로를 제공하도록 고체 소스 어셈블리(1350)의 벽들에 포함될 수 있다. 고체 소스 어셈블리(1350)의 캐비넷은 약 0.1 토르 내지 20 토르 사이, 예로서 약 5 토르와 같은, 저압들로 펌프 다운하는 것을 허용하기 위해 기밀일 수 있으며, 따라서 캐비넷 내에서의 대기에 대한 효율적인 복사 가열 최소 전도성 또는 대류성 손실들을 가능하게 한다.
몇몇 실시예들에서, 제 1 용기 히터(1102) 및 제 2 용기 히터(1110)는 용기 하우징(리드(113) 및 베이스(408))을 동작 온도로 가열하도록 적응된다. 몇몇 실시예들에서, 동작 온도는 약 50℃ 내지 250℃의 범위에 있다. 선택된 동작 온도는 물론, 기화될 화학물질에 의존할 수 있다. 예를 들면, 동작 온도는 HfCl4를 위해 약 160℃ 내지 240℃, 특히 약 170℃ 내지 190℃; ZrCl4를 위해 약 170℃ 내지 250℃, 특히 약 180℃ 내지 200℃; Al2Cl3를 위해 약 90℃ 내지 110℃; SiI4를 위해 약 90℃ 내지 120℃일 수 있다. 당업자는 다른 온도들이 다른 소스 화학물질들을 위해 선택될 수 있다는 것을 쉽게 이해할 것이다. 특정한 실시예들에서, 밸브 판(1112)은 약 110℃ 내지 240℃의 범위에서의 온도로 가열되도록 적응된다. 몇몇 실시예들에서, 하나 이상의 증착 챔버들(312, 316)은 HfO 및 ZrO 증착 프로세스들을 위해 약 160℃ 내지 280℃의 범위에서의 온도로 가열되도록 적응된다. 온도들은 여전히 분해 온도들 미만에 있으면서, 증착 챔버들(312, 316)에서 기판의 위쪽으로 응축의 위험을 최소화하기 위해, SSCV 용기(104)의 온도에 비교하여, 밸브 판(1112) 및 증착 챔버들(312, 316)(도 12)에서 더 높게 유지될 수 있다.
도 12는 다중-챔버 증착 모듈(1200)의 몇몇 실시예들의 다이어그램을 도시한다. 몇몇 실시예들에서, 고체 소스 어셈블리(1350)는 SSCV 용기(도시되지 않음)를 하우징할 수 있으며, 이것은 고체 화학물질 소스를 기화시키며 증기 반응물을 교번하여 또는 동시에 증착 챔버(312 및 316)로 전달하기 위해 상기 논의된 바와 같이 고체 소스 어셈블리(1350) 내에서 가열될 수 있다. 도 12는 고체 소스 어셈블리(1350)가 어떻게, 비교적 큰 풋프린트 SSCV 용기(예로서, 450mm 측방향 치수)를 통합하는 것에도 불구하고, 이중 챔버 모듈의 풋프린트 및 수직 헤드룸 내에 맞으며, 이전 용기들보다 높은 기화된 반응물의 질량 흐름을 전달하는지를 예시한다.
도 13은 도 12의 것과 유사하게, 예시적인 다중 챔버 증착 모듈(1300)의 개략적인 유체-흐름 다이어그램을 예시한다. 불활성 가스(예로서, 질소)의 흐름은 입구 포인트(1302)에서 모듈(1300)에 들어갈 수 있다. 몇몇 실시예들에서, 가스의 흐름은 밸브들(1310a, 1310b)을 사용하여 제어될 수 있다. 가스가 밸브(1310a)를 통해 향해진다면, 그것은 진공 펌프(1318)로 이어지는 제어 밸브들과 함께 압력을 조절할 수 있는, 다운스트림 압력 제어기(1314a)를 통과할 수 있다. 불활성 가스 흐름은 고체 소스 어셈블리(1350)에 들어갈 수 있으며 밸브들(1336, 1337)에 의해 추가로 제어될 수 있다. 가스의 흐름은 밸브(1336)를 통해 및 고체 소스 화학물질 기화기(SSCV) 용기(104)로의 가스 흐름을 허용하도록 제어될 수 있다. 몇몇 실시예들에서, 가스는 유입 밸브들(420, 424) 중 하나 이상으로 및 하우징에서의 하나 이상의 대응하는 트레이들(도시되지 않음)로 흐를 수 있다. 고체 반응물 베드들 위에서 구불구불한 흐름 경로들을 통해 흐르며 반응물 증기를 픽업한 후, 캐리어 가스 흐름은 하나 이상의 유출 밸브들(432, 436)을 통해 하우징 밖에서 계속될 수 있다. 하나 이상의 밸브들(1338, 1339, 1334)은 증착 챔버들(312, 316)로 가는 도중에 반응물 증기의 흐름을 제어할 수 있다. 부가적인 밸브들(1340, 1342)은 시스템으로부터 배출 또는 진공으로의 흐름을 제어할 수 있다. 몇몇 실시예들에서, 밸브(1334)는 가스 흐름이 분리 포인트(332)를 통해 하나 이상의 증착 챔버들(312, 316)로 계속되는지를 제어할 수 있다. 몇몇 실시예들에서, 분리 포인트(332)는 하나 이상의 증착 챔버들로의 가스의 흐름을 추가로 관리하기 위해 하나 이상의 밸브들을 포함할 수 있다. 증착 챔버들(312, 316)은 각각의 챔버에 대한 각각의 샤워헤드들(도시되지 않음)을 사용하여 가스를 공급받을 수 있다. 복수의 밸브들(1336 내지 1342)은, 상기 설명된 바와 같이, SSCV 용기(104) 위에서 및 고체 소스 어셈블리(1350) 내에서, 별도로 가열된 밸브 판(1112)(도 11) 상에 장착될 수 있다.
몇몇 경우들에서, 가스 흐름은 밸브들(1340, 1342)을 통해 배출 또는 진공으로 향해질 수 있다. 예를 들면, 이러한 흐름은 흐름을 안정화시키며 흐름을 반응 챔버들로 전송하기 전에 배출하도록 수립될 수 있다. 몇몇 실시예들에서, 진공 펌프(1318)는 가스의 흐름을 구동하도록 돕기 위해 진공 압력을 생성하기 위해 사용될 수 있다. 몇몇 실시예들에서, 벤트 밸브(428)는 또한 밸브(1342)를 통해 배출 또는 진공과; 가스가 벤트 밸브(428)를 통해 그로부터 제거될 수 있도록 하우징 베드(480)에서의 하나 이상의 트레이들과 유체 연통할 수 있다.
몇몇 변형들에서, 모듈(1300)은 가스가 밸브(1310b) 및 다운스트림 압력 제어기(1314b)를 통해 흐르도록 허용하기 위해 구성될 수 있으며, 이것은 밸브(1310)가 진공 펌프(1330)로 개방될 때 고체 소스 어셈블리(1350) 내에서의 압력을 조절할 수 있다. 불활성 가스는, 예로서 고체 소스 화학물질로 재충진하기 위한 SSCV 용기(104)를 제거할 때, 진공 펌프(1330)로 배출될 수 있다. 특정한 실시예들에서, 압력 경감 밸브(1326)는 예로서, 유지보수를 위해 또는 재충진된 용기로 소진된 SSCV 용기(104)를 교체하기 위해, 챔버가 대기압으로 역류될 때 내부 압력이 임계 압력(예로서, 1.5 psig)을 초과한다면 고체 소스 챔버(1350)로부터 압력을 경감시키기 위해 사용될 수 있다. 동작 동안 고체 소스 어셈블리(1350) 내에서 낮은 압력들(예로서, 0.1 토르 내지 20 토르, 특히 약 5 토르)을 유지하는 것은 가열된 구성요소들을 둘러싸는 공기 또는 가스에 대한 최소 전도성/대류성 손실들을 가진 복사 가열을 가능하게 할 수 있다. 출구 포인트(1306)는 다른 시스템들로(예로서, 퍼징을 위한 증착 챔버로 또는 캐리어 가스로서 다른 화학물질 소스들로) 불활성 가스를 공급하도록 배열될 수 있다.
도 14는 도 11의 고체 소스 어셈블리(1350)를 개략적으로 예시한다. 몇몇 실시예들에서, 밸브 판 히터(1106)는 밸브 판(1112) 및 연관된 밸브들(1334, 1338, 1339, 1340)을 가열하도록 구성된다. 몇몇 실시예들에서, 밸브 판 히터(1106)는 복사 열을 사용하여 밸브 판(1112)을 가열하도록 구성되며 위치된다. 몇몇 실시예들에서, 용기 히터(1110)는 고체 소스 화학물질 기화기(SSCV) 용기(104) 및 그것의 연관된 밸브들(420, 424, 428, 432, 436)을 가열하도록 구성된다. 몇몇 실시예들에서, 제 2 용기 히터(1110)는 복사 열을 사용하여 SSCV 용기(104)를 가열하도록 구성된다. 몇몇 실시예들에서, 제 1 용기 히터(1102)는 하우징 베이스(480) 아래에 배치될 수 있다. 몇몇 구성들에서, 제 1 용기 히터(1102)는 전도에 의해 하우징 베이스(480)를 가열하도록 구성된다.
도 14를 계속해서 참조하면, 가스는 도시된 바와 같이 SSCV 용기(104)로부터 밸브 판(1112)으로 흐를 수 있다. 몇몇 실시예들에서, 가스는 공급 포트들(1428, 1432)을 통해 하나 이상의 고온 피드 스루들(1412, 1416)로 향해질 수 있다. 몇몇 실시예들은 가스 흐름이 하나 이상의 고온 피드 스루들(1412, 1416)로부터 하나 이상의 증착 챔버들(도시되지 않음)로 향해질 수 있도록 구성된다.
몇몇 실시예들에서, 고체 소스 어셈블리(본 출원에 개시된 바와 같이)는 타겟 진공 압력에서 동작할 수 있다. 몇몇 실시예들에서, 타겟 진공 압력은 5 토르와 같은, 약 0.5 토르 내지 20 토르의 범위에 있을 수 있다. 특정한 실시예들에서, 고체 소스 어셈블리에서 진공 압력은 하나 이상의 압력 제어기들을 사용하여 조절될 수 있다.
몇몇 실시예들에서, 벤트 밸브(428)는 여기에서 설명된 시스템들 및/또는 방법들에서 하나 이상의 트레이들 및/또는 하나 이상의 밸브들로부터 가압된 불활성 가스를 배출하기 위해 사용될 수 있다.
통상적인 SSCV 배열에서, 캐리어 가스는 SSCV 용기(104)를 통해 흐른다(예로서, 구불구불한 경로(674)에서 고체 화학물질 베드 위로). 그러나, 다른 실시예들에서, 전구체 증기는 벤투리(Venturi) 효과에서와 같이, 용기의 바깥쪽에서 보다 낮은 압력을 생성하는 외부 가스 흐름에 의해 용기 밖으로 인출될 수 있다. 예를 들면, 전구체 증기는 용기의 아래쪽 경로를 따라 하나 이상의 증착 챔버들(312, 316)을 향해 캐리어 가스를 흐르게 함으로써 인출될 수 있다. 몇몇 조건들하에서, 이것은 용기 및 캐리어 가스의 흐름 경로 사이에서 압력 차를 생성할 수 있다. 이러한 압력 차는 SSCV 용기(104) 내에서의 전구체 증기가 하나 이상의 반응 챔버들(312, 316)을 향해 흐르게 한다.
예시 실시예들
제 1 실시예에서, 고체 소스 화학물질 기화기로서, 하우징 베이스; 하우징 베이스 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 구불구불한 경로를 정의하는, 상기 제 1 트레이; 제 1 트레이에 수직으로 인접한 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 구불구불한 경로를 정의하는, 상기 제 2 트레이를 포함하는, 고체 소스 화학물질 기화기.
제 2 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 구불구불한 경로 및 제 2 구불구불한 경로는 직렬로 유체 연결된다.
제 3 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 구불구불한 경로 및 제 2 구불구불한 경로는 병렬로 유체 연결된다.
제 4 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 구불구불한 경로 및 제 2 구불구불한 경로는 고체 소스 화학물질 기화기 내에서 서로 유체 연통하지 않는다.
제 5 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 및 제 2 구불구불한 경로들 각각은 고체 금속 블록에 형성된 리세스(recess)를 포함한다.
제 6 실시예에서, 실시예 5의 고체 소스 화학물질 기화기로서, 리세스들의 각각은 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의한다.
제 7 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 하우징 리드는 리드 상에 장착되며 제 1 구불구불한 경로와 유체 연통하는 제 1 유입 밸브; 리드 상에 장착되며 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브; 리드 상에 장착되며 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브; 및 리드 상에 장착되며 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브를 포함한다.
제 8 실시예에서, 실시예 7의 고체 소스 화학물질 기화기로서, 하우징 리드는 리드 상에 장착되며 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하는 벤트 밸브(vent valve)를 더 포함한다.
제 9 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 구불구불한 경로는 약 2000mm 내지 8000mm의 범위의 길이를 갖는다.
제 10 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 구불구불한 경로는 고체 소스 화학물질의 약 750g 내지 2000g 사이에서 유지하도록 적응된다.
제 11 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 제 1 트레이 및 상기 제 2 트레이의 결합된 높이는 약 50mm 내지 100mm 사이에 있다.
제 12 실시예에서, 고체 소스 화학물질 기화기로서, 하우징 베이스; 하우징 베이스 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 경로를 정의하는, 상기 제 1 트레이; 제 1 트레이에 수직으로 인접한 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 경로를 정의하는, 상기 제 2 트레이; 하우징 리드; 상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유입 밸브; 상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유출 밸브; 상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유입 밸브; 및 상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유출 밸브를 포함하는, 고체 소스 화학물질 기화기.
제 13 실시예에서, 실시예 12의 고체 소스 화학물질 기화기로서, 하우징 리드는 리드 상에 장착되며 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하는 벤트 밸브를 추가로 포함한다.
제 14 실시예에서, 실시예 12의 고체 소스 화학물질 기화기로서, 제 1 경로는 약 3000mm 내지 5000mm의 범위에서의 길이를 갖는다.
제 15 실시예에서, 실시예 12의 고체 소스 화학물질 기화기로서, 제 1 경로는 고체 소스 화학물질의 약 500g 내지 1200g의 범위에서 유지하도록 적응된다.
제 16 실시예에서, 실시예 12의 고체 소스 화학물질 기화기로서, 고체 소스 화학물질 기화기는 약 1 내지 3.5의 범위에서의 길이:폭 종횡비를 정의한다.
제 17 실시예에서, 실시예 12의 고체 소스 화학물질 기화기로서, 고체 소스 화학물질 기화기는 약 400 내지 1200의 범위에서 고체 소스 화학물질 기화기에 의해 봉입된 볼륨(mm3으로) 대 제 1 및 제 2 트레이들의 총 경로 길이(mm로)의 비를 정의한다.
제 18 실시예에서, 다중 챔버 증착 모듈로서, 다중 챔버 증착 모듈은 제 1 기판상에 제 1 재료를 증착시키기 위한 제 1 기체 상 반응 챔버; 제 2 기판상에 제 2 재료를 증착시키기 위한 제 2 기체 상 반응 챔버; 및 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하도록 연결된 고체 소스 화학물질 기화기를 포함한다.
제 19 실시예에서, 실시예 18의 다중 챔버 증착 모듈로서, 고체 소스 화학물질 기화기는 제 1 구불구불한 경로를 정의한 제 1 트레이로서, 상기 제 1 구불구불한 경로는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응되는, 상기 제 1 트레이; 및 제 2 구불구불한 경로를 정의하는 제 2 트레이로서, 상기 제 2 구불구불한 경로는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응되는, 상기 제 2 트레이를 포함한다.
제 20 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 고체 소스 화학물질 기화기는 하우징 베이스; 하우징 리드; 하우징 리드 상에 장착되며 제 1 구불구불한 경로와 유체 연통하는 제 1 유입 밸브; 하우징 리드 상에 장착되며 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브; 하우징 리드 상에 장착되며 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브; 하우징 리드 상에 장착되며 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브; 및 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하게 장착된 벤트 밸브를 추가로 포함한다.
제 21 실시예에서, 실시예 20의 다중 챔버 증착 모듈로서, 다중 챔버 증착 모듈은 연결 포인트로서, 제 1 유출 밸브 및 제 2 유출 밸브가 연결 포인트에서 유체 연통하는, 상기 연결 포인트; 분리 포인트를 통과하는 캐리어 가스가 제 1 기체 상 반응 챔버 및/또는 제 2 기체 상 반응 챔버로 선택적으로 통과할 수 있도록 구성 가능한 상기 분리 포인트; 및 연결 포인트 및 분리 포인트 사이에 유동적으로 개재된 제 1 가스 패널 밸브를 추가로 포함한다.
제 22 실시예에서, 실시예 21의 다중 챔버 증착 모듈로서, 하우징 리드 상에 및 하우징 베이스의 벽에 제 1 필터를 추가로 포함하며, 제 1 필터는 고체 입자상 물질이 통과하여 흐르는 것을 방지하도록 적응된다.
제 23 실시예에서, 실시예 21의 다중 챔버 증착 모듈로서, 고체 소스 화학물질 기화기에 수직으로 인접한 히터 판을 추가로 포함한다.
제 24 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 원자 층 증착(ALD)을 수행하기 위해 제 1 기체 상 반응 챔버를 동작시키도록 구성된 제어 프로세서들 및 소프트웨어를 추가로 포함한다.
제 25 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 화학 기상 증착(CVD)을 수행하기 위해 제 1 기체 상 반응 챔버를 동작시키도록 구성된 제어 프로세서들 및 소프트웨어를 추가로 포함한다.
제 26 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 제 1 구불구불한 경로 및 제 2 구불구불한 경로는 병렬로 유동적으로(fluidly) 연결된다.
제 27 실시예에서, 실시예 26의 다중 챔버 증착 모듈로서, 제 1 구불구불한 경로 및 구불구불한 모듈 경로는 고체 소스 화학물질 기화기 및 분리 포인트 사이에서 유동적으로 개재된 연결 포인트에서 유체 연통한다.
제 28 실시예에서, 실시예 27의 다중 챔버 증착 모듈로서, 분리 포인트는 상부 밸브 판에 배치되며, 분리 포인트는 연결 포인트 및 제 1 및 제 2 기체 상 반응 챔버들의 각각 사이에 유동적으로 개재된다.
제 29 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 제 1 구불구불한 경로에 의해 형성된 리세스들은 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의한다.
제 30 실시예에서, 실시예 19의 다중 챔버 증착 모듈로서, 제 1 트레이 및 제 2 트레이의 결합된 높이는 약 50mm 내지 100mm의 범위에 있다.
제 31 실시예에서, 실시예 20의 다중 챔버 증착 모듈로서, 고체 소스 화학물질 기화기에 수직으로 인접한 히터 판; 및 하우징 리드 위에 배치된 밸브 판 히터를 추가로 포함한다.
제 32 실시예에서, 실시예 31의 다중 챔버 증착 모듈로서, 히터 판 및 밸브 판 히터는 약 50℃ 내지 250℃의 범위에서의 동작 온도로 하우징 베이스를 가열하도록 적응된다.
제 33 실시예에서, 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 고체 소스 화학물질 기화기를 연결시키는 단계; 및 고체 소스 화학물질 기화기를 동작 온도로 가열하는 단계를 포함한다.
제 34 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 트레이의 제 1 구불구불한 경로에 제 1 고체 소스 화학물질을 및 제 2 트레이의 제 2 구불구불한 경로에 제 2 고체 소스 화학물질을 제공하는 단계를 추가로 포함한다.
제 35 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들 각각은 고체 금속 블록에 형성된 리세스를 포함하며, 상기 리세스들은 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의한다.
제 36 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 병렬로 유체 연결된다.
제 37 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 직렬로 유체 연결된다.
제 38 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 고체 소스 화학물질 기화기 내에서 서로 유체 연통하지 않는다.
제 39 실시예에서, 실시예 33의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 동작 온도는 약 50℃ 내지 250℃의 범위에 있다.
제 40 실시예에서, 실시예 34의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 고체 소스 화학물질을 통해 제 1 불활성 가스를 및 제 2 고체 소스 화학물질을 통해 제 2 불활성 가스를 전달하는 단계; 제 1 기체 상 반응 챔버내 제 1 기판상에 제 1 재료를 증착시키는 단계; 및 제 2 기체 상 반응 챔버내 제 2 기판상에 제 2 재료를 증착시키는 단계를 추가로 포함한다.
제 41 실시예에서, 실시예 40의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 재료는 제 2 재료와 상이하다.
제 42 실시예에서, 실시예 40의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 재료를 증착시키는 단계 및 제 2 재료를 증착시키는 단계 각각은 원자 층 증착(ALD)을 포함한다.
제 43 실시예에서, 실시예 40의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 재료를 증착시키는 단계 및 제 2 재료를 증착시키는 단계 각각은 화학 기상 증착(CVD)을 포함한다.
제 44 실시예에서, 고체 소스 화학물질 기화기로서, 하우징 베이스; 하우징 베이스 내에 하우징되도록 구성된 트레이로서, 상기 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 흐름 경로를 정의하는, 상기 트레이; 흐름 경로 내에 돌출부로서, 상기 돌출부가 없는 가스 흐름 동안 레벨보다 높은 난류 레벨을 생성하도록 구성된, 상기 돌출부; 및 하우징 리드를 포함하는, 고체 소스 화학물질 기화기.
제 45 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 흐름 경로는 고체 금속 블록에 형성된 리세스를 포함한다.
제 46 실시예에서, 실시예 2의 고체 소스 화학물질 기화기로서, 리세스는 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의한다.
제 47 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 하우징 리드는 리드 상에 장착되며 흐름 경로와 유체 연통하는 유입 밸브; 및 리드 상에 장착되며 흐름 경로와 유체 연통하는 유출 밸브를 포함한다.
제 48 실시예에서, 실시예 4의 고체 소스 화학물질 기화기로서, 하우징 리드는 리드 상에 장착되며 흐름 경로와 유체 연통하는 벤트 밸브를 추가로 포함한다.
제 49 실시예에서, 실시예 4의 고체 소스 화학물질 기화기로서, 어떤 돌출부도 흐름 경로를 따라 유출 밸브로부터 약 50mm 내지 300mm 사이의 거리에 대한 흐름 경로에서 형성되거나 또는 설치되지 않는다.
제 50 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 돌출부는 적어도 하나의 홀을 포함한다.
제 51 실시예에서, 실시예 7의 고체 소스 화학물질 기화기로서, 적어도 하나의 홀은 상기 위치에서 흐름 경로의 축에 대해 경사진(angled) 축을 정의한다.
제 52 실시예에서, 실시예 7의 고체 소스 화학물질 기화기로서, 적어도 하나의 홀은 천공 패턴을 형성하는 홀들의 어레이를 포함한다.
제 53 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 돌출부는 중공 구조를 포함하며, 상기 중공 구조는 그것을 통한 가스의 흐름을 허용하도록 구성된 개구들을 포함한다.
제 54 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 돌출부는 일체형 벽을 포함한다.
제 55 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 돌출부는 필라-유사(pillar-like) 돌출부를 포함한다.
제 56 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 돌출부는 흐름 경로에 삽입되도록 구성된 벽을 포함한다.
제 57 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 둘 이상의 돌출부들을 포함하며, 흐름 경로를 따라서의 약 20mm 내지 400mm 사이의 거리가 둘 이상의 돌출부들 중 두 개의 연속적인 돌출부들을 분리시킨다.
제 58 실시예에서, 실시예 1의 고체 소스 화학물질 기화기로서, 둘 이상의 돌출부들을 포함하며, 흐름 경로의 직선부들을 따르는 돌출부들의 빈도는 흐름 경로의 만곡부들을 따르는 돌출부들의 빈도보다 많다.
제 59 실시예에서, 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 고체 소스 화학물질 기화기를 연결하는 단계; 및 고체 소스 화학물질 기화기를 동작 온도로 가열하는 단계를 포함한다.
제 60 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 트레이의 제 1 구불구불한 경로에 제 1 고체 소스 화학물질을 및 제 2 트레이의 제 2 구불구불한 경로에 제 2 고체 소스 화학물질을 제공하는 단계를 추가로 포함한다.
제 61 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들 각각은 고체 금속 블록에 형성된 리세스를 포함하며, 상기 리세스들은 약 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의한다.
제 62 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 병렬로 유체 연결된다.
제 63 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 직렬로 유체 연결된다.
제 64 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 및 제 2 구불구불한 경로들은 고체 소스 화학물질 기화기 내에서 서로 유체 연통하지 않는다.
제 65 실시예에서, 실시예 16의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 동작 온도는 약 50℃ 내지 250℃의 범위에 있다.
제 66 실시예에서, 실시예 17의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 방법은 제 1 고체 소스 화학물질을 통해 제 1 불활성 가스를 및 제 2 고체 소스 화학물질을 통해 제 2 불활성 가스를 전달하는 단계; 제 1 기체 상 반응 챔버내 제 1 기판상에 제 1 재료를 증착시키는 단계; 및 제 2 기체 상 반응 챔버내 제 2 기판상에 제 2 재료를 증착시키는 단계를 추가로 포함한다.
제 67 실시예에서, 실시예 23의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 재료를 증착시키는 단계 및 제 2 재료를 증착시키는 단계 각각은 원자 층 증착(ALD)을 포함한다.
제 68 실시예에서, 실시예 17의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 제 1 고체 소스 화학물질을 통해 제 1 불활성 가스를 전달하는 단계는 제 1 고체 소스 화학물질을 통한 제 1 불활성 가스의 전달의 난류를 증가시키도록 구성된 제 1 흐름 돌출부를 통과하여 또는 그 주위에서 제 1 불활성 가스를 전달하는 단계를 포함한다.
제 69 실시예에서, 실시예 25의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 흐름 돌출부는 제 1 트레이와 함께 일체형으로 형성된 구불구불한 경로 흐름에 걸쳐 천공 벽을 포함하며, 상기 천공 벽은 그것을 통해 형성된 하나 이상의 홀들을 갖고, 상기 하나 이상의 홀들은 그것을 통해 제 1 불활성 가스의 흐름을 허용하도록 구성된다.
제 70 실시예에서, 실시예 26의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 하나 이상의 홀들은 수평에 대해 수직으로 각진 축을 형성한다.
제 71 실시예에서, 실시예 25의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 흐름 돌출부는 필라-유사 돌출부를 포함한다.
제 72 실시예에서, 실시예 25의 다중 챔버 증착 모듈에 기화된 전구체를 전달하기 위한 방법으로서, 상기 흐름 돌출부는 제 1 트레이에 형성된 슬롯들로의 삽입을 위해 구성된 벽 인서트(wall insert)를 포함한다.
결론
앞서 말한 명세서에서, 본 발명은 그것의 특정 실시예들을 참조하여 설명된다. 그러나, 다양한 수정들 및 변화들이 본 발명의 보다 넓은 사상 및 범위로부터 벗어나지 않고 그것에 대해 이루어질 수 있다는 것이 명백할 것이다. 명세서 및 도면들은, 따라서, 제한적인 의미보다는 예시적인 것으로 간주된다.
실제로, 본 개시의 시스템들 및 방법들 각각은 여러 개의 혁신적인 양상들을 가지며, 그 중 어떤 단일의 것도 단독으로 여기에 개시된 바람직한 속성들을 책임지거나 또는 그것을 위해 요구되지 않는다는 것이 이해될 것이다. 상기 설명된 다양한 특징들 및 프로세스들은 서로 독립적으로 사용될 수 있거나, 또는 다양한 방식들로 조합될 수 있다. 모든 가능한 조합들 및 서브조합들은 본 개시의 범위 내에 있도록 의도된다.
별개의 실시예들의 맥락에서 본 명세서에 설명되는 특정한 특징들은 또한 단일 실시예에서 조합하여 구현될 수 있다. 반대로, 단일 실시예의 맥락에서 설명되는 다양한 특징들은 또한 별개로 또는 임의의 적절한 서브조합으로 다수의 실시예들에 구현될 수 있다. 게다가, 특징들이 특정한 조합들에서 동작하는 것으로 상기 설명되며 심지어 처음에 이와 같이 주장될 수 있지만, 청구된 조합으로부터의 하나 이상의 특징들은 몇몇 경우들에서 조합으로부터 삭제될 수 있으며, 청구된 조합은 서브조합 또는 서브조합의 변화에 관한 것일 수 있다. 어떤 단일 특징 또는 특징들의 그룹도 각각의 및 모든 실시예에 필요하거나 또는 필수적인 것은 아니다.
다른 것들 중에서 "~ 수 있다(can, could, might, may)", "예로서" 등과 같은, 사용된 조건부 언어는, 구체적으로 달리 서술되지 않는다면, 또는 사용된 대로 맥락 내에서 다르게 이해되지 않는다면, 일반적으로 특정한 실시예들이, 다른 실시예들이 포함하지 않지만, 특정한 특징들, 요소들 및/또는 단계들을 포함한다는 것을 전달하도록 의도된다는 것이 이해될 것이다. 따라서, 이러한 조건부 언어는 일반적으로, 특징들, 요소들 및/또는 단계들이 임의의 방식으로 하나 이상의 실시예들을 위해 요구되거나 또는 하나 이상의 실시예들이 반드시 저자 입력 또는 프롬프팅을 갖고 또는 그것 없이, 이들 특징들, 요소들 및/또는 단계들이 임의의 특정한 실시예에 포함되거나 또는 수행되는지를 결정하기 위한 로직을 포함한다는 것을 의미하도록 의도되지 않는다. 용어들("포함하는", "포함시키는", "갖는" 등)은 동의어이며 제한을 두지 않는 방식으로, 전부 통틀어 사용되며, 부가적인 요소들, 특징들, 작용들, 동작들 등을 배제하지 않는다. 또한, 용어("또는")는 사용될 때, 예를 들면, 요소들의 리스트를 연결하기 위해, 용어("또는")가 리스트에서 요소들 중 하나, 몇몇, 또는 모두를 의미하도록 그것의 포괄적 의미에서(및 그것의 배타적 의미에서가 아닌) 사용된다. 또한, 본 출원 및 첨부된 청구항들에서 사용된 바와 같은 관사들("a", "an" 및 "the")은 달리 특정되지 않는다면 "하나 이상" 또는 "적어도 하나"를 의미하는 것으로 해석될 것이다. 유사하게, 동작들이 특정한 순서로 도면들에서 묘사될 수 있지만, 이러한 동작들은 도시된 특정한 순서로 또는 순차적 순서로 수행될 필요가 없거나, 또는 모든 예시된 동작들은 바람직한 결과들을 달성하기 위해 수행된다는 것이 인식될 것이다. 뿐만 아니라, 도면들은 흐름도의 형태로 하나 이상의 예시적인 프로세스들을 개략적으로 묘사할 수 있다. 그러나, 묘사되지 않은 다른 동작들은 개략적으로 예시되는 예시적인 방법들 및 프로세스들에 통합될 수 있다. 예를 들면, 하나 이상의 부가적인 동작들은 예시된 동작들 중 임의의 것 전, 후, 동시에, 또는 그것 사이에서 수행될 수 있다. 추가적으로, 동작들은 다른 실시예들에서 재배열되거나 또는 재순서화될 수 있다. 특정한 상황들에서, 멀티태스킹 및 병렬 프로세싱이 유리할 수 있다. 게다가, 상기 설명된 실시예들에서 다양한 시스템 구성요소들의 분리는 모든 실시예들에서 이러한 분리를 요구하는 것으로 이해되어서는 안되며, 설명된 프로그램 구성요소들 및 시스템들은 일반적으로 단일 소프트웨어 제품에 함께 통합되거나 또는 다수의 소프트웨어 제품들로 패키징될 수 있다는 것이 이해되어야 한다. 추가적으로, 다른 실시예들은 다음의 청구항들의 범위 내에 있다. 몇몇 경우들에서, 청구항들에 나열된 동작들은 상이한 순서로 수행될 수 있으며 여전히 바람직한 결과들을 달성한다.
따라서, 청구항들은 여기에서 도시된 실시예들에 제한되도록 의도되지 않으며, 본 개시, 본 출원에 개시된 원리들 및 신규 특징들과 일치하는 가장 넓은 범위에 부합될 것이다. 예를 들면, 본 개시 내에서의 많은 예들이 반도체 제작을 위한 증착 챔버들을 공급하기 위해 고체 소스들로부터 증기를 공급하는 것에 관하여 제공되지만, 본 출원에서 설명된 특정한 실시예들은 매우 다양한 다른 애플리케이션들을 위해 및/또는 다수의 다른 맥락들에서 구현될 수 있다.

Claims (32)

  1. 고체 소스 화학물질 기화기에 있어서,
    하우징 베이스(housing base);
    상기 하우징 베이스 내에 하우징되도록 구성된 트레이(tray)로서, 상기 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 흐름 경로를 정의하는, 상기 트레이;
    상기 트레이에 수직으로 인접한 상기 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 흐름 경로를 정의하고, 상기 흐름 경로 및 상기 제 2 흐름 경로는 병렬로 유체 연결되는, 상기 제 2 트레이;
    상기 흐름 경로 내의 돌출부(protrusion)로서, 상기 돌출부 없는 가스 흐름 동안의 레벨보다 큰 난류(turbulence) 레벨을 생성하도록 구성된, 상기 돌출부; 및
    하우징 리드(housing lid)를 포함하는, 고체 소스 화학물질 기화기.
  2. 청구항 1에 있어서,
    상기 흐름 경로는 고체 금속 블록에 형성된 리세스(recess)를 포함하는, 고체 소스 화학물질 기화기.
  3. 청구항 2에 있어서,
    상기 리세스는 1.5 내지 5의 범위에서의 높이:폭 종횡비를 정의하는, 고체 소스 화학물질 기화기.
  4. 청구항 1에 있어서,
    상기 하우징 리드는:
    상기 리드 상에 장착되며 상기 흐름 경로와 유체 연통(fluid communication)하는 유입 밸브; 및
    상기 리드 상에 장착되며 상기 흐름 경로와 유체 연통하는 유출 밸브를 포함하는, 고체 소스 화학물질 기화기.
  5. 청구항 4에 있어서,
    상기 흐름 경로를 따라 상기 유출 밸브로부터 50mm 내지 300mm 사이의 거리에 대해 어떤 돌출부들도 상기 흐름 경로에 형성되거나 또는 설치되지 않는, 고체 소스 화학물질 기화기.
  6. 청구항 1에 있어서,
    상기 돌출부는 적어도 하나의 홀을 포함하는, 고체 소스 화학물질 기화기.
  7. 청구항 1에 있어서,
    상기 돌출부는 필라-유사 돌출부(pillar-like protusion)를 포함하는, 고체 소스 화학물질 기화기.
  8. 청구항 1에 있어서,
    상기 돌출부는 상기 흐름 경로에 삽입되도록 구성된 벽을 포함하는, 고체 소스 화학물질 기화기.
  9. 청구항 1에 있어서,
    두 개 이상의 돌출부들을 포함하며, 상기 흐름 경로를 따라서의 20mm 내지 400mm 사이의 거리가 상기 두 개 이상의 돌출부들 중 두 개의 연속적인 돌출부들을 분리시키는, 고체 소스 화학물질 기화기.
  10. 청구항 1에 있어서,
    두 개 이상의 돌출부들을 포함하며, 상기 흐름 경로의 직선부(straight)들을 따르는 돌출부들의 빈도는 상기 흐름 경로의 만곡부(bend)들을 따르는 돌출부들의 빈도보다 많은, 고체 소스 화학물질 기화기.
  11. 다중 챔버 증착 모듈에 기화된 전구체(precursor)를 전달하기 위한 방법에 있어서,
    고체 소스 화학물질 기화기의 하우징 베이스 내로 제 1 트레이를 배치하는 단계로서, 상기 제 1 트레이는 제 1 구불구불한 경로를 정의하는, 단계;
    상기 하우징 베이스 내로 제 2 트레이를 배치하는 단계로서, 상기 제 2 트레이는 제 2 구불구불한 경로를 정의하는, 단계;
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로 내로 고체 소스 화학물질을 제공하는 단계;
    제 1 기체 상 반응 챔버 및 제 2 기체 상 반응 챔버 각각에 공급하기 위해 상기 고체 소스 화학물질 기화기를 연결하는 단계로서, 상기 제 1 구불구불한 경로는 상기 제 1 기체 상 반응 챔버와 연결되고 상기 제 2 구불구불한 경로는 상기 제 2 기체 상 반응 챔버와 연결되는, 단계;
    상기 고체 소스 화학물질 기화기를 동작 온도로 가열하는 단계; 및
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로 내의 상기 고체 소스 화학물질 상으로 가스를 전달하는 단계를 포함하는, 기화된 전구체를 전달하기 위한 방법.
  12. 청구항 11에 있어서,
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로 내로 고체 소스 화학물질을 제공하는 단계는 상기 제 1 트레이의 상기 제 1 구불구불한 경로에 제 1 고체 소스 화학물질을 및 상기 제 2 트레이의 상기 제 2 구불구불한 경로에 제 2 고체 소스 화학물질을 제공하는 단계를 더 포함하는, 기화된 전구체를 전달하기 위한 방법.
  13. 청구항 12에 있어서,
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 연로 내의 상기 고체 소스 화학물질 상으로 가스를 통과시키는 단계는 상기 제 1 고체 소스 화학물질 상에 제 1 불활성 가스를 및 상기 제 2 고체 소스 화학물질 상에 제 2 불활성 가스를 전달하는 단계를 포함하고,
    상기 방법은,
    상기 제 1 기체 상 반응 챔버내 제 1 기판상에 제 1 재료를 증착시키는 단계; 및
    상기 제 2 기체 상 반응 챔버내 제 2 기판상에 제 2 재료를 증착시키는 단계를 더 포함하는, 기화된 전구체를 전달하기 위한 방법.
  14. 청구항 13에 있어서,
    상기 제 1 재료를 증착시키는 단계 및 상기 제 2 재료를 증착시키는 단계 각각은 원자 층 증착(ALD : atomic layer deposition)을 포함하는, 기화된 전구체를 전달하기 위한 방법.
  15. 청구항 12에 있어서,
    상기 제 1 고체 소스 화학물질 상에 상기 제 1 불활성 가스를 전달하는 단계는 상기 제 1 고체 소스 화학물질 상에 상기 제 1 불활성 가스의 전달의 난류를 증가시키도록 구성된 흐름 돌출부(flow protrusion)를 통과하여 또는 그 주위로 상기 제 1 불활성 가스를 전달하는 단계를 포함하며,
    상기 흐름 돌출부는 상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로 중 적어도 하나 내에 배치되는, 기화된 전구체를 전달하기 위한 방법.
  16. 고체 소스 화학물질 기화기에 있어서,
    하우징 베이스;
    상기 하우징 베이스 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 구불구불한 경로를 정의하는, 상기 제 1 트레이;
    상기 제 1 트레이에 수직으로 인접한 상기 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 구불구불한 경로를 정의하는, 상기 제 2 트레이; 및
    하우징 리드를 포함하고,
    상기 하우징 리드는:
    상기 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유입 밸브;
    상기 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브;
    상기 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브; 및
    상기 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브를 포함하는, 고체 소스 화학물질 기화기.
  17. 청구항 16에 있어서,
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 직렬로 유체 연결되는(fluidly connected), 고체 소스 화학물질 기화기.
  18. 청구항 16에 있어서,
    상기 제 1 구불구불한 경로 및 상기 제 2 구불구불한 경로는 병렬로 유체 연결되는, 고체 소스 화학물질 기화기.
  19. 청구항 16에 있어서,
    상기 제 1 및 제 2 구불구불한 경로들 각각은 고체 금속 블록에 형성된 리세스를 포함하는, 고체 소스 화학물질 기화기.
  20. 청구항 16에 있어서,
    상기 하우징 리드는 상기 리드 상에 장착되며 상기 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하는 벤트 밸브(vent valve)를 더 포함하는, 고체 소스 화학물질 기화기.
  21. 청구항 16에 있어서,
    상기 제 1 구불구불한 경로는 2000mm 내지 8000mm의 범위에서의 길이를 갖는, 고체 소스 화학물질 기화기.
  22. 청구항 16에 있어서,
    상기 제 1 트레이 및 상기 제 2 트레이의 높이의 합(combined height)은 50mm 내지 100mm 사이에 있는, 고체 소스 화학물질 기화기.
  23. 고체 소스 화학물질 기화기에 있어서,
    하우징 베이스;
    상기 하우징 베이스 내에 하우징되도록 구성된 제 1 트레이로서, 상기 제 1 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 1 경로를 정의하는, 상기 제 1 트레이;
    상기 제 1 트레이에 수직으로 인접하여 상기 하우징 베이스 내에 하우징되도록 구성된 제 2 트레이로서, 상기 제 2 트레이는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된 제 2 경로를 정의하는, 상기 제 2 트레이;
    하우징 리드;
    상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유입 밸브;
    상기 하우징 리드 상에 장착되며 상기 제 1 경로와 유체 연통하는 제 1 유출 밸브;
    상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유입 밸브; 및
    상기 하우징 리드 상에 장착되며 상기 제 2 경로와 유체 연통하는 제 2 유출 밸브를 포함하는, 고체 소스 화학물질 기화기.
  24. 청구항 23에 있어서,
    상기 고체 소스 화학물질 기화기는 400 내지 1200의 범위에서 상기 고체 소스 화학물질 기화기에 의해 둘러싸인 볼륨(enclosed volume)(㎣으로) 대 상기 제 1 및 제 2 트레이들의 총 경로 길이(mm로)의 비를 정의하는, 고체 소스 화학물질 기화기.
  25. 다중 챔버 증착 모듈에 있어서, 상기 다중 챔버 증착 모듈은 :
    제 1 기판상에 제 1 재료를 증착시키기 위한 제 1 기체 상 반응 챔버;
    제 2 기판상에 제 2 재료를 증착시키기 위한 제 2 기체 상 반응 챔버; 및
    상기 제 1 및 제 2 기체 상 반응 챔버들의 각각에 공급하기 위해 연결된 고체 소스 화학물질 기화기를 포함하고,
    상기 고체 소스 화학물질 기화기는:
    하우징 베이스;
    상기 하우징 베이스 내 제 1 구불구불한 경로를 정의하는 제 1 트레이로서, 상기 제 1 구불구불한 경로는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된, 상기 제 1 트레이; 및
    상기 하우징 베이스 내 제 2 구불구불한 경로를 정의하는 제 2 트레이로서, 상기 제 2 구불구불한 경로는 고체 소스 화학물질을 보유하며 그 위에서 가스 흐름을 허용하도록 적응된, 상기 제 2 트레이;
    상기 하우징 베이스에 연결된 하우징 리드; 및
    상기 제 1 및 제 2 구불구불한 경로들의 각각과 유체 연통하게 구성되고 상기 하우징 리드 상에 장착되는 벤트 밸브를 포함하는, 다중 챔버 증착 모듈.
  26. 청구항 25에 있어서,
    상기 고체 소스 화학물질 기화기는:
    상기 하우징 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유입 밸브;
    상기 하우징 리드 상에 장착되며 상기 제 1 구불구불한 경로와 유체 연통하는 제 1 유출 밸브;
    상기 하우징 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유입 밸브; 및
    상기 하우징 리드 상에 장착되며 상기 제 2 구불구불한 경로와 유체 연통하는 제 2 유출 밸브를 더 포함하는, 다중 챔버 증착 모듈.
  27. 청구항 26에 있어서, 상기 다중 챔버 증착 모듈은:
    연결 포인트(connection point)로서, 상기 제 1 유출 밸브 및 상기 제 2 유출 밸브는 상기 연결 포인트에서 유체 연통하는, 상기 연결 포인트;
    분리 포인트(separation point)로서, 상기 분리 포인트를 통과하는 캐리어 가스가 상기 제 1 기체 상 반응 챔버 및/또는 상기 제 2 기체 상 반응 챔버로 선택적으로 전달할 수 있도록 구성 가능한, 상기 분리 포인트; 및
    상기 연결 포인트 및 상기 분리 포인트 사이에 유동적으로(fluidly) 개재된 제 1 가스 패널 밸브를 더 포함하는, 다중 챔버 증착 모듈.
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
KR1020170104315A 2016-09-30 2017-08-17 반응물 기화기 및 관련 시스템들 및 방법들 KR102384840B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/283,120 US10876205B2 (en) 2016-09-30 2016-09-30 Reactant vaporizer and related systems and methods
US15/283,120 2016-09-30
US15/585,540 2017-05-03
US15/585,540 US11926894B2 (en) 2016-09-30 2017-05-03 Reactant vaporizer and related systems and methods

Publications (2)

Publication Number Publication Date
KR20180036525A KR20180036525A (ko) 2018-04-09
KR102384840B1 true KR102384840B1 (ko) 2022-04-08

Family

ID=61757891

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170104315A KR102384840B1 (ko) 2016-09-30 2017-08-17 반응물 기화기 및 관련 시스템들 및 방법들

Country Status (4)

Country Link
US (2) US11926894B2 (ko)
KR (1) KR102384840B1 (ko)
CN (1) CN107881483B (ko)
TW (3) TWI796280B (ko)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI811037B (zh) 2016-07-27 2023-08-01 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11634812B2 (en) * 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129734B (en) * 2019-04-25 2022-08-15 Beneq Oy Feeding chamber for preform
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN118098919A (zh) * 2019-06-07 2024-05-28 朗姆研究公司 多站半导体处理中的可独立调整流路传导性
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer growth equipment
FI130416B (en) 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023505780A (ja) * 2019-12-11 2023-02-13 ラム リサーチ コーポレーション 液体前駆体気化器
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) * 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113529053B (zh) * 2021-09-13 2021-12-28 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544174A1 (de) 1966-12-17 1970-07-02 Bosch Gmbh Robert Verfahren und Vorrichtung zur Dotierung von Halbleiterkoerpern
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4560462A (en) 1984-06-22 1985-12-24 Westinghouse Electric Corp. Apparatus for coating nuclear fuel pellets with a burnable absorber
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
DE3702923A1 (de) 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5071553A (en) 1987-06-10 1991-12-10 Newlin Robert E Self retaining fluid filter
JPS6464314A (en) 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5080870A (en) * 1988-09-08 1992-01-14 Board Of Regents, The University Of Texas System Sublimating and cracking apparatus
GB2234988B (en) 1989-08-16 1993-12-08 Qpl Limited Improvements in vacuum deposition machines
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5964254A (en) 1997-07-11 1999-10-12 Advanced Delivery & Chemical Systems, Ltd. Delivery system and manifold
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
US5567127A (en) 1994-11-09 1996-10-22 Wentz; Kennith W. Low noise air blower
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
TW327205B (en) 1995-06-19 1998-02-21 Hitachi Ltd Heat exchanger
US5667682A (en) 1995-10-25 1997-09-16 Water Renewal Systems L.P. Self-cleaning filtration apparatus
US5709753A (en) 1995-10-27 1998-01-20 Specialty Coating Sysetms, Inc. Parylene deposition apparatus including a heated and cooled dimer crucible
DE59606186D1 (de) 1996-03-06 2001-01-11 Alusuisse Tech & Man Ag Vorrichtung zum Beschichten einer Substratfläche
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
DE69825345D1 (de) 1997-03-28 2004-09-09 New Technology Man Co Mikromotore, lineare Motore, Mikropumpe, Verfahren zur Anwendung derselben, Mikrobetätigungselemente, Geräte und Verfahren zur Steuerung von Flüssigkeitseigenschaften
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
WO1999047334A1 (en) 1998-03-20 1999-09-23 Nitrojection Corporation Pin-in-sleeve device for in-article gas assisted injection molding
US6216708B1 (en) 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
US6365229B1 (en) 1998-09-30 2002-04-02 Texas Instruments Incorporated Surface treatment material deposition and recapture
JP2000265960A (ja) 1999-03-15 2000-09-26 Toyota Autom Loom Works Ltd 流体機械
ATE374263T1 (de) 1999-03-29 2007-10-15 Antec Solar Energy Ag Vorrichtung und verfahren zur beschichtung von substraten durch aufdampfen mittels eines pvd- verfahrens
US6946034B1 (en) 1999-08-04 2005-09-20 General Electric Company Electron beam physical vapor deposition apparatus
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
US6525288B2 (en) 2001-03-20 2003-02-25 Richard B. Rehrig Gas lens assembly for a gas shielded arc welding torch
WO2002077511A1 (en) 2001-03-27 2002-10-03 Invacare Corporation Rapid connection coupling
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
WO2003025245A1 (en) 2001-09-14 2003-03-27 University Of Delaware Multiple-nozzle thermal evaporation source
US20050211172A1 (en) 2002-03-08 2005-09-29 Freeman Dennis R Elongated thermal physical vapor deposition source with plural apertures
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
DE60221908T2 (de) 2002-06-28 2008-05-15 Prysmian Cavi E Sistemi Energia S.R.L. Verfahren und vorrichtung zum verdampfen eines flüssigen vorlaüfers beim herstellen einer glasvorform
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
ATE326555T1 (de) 2002-07-19 2006-06-15 Lg Electronics Inc Quelle zur thermischen pvd-beschichtung für organische elektrolumineszente schichten
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
JP4585852B2 (ja) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
US6868869B2 (en) 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
JP2004353083A (ja) 2003-05-08 2004-12-16 Sanyo Electric Co Ltd 蒸発装置
JP4185015B2 (ja) 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US20050019028A1 (en) 2003-07-25 2005-01-27 Karl-Heinz Kuebler Fluid heater with integral heater elements
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US8170404B2 (en) 2004-05-20 2012-05-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7531090B1 (en) 2005-04-25 2009-05-12 Wix Filtration Corp Llc Fluid filter element
JP4001296B2 (ja) 2005-08-25 2007-10-31 トッキ株式会社 有機材料の真空蒸着方法およびその装置
JP5358778B2 (ja) * 2005-09-20 2013-12-04 国立大学法人東北大学 成膜装置、蒸発治具、及び、測定方法
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7278887B1 (en) 2006-05-30 2007-10-09 John Mezzalingua Associates, Inc. Integrated filter connector
US20100242835A1 (en) 2006-06-09 2010-09-30 S.O.I.T.E.C. Silicon On Insulator Technologies High volume delivery system for gallium trichloride
DE102006039826B4 (de) 2006-08-25 2010-05-12 Hydac Filtertechnik Gmbh Filtervorrichtung, Filterelement sowie Verfahren zum Betrieb der Filtervorrichtung
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
EP2656893B1 (en) 2006-09-06 2017-11-22 Donaldson Company, Inc. Filter cartridge and liquid filter assembly
CN101522943B (zh) 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8708320B2 (en) * 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
DE102007020852A1 (de) 2007-05-02 2008-11-06 Stein, Ralf Gasversorgungssystem und Verfahren zur Bereitstellung eines gasförmigen Abscheidungsmediums
EP2168644B1 (en) * 2008-09-29 2014-11-05 Applied Materials, Inc. Evaporator for organic materials and method for evaporating organic materials
KR101094299B1 (ko) * 2009-12-17 2011-12-19 삼성모바일디스플레이주식회사 선형 증발원 및 이를 포함하는 증착 장치
DE102010003001B4 (de) 2010-03-18 2024-02-08 Robert Bosch Gmbh Mikrofluidisches Dielektrophorese-System
TWI557261B (zh) 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
TWI480418B (zh) * 2012-01-16 2015-04-11 Air Prod & Chem 用於高流量真空氣泡器容器的防濺器
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
KR102024830B1 (ko) 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
JP5859586B2 (ja) 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US10944339B2 (en) 2014-09-09 2021-03-09 Board Of Regents, The University Of Texas System Electrode design and low-cost fabrication method for assembling and actuation of miniature motors with ultrahigh and uniform speed
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods

Also Published As

Publication number Publication date
TW201814081A (zh) 2018-04-16
CN107881483A (zh) 2018-04-06
TWI785728B (zh) 2022-12-01
KR20180036525A (ko) 2018-04-09
TW202309331A (zh) 2023-03-01
TWI738827B (zh) 2021-09-11
US20180094351A1 (en) 2018-04-05
TW202146696A (zh) 2021-12-16
CN107881483B (zh) 2022-03-04
US20240209501A1 (en) 2024-06-27
TWI796280B (zh) 2023-03-11
US11926894B2 (en) 2024-03-12

Similar Documents

Publication Publication Date Title
KR102384840B1 (ko) 반응물 기화기 및 관련 시스템들 및 방법들
US11377732B2 (en) Reactant vaporizer and related systems and methods
CN110835749B (zh) 固体源升华器
TWI801420B (zh) 用於向反應腔室配送氣相反應物之裝置及相關方法
EP2496733B1 (en) Method for evaporation
KR100979575B1 (ko) 원자층 침착 장치 및 이의 제조방법
CN112342526A (zh) 包括冷却装置的加热器组件及其使用方法
WO2007062242A2 (en) High stability and high capacity precursor vapor generation for thin film deposition
KR20060096445A (ko) 박막 성장용 반응 시스템
CN103988286A (zh) 自给自足式加热元件
TW202129064A (zh) 半導體處理裝置以及沉積方法
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
US20210079527A1 (en) Heating zone separation for reactant evaporation system
EP2549524A1 (en) Thin-film forming device
KR101066138B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
TW202418447A (zh) 固體源化學昇華器

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant