CN107881483A - 反应物汽化器和相关系统与方法 - Google Patents

反应物汽化器和相关系统与方法 Download PDF

Info

Publication number
CN107881483A
CN107881483A CN201710795262.5A CN201710795262A CN107881483A CN 107881483 A CN107881483 A CN 107881483A CN 201710795262 A CN201710795262 A CN 201710795262A CN 107881483 A CN107881483 A CN 107881483A
Authority
CN
China
Prior art keywords
solid source
chemical substance
source chemical
pallet
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710795262.5A
Other languages
English (en)
Other versions
CN107881483B (zh
Inventor
M·E·韦尔盖塞
E·J·希罗
C·L·怀特
K·范德如里奥
H·特霍斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/283,120 external-priority patent/US10876205B2/en
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN107881483A publication Critical patent/CN107881483A/zh
Application granted granted Critical
Publication of CN107881483B publication Critical patent/CN107881483B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文公开了与固体源化学物质汽化器容器和多腔室沉积模块相关的系统和方法。在一些实施方案中,固体源化学物质汽化器包括外壳底座和外壳盖。一些实施方案还包括被构造成安置于所述外壳底座内的第一和第二托盘,其中每个托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一蛇形路径。在一些实施方案中,多腔室沉积模块包括第一和第二气相反应室以及用以供应所述第一和第二气相反应室中的每一个的固体源化学物质汽化器容器。

Description

反应物汽化器和相关系统与方法
发明背景
相关申请
本申请要求2017年5月3日提交的美国专利申请第15/585,540号的优先权,该案是2016年9月30日提交的美国专利申请第15/283,120号的部分连续案,其各自的整体公开内容出于所有目的以引用的方式并入本文中。
技术领域
本申请大致涉及关于半导体加工设备的系统和方法并且具体来说是用于化学气相传递的汽化系统。
相关技术说明
一种典型的固体或液体源反应物传递系统包括固体或液体源容器和加热装置(例如,辐射热灯、电阻加热器等)。容器包括固体(例如,呈粉末形式)或液体源前体。加热装置加热容器以使容器中的反应物汽化。容器具有用于惰性载气(例如,N2)流过容器的入口和出口。载气扫带反应物蒸气随它一起通过容器出口并且最终到达衬底反应室。容器典型地包括用于流体隔离容器的内容物与容器外部的隔离阀。通常,在容器入口的上游提供一个隔离阀,并且在容器出口的下游提供另一个隔离阀。
发明概要
在一个方面,提供了一种固体源化学物质汽化器。所述汽化器可包括外壳底座;第一托盘,其被构造成安置于所述外壳底座内以使得所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一蛇形路径;第二托盘,其被构造成安置于外壳底座内并垂直相邻于第一托盘以使得所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二蛇形路径;以及外壳盖。
在一些实施方案中,所述第一蛇形路径和所述第二蛇形路径是串联流体连接。在其它实施方案中,第一蛇形路径和第二蛇形路径是平行流体连接。在所述实施方案中,第一蛇形路径和第二蛇形路径在固体源化学物质汽化器内可不彼此流体连通。
第一和第二蛇形路径可各自包括在固体金属块中所形成的凹槽。所述凹槽中的每一个可界定在约1.5-5范围内的高度:宽度纵横比。
在一些实施方案中,所述外壳盖包括安装于盖上并且与第一蛇形路径流体连通的第一入口阀,安装于盖上并且与第一蛇形路径流体连通的第一出口阀,安装于盖上并且与第二蛇形路径流体连通的第二入口阀,以及安装于盖上并且与第二蛇形路径流体连通的第二出口阀。
外壳盖可进一步包括安装于盖上并且与第一和第二蛇形路径中的每一个流体连通的排气阀。
在另一个方面,一种固体源化学物质汽化器包括外壳底座;第一托盘,其被构造成安置于所述外壳底座内以使得所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一路径;和第二托盘,其被构造成安置于外壳底座内并垂直相邻于所述第一托盘。所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二路径。所述汽化器还包括外壳盖、安装于所述外壳盖上并且与所述第一路径流体连通的第一入口阀、安装于外壳盖上并且与第一路径流体连通的第一出口阀、安装于外壳盖上并且与所述第二路径流体连通的第二入口阀、以及安装于外壳盖上并且与第二路径流体连通的第二出口阀。
外壳盖可进一步包括安装于盖上并且与第一和第二蛇形路径中的每一个流体连通的排气阀。
在一些实施方案中,固体源化学物质汽化器界定在约400-1200范围内的由固体源化学物质汽化器所包围的体积(以mm3计)与第一和第二托盘的总路径长度(以mm计)的比率。
在另一个方面,提供了一种多腔室沉积模块。所述多腔室沉积模块包括用于使第一材料沉积于第一衬底上的第一气相反应室、用于使第二材料沉积于第二衬底上的第二气相反应室、以及经过连接以供应所述第一和第二气相反应室中的每一个的固体源化学物质汽化器。
在一些实施方案中,所述固体源化学物质汽化器可包括第一托盘,其界定第一蛇形路径以使得所述第一蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动;以及第二托盘,其界定第二蛇形路径以使得所述第二蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动。
固体源化学物质汽化器可进一步包括外壳底座、外壳盖、安装于所述外壳盖上并且与第一蛇形路径流体连通的第一入口阀、安装于外壳盖上并且与第一蛇形路径流体连通的第一出口阀、安装于外壳盖上并且与第二蛇形路径流体连通的第二入口阀、安装于外壳盖上并且与第二蛇形路径流体连通的第二出口阀、以及经过安装并且与所述第一和第二蛇形路径中的每一个流体连通的排气阀。所述第一出口阀和所述第二出口阀可在连接点处流体连通,并且载气可选择性地从分离点进入第一气相反应室和/或第二气相反应室。所述模块还可包括流体插入所述连接点与所述分离点之间的第一气体面板阀门。模块可另外包括在外壳盖上或在所述外壳底座的壁中的第一过滤器,其中所述第一过滤器适合于防止固体颗粒物质流过它。模块可另外包括垂直相邻于固体源化学物质汽化器的加热板。
在一些实施方案中,模块另外包括被构造成操作第一气相反应室进行原子层沉积(atomic layer deposition,ALD)的控制处理器和软件。在其它实施方案中,模块另外包括被构造成操作第一气相反应室进行化学气相沉积(chemical vapor deposition,CVD)的控制处理器和软件。
在一些实施方案中,第一蛇形路径和第二蛇形路径是平行流体连接。在所述实施方案中,第一蛇形路径和蛇形模块路径可在流体插入之固体源化学物质汽化器与分离点间的连接点处流体连通。所述分离点可被设置在上阀板上并且可流体插入所述连接点与第一和第二气相反应室中的每一个之间。
在一些实施方案中,模块另外包括垂直相邻于固体源化学物质汽化器的加热板和设置于外壳盖上方的阀板加热器。所述加热板和阀板加热器可适合于将外壳底座加热至在约50℃-250℃范围内的操作温度。
在另一个方面,一种用于在多腔室沉积模块中传递汽化前体的方法可包括连接固体源化学物质汽化器以供应第一和第二气相反应室中的每一个以及将所述固体源化学物质汽化器加热至操作温度。
在一些实施方案中,所述方法另外包括在第一托盘的第一蛇形路径中提供第一固体源化学物质以及在第二托盘的第二蛇形路径中提供第二固体源化学物质。所述第一和第二蛇形路径可平行流体连接。在其它布置中,第一和第二蛇形路径可串联流体连接。第一和第二蛇形路径可被布置成在固体源化学物质汽化器内并不彼此流体连通。
在一些实施方案中,所述方法还包括使第一惰性气体在所述第一固体源化学物质上方通过以及使第二惰性气体在所述第二固体源化学物质上方通过,在所述第一气相反应室中使第一材料沉积于第一衬底上,以及在所述第二气相反应室中使第二材料沉积于第二衬底上。所述第一材料可不同于所述第二材料。沉积第一材料和沉积第二材料可各自包括进行原子层沉积(ALD)。沉积第一材料和沉积第二材料可各自包括进行化学气相沉积(CVD)。
附图简述
本公开的这些和其它方面对于熟练技术人员鉴于下文描述、随附权利要求和从附图来看将显而易见,所述附图旨在说明而并不限制本发明,并且其中:
图1A说明了固体源化学物质汽化器(solid source chemical vaporizer,SSCV)容器的一些实施方案的示意图。
图1B示意性地展示了包括供应多个沉积室的容器的多腔室沉积模块的实施方案。
图2A展示了容器的托盘的流体构造以使得托盘在容器内具有独立的流动路径。
图2B展示了容器的托盘的流体构造以使得通过托盘的流动路径是平行布置,但可以合并在容器内。
图2C展示了容器的托盘的流体构造以使得通过托盘的流动路径是串联布置。
图2D说明了示例性ALD工艺。
图3示意性地展示了流体连接于多个沉积室的示例SSCV容器。
图4是根据一个实施方案的固体源化学物质容器的外壳盖、外壳底座和两个内部反应物托盘的分解型正面、俯视和右侧等轴视图。
图5是图4的经过组装的容器的正面、俯视和右侧等轴视图。
图6A是图4的底座和顶部托盘的部分俯视平面图,它展示了各种实施方案中的各种入口凹槽和其它流体性结构。
图6B是在某些实施方案中底座和顶部托盘、各种入口凹槽和托盘结构的俯视等轴视图。
图7A是在某些构造中图4的顶部托盘和盖的横截正面、俯视和右侧等轴视图。
图7B是根据一些实施方案的沿着蛇形路径具有穿孔壁的托盘的俯视等轴视图。
图7C是根据一个实施方案的用于图7B中的具有倾斜通道的穿孔壁的侧视图的示意图。
图7D说明了用于安装于流动路径中的示例柱状突出物。
图7E说明了用于安装于流动路径中的示例柱状突出物。
图7F说明了用于安装于流动路径中的示例柱状突出物。
图7G说明了用于安装于流动路径中的示例柱状突出物。
图7H说明了用于安装于流动路径中的示例柱状突出物。
图7I说明了用于安装于流动路径中的示例柱状突出物。
图7J说明了用于安装于流动路径中的示例柱状突出物。
图7K说明了用于安装于流动路径中的示例壁插入物。
图7L说明了用于安装于流动路径中的示例壁插入物。
图7M说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7N说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7O说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7P说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7Q说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7R说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7S说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7T说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7U说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7V说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7W说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7X说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7Y-1说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7Y-2说明了可在图7B-7L的柱状突出物、集成壁或壁插入物中形成的示例通道或穿孔图案。
图7Z-1说明了某些壁插入物可以如何安装于流动路径中的平面图。
图7Z-2说明了某些柱状突出物可以如何安装于流动路径中的平面图。
图7Z-3说明了某些壁插入物可以如何安装于流动路径中的平面图。
图8是通过被构造成与第一托盘流体连通的阀门中的一个的示例容器的横截面侧视图。
图9是通过被构造成与第二托盘流体连通的阀门中的一个的示例容器的横截面侧视图。
图10是通过被构造成与第一托盘和第二托盘流体连通的排气阀的示例容器的横截面侧视图。
图11是并有图4的固体源化学物质容器的固体源组合件的正面、俯视和左侧等轴视图。
图12是根据一个实施方案的并有图11的固体源组合件的多腔室沉积模块的正面主视图。
图13说明了示例多腔室沉积模块的流体流动示意图。
图14示意性地说明了根据一些实施方案的固体源组合件。
发明详述
本文中所提供的标题(如果存在)仅为方便所设而未必会影响所要求的本发明的范围或意义。
本文中描述了用于在多腔室沉积模块中传递汽化反应物的系统和相关方法。本申请进一步描述了可以用于沉积模块中的用于使化学固体源材料汽化并传递反应物蒸气的系统,所述系统包括一个或多个沉积模块。
以下优选实施方案和方法的详细描述详细说明了某些具体实施方案以帮助理解权利要求。然而,如由权利要求所界定和涵盖的,可以在许多不同的实施方案和方法中实践本发明。
化学气相沉积(CVD)是一种在半导体工业中用于在衬底(诸如硅晶圆)上形成材料薄膜的已知工艺。在CVD中,在反应室中将不同反应物化学物质的反应物蒸气(包括“前体气体”)传递至一个或多个衬底。在许多情况下,所述反应室仅包括支撑在衬底架(诸如衬托器)上的单个衬底,其中使衬底和衬底架维持在所需加工温度下。在典型的CVD工艺中,相互反应性反应物蒸气相互反应以在衬底上形成薄膜,其中生长速率与温度和反应物气体的量有关。在一些变化形式中,驱动沉积反应物的能量完全或部分由等离子体供应。
在一些应用中,反应物气体是以气态形式存储于反应物源容器中。在所述应用中,反应物通常在约1个大气压和室温的标准压力和温度下呈气态。所述气体的实例包括氮气、氧气、氢气和氨气。然而,在一些情况下,使用在标准压力和温度下呈液体或固体的源化学物质(“前体”)蒸气(例如,氯化铪、氧化铪、二氧化锆等)。对于一些固体物质(本文中称为“固体源前体”)来说,在室温下的蒸气压力如此之低,以至于典型地将其在极低压力下进行加热和/或维持以产生足够的量的反应物蒸气以用于反应过程。在汽化后,重要的是通过加工系统使气相反应物保持在汽化温度下或超过汽化温度以便防止在与气相反应物传递至反应室相关联的阀门、过滤器、管道和其它组件中不合需要的冷凝。来自所述天然固体或液体物质的气相反应物可用于各种其它工业中的化学反应。
原子层沉积(ALD)是另一种用于在衬底上形成薄膜的已知工艺。在许多应用中,ALD使用如上文所描述的固体和/或液体源化学物质。ALD是一类蒸气沉积,其中通过循环进行的自饱和反应来逐渐形成膜。膜的厚度由所进行的循环次数决定。在ALD工艺中,将气态反应物交替地和/或重复地供应至衬底或晶圆上以在晶圆上形成材料薄膜。一种反应物在自限性过程中吸附于晶圆上。一种不同的随后脉冲的反应物与所吸附的材料反应,从而形成所需材料的单分子层。通过所吸附的物质与适当选择的试剂之间的相互反应可能会发生分解,诸如在配体交换或吸气反应中。在理论性ALD反应中,每个循环仅仅形成分子单层。通过重复的生长循环产生更厚的膜直至达到目标厚度。
在理论性ALD反应中,使相互反应性反应物以气相保持独立的,其中在衬底暴露于不同反应物之间介入除去过程。举例来说,在时间分隔ALD工艺中,向固定衬底脉冲式地提供反应物,典型地通过净化或抽气期来间隔;在空间分隔ALD工艺中,将衬底移动通过具有不同反应物的区域;并且在一些工艺中,可组合空间分隔与时间分隔ALD两者的方面。熟练技术人员应了解,通过选择在正常ALD参数窗外的沉积条件和/或通过在暴露于衬底期间允许相互反应性反应物之间一定量的重叠,一些变化形式或混合工艺允许一定量的CVD样反应。
反应物源容器通常配备有从入口和出口延伸的气体管线、管线上的隔离阀和阀门上的配件,所述配件被构造成连接于其余衬底加工装置的气体流动管线。通常需要提供用于加热反应物源容器与反应室之间的各种阀门和气体流动管线的许多额外的加热器,以防止反应物蒸气冷凝和沉积于所述组件上。因此,源容器与反应室之间的气体输送组件有时被称为“热区域”,在其中使温度维持超过反应物的汽化/冷凝温度。
图1A说明了固体源化学物质汽化器(SSCV)容器的一些实施方案的示意图。固体源前体是一种在标准条件(即,室温和大气压)下呈固体的源化学物质。在一些实施方案中,容器104可包括外壳底座480、外壳盖113、第一托盘108和第二托盘112。容器104可以包括一个或多个托盘,并且如本文中所描述,图1A不应视为限制容器104可含有的托盘数目。在一些实施方案中,盖113适合于机械地连接于外壳底座480。这可以使用一个或多个连接装置(例如,螺栓、螺钉等)来实现。在某些实施方案中,盖113和外壳底座480是以气密性方式机械地连接。
在某些构造中,托盘108、112适合于容纳固体源化学物质并且允许气体在它上方流动。在一些实施方案中,第二托盘112被安置于容器内,垂直相邻于第一托盘108。在某些构造中,垂直相邻包括实体接触。在一些实施方案中,如本文中进一步所详述,相邻包括流体密封以使得一个托盘中的蒸气不会直接与另一个托盘中的流通。在一些实施方案中,第二托盘112位于第一托盘108上方。在一些实施方案中,第二托盘112位于第一托盘108下方。在某些实施方案中,托盘108、112各自界定适合于容纳固体源化学物质以用于蒸气沉积反应的蛇形路径。
图1B示意性地展示了多腔室沉积模块198可如何包括容器104和两个或多个沉积室312、316。在一些实施方案中,沉积室312、316可使用相应控制器313、317来控制。在一些实施方案中,如本文中更详细地描述,控制器313、317被构造成进行ALD。在一些实施方案中,控制器313、317包括经过编程以进行ALD的处理器和存储器。虽然展示成独立地与沉积室相关联,但熟练技术人员应了解,单个控制器或多个控制器可控制两个腔室、沉积模块198中的任何加热器、用于压力控制的泵和/或泵的阀门、用于衬底处理的机器人控制以及用于控制蒸气流动的阀门(包括载体流动至固体源容器104和蒸气从固体源容器104流出)的操作。模块198可以包括不止两个沉积室312、316,并且如本文中所描述,图1B不应视为限制模块198可含有的沉积室108、112的数目。如本文中更详细地描述,在所说明的实施方案中,沉积室312、316与容器流体连通。
所说明的SSCV容器104和多腔室沉积模块198尤其适合于传递气相反应物以用于多个气相反应室中。所述气相反应物可用于沉积(例如,CVD)或原子层沉积(ALD)。在一些实施方案中,包括控制处理器和存储在计算机可读介质上的程序以使得本文中所公开的实施方案被构造成进行ALD。在某些实施方案中,包括控制处理器和存储在计算机可读介质上的程序以使得本文中所公开的实施方案被构造成进行CVD。
在一些实施方案中,如图2A中所示,容器104的托盘108、112的流体构造200使得在容器104内托盘形成彼此分开的流动路径的一部分,例如并不直接彼此流体连通。载气的入口流在位于气体进入点130与入口阀116、120之间的分离点124处分流。载气在入口152、156处流入容器104中可通过打开和/或关闭入口阀116、120来控制。流体流动路径从容器入口152、156延伸至其相应的托盘入口162、166。在一些实施方案中,容器入口152、156和托盘入口162、166可重合。托盘108、112中的每一个都可以界定蛇形反应物床和在它上方的流动路径,如将从以下图4-12的描述更好地理解。
如图2A中所示,第一托盘108和第二托盘112的流动路径在容器104内并不流体连通。在所说明的构造中,托盘108、112具有各自的托盘出口172、176,其与相应的容器出口182、186流体连通。在某些构造中,托盘出口172、176可与容器出口182、186重合。通过托盘108、112的流体可在出口点140处离开所示的流体构造,其可通向其它流动控制装置(例如,阀门)和沉积室。来自容器104的流出物包括载气和在托盘108、112内汽化的反应物气体。在一些实施方案中,来自两个托盘的流出物可在所说明的出口点140的下游合并。
优选地使用无活性或惰性气体作为用于汽化前体的载气。可以通过进入点130将惰性气体(例如,氮气、氩气、氦气等)馈送至SSCV容器104中。在一些实施方案中,不同的惰性气体可以用于本文中所描述的各种工艺和各种系统中。
应了解可以包括未图示的额外的阀门和/或其它流体性控制元件。举例来说,如将从关于以下图4-12所描述的实施方案的描述所理解的,除了入口阀以外,托盘108、112中的每一个都可具备独立的出口阀。
图2B说明了另一个实施方案,其中托盘108、112可平行布置。图2B与图2A的不同之处在于,流可在SSCV容器104内在分离点124处分流并且在合并点260处合并。在所说明的实施方案中,分离点124位于入口阀204和容器入口256的下游,而合并点260位于容器出口286和出口阀208的上游。在组合了图2A和2B的特点的其它布置中,分离点124和合并点260中的一个可在容器104内,而另一个在容器104外。
如熟练技术人员所了解,通过如图2A和2B中所示的托盘108、112的平行流动布置使得高浓度剂量能够被传递至沉积室而不占据多个蒸气源将需要的体积或空间量。如下文更详细地描述,每个托盘都可在固体反应物上方包括延长路径,尤其是蛇形路径,以使得载气能够与高表面积的固体反应物接触。
应了解可以包括未图示的额外的阀门和/或其它流体性元件。举例来说,可在分离点162处提供三通切换阀,其可使流交替通过第一托盘108和第二托盘112。所述构造也可允许第一托盘108持续汽化和收集固体源化学物质床上方的蒸气而当载气流过并带走第二托盘112中的反应物蒸气时并不将其除去,反之亦然。另外,可在SSCV容器104的下游提供切换阀以从容器104交替流动至两个或多个反应器(举例来说,沉积室)。所述额外的切换阀可应用于图2A和2B的平行布置中的任一种。
在一些实施方案中,如图2C中所示,托盘108、112可串联布置。在所述流体构造200中,托盘108、112可从向共用入口阀204馈料的共用进入点130接收气体。载气可在容器入口256处进入容器。在某些实施方案中,气体通过第一托盘入口162,随后进入第一托盘108。在通过可以包括蛇形反应物床和流动路径的第一托盘108之后,气体可在第一托盘出口152处离开第一托盘108,随后在第二托盘入口166处进入第二托盘112,其也可以包括蛇形反应物床和流动路径。应理解图2C是示意图并且两个托盘108、112在SSCV容器104内可以彼此具有各种实体关系。在图4-12中所示的实施方案中,低轮廓托盘在单个外壳内垂直堆叠,并且在所述实施方案中,第一托盘108可表示上或下托盘。
如图所示,在一些实施方案中,气体可通过第二托盘出口176离开第二托盘112。气体可从容器出口286通过出口阀208到达出口点140。在一些实施方案中,出口阀208可用于调节通到出口点140的流体流和/或通过容器出口286的气体流。
应了解可以包括未图示的额外的阀门和/或其它流体性元件。举例来说,容器入口256、托盘入口162、166、托盘出口172、176和容器出口286中的一个或多个可配备有阀门,所述阀门被构造成调节通过它们的气体流。在某些构造中可以包括未图示的额外的阀门和其它流体性元件。
图2D说明了示例性ALD工艺2100。一些实施方案可以包括在方块2110处的应用于衬底表面的预处理过程。预处理可以包括一种或多种过程。在预处理中,可以使上面待沉积第一反应物(例如,其包含金属)的衬底表面暴露于一种或多种预处理反应物和/或特别条件,诸如温度或压力。使用预处理可以出于多种原因,包括用于清洁衬底表面、除去杂质、除去天然氧化物和提供所需的表面终止状态以促进后续沉积反应或吸附。在一些实施方案中,预处理包括使衬底表面暴露于一种或多种预处理反应物,诸如氧化源和/或清洁反应物,诸如H2O、O3、HCl、HBr、Cl2、HF、等离子体产品等。在一些实施方案中,预处理过程包括衬底一次或多次暴露于合适的化学物质,所述暴露范围为约0.05s至约600s,优选地为约0.1s至约60s。在一些实施方案中,在预处理过程期间的压力维持在约0.01托与约100托之间,优选地是约0.1托至约10托。在一些实施方案中,依序或同时使用多种预处理反应物。在一些实施方案中,预处理可以涉及一种或多种预处理反应物的多种应用。
预处理过程可以利用呈蒸气形式和或呈液体形式的预处理反应物。预处理过程可以在与后续ALD工艺相同的温度和/或压力下进行;然而,这也可以在不同的温度和/或压力下进行。举例来说,当异地预处理涉及将衬底浸没于水性溶液中时,可能需要允许预处理在比ALD工艺高的压力下进行,所述ALD工艺可能会在可不合意地蒸发预处理反应物的相对低的压力下进行。
再次参看图2D,在方块2120处,使衬底与第一反应物接触。当反应物将元素留在沉积的膜中时,反应物也可以被称作前体。在使用固定衬底的一些实施方案(时间分隔ALD)中,将第一反应物以气相脉冲的形式导入反应室中并且与衬底表面接触。当第一反应物是待吸附的前体时,可选择条件以使得仅仅约一个单层的前体以自限性方式吸附于衬底表面上。以气态形式供应第一前体脉冲。如果物质在加工条件下展现足够的蒸气压力以在足够的浓度下将物质运输至工作件以使所暴露的表面饱和,那么出于本说明书的目的,第一前体气体被视为“挥发性的”。
在一些实施方案中,第一前体接触衬底,历时约0.01秒至约60秒,约0.02秒至约30秒,约0.025秒至约20秒,约0.05秒至约5.0秒,约0.05秒至约2.0秒或约0.1秒至约1.0秒。如熟练技术人员所了解,确保表面饱和的暴露时间将取决于反应器体积、衬底大小、载气中的前体浓度和加工条件。
用于ALD型工艺的第一前体在标准条件(室温和大气压)下可以是固体、液体或气态材料,但条件是第一前体呈气相,随后将其导入反应室中并与衬底表面接触。在一些实施方案中,第一前体可以包含金属并且在标准条件下可以是固体源材料,诸如在本文中所描述的SSCV容器104中呈粉末形式。
在方块2130处,将过量第一反应物和反应副产物(如果存在)从衬底表面除去,例如通过供应诸如氮气或氩气的惰性气体。将气相前体和/或气相副产物从衬底表面除去,例如通过用真空泵抽空腔室和/或通过用诸如氩气或氮气的惰性气体替换反应器内的气体。典型的除去时间是约0.05至20秒,更优选地是介于约1与10秒之间,并且更优选地是介于约1与2秒之间。然而,必要时可利用其它除去时间,诸如当需要将层沉积于极高纵横比的结构或具有复杂表面形态的其它结构上时。适当的除去时间可容易由熟练技术人员基于具体情况来确定。
在其它实施方案中,除去过量第一反应物和反应副产物(如果存在)可以包括移动衬底以使得第一反应物不再接触衬底。在一些实施方案中,无法从腔室的各部分除去反应物。在一些实施方案中,将衬底从腔室中含有第一前体的一部分移至腔室中含有第二反应物或根本无反应物的另一部分。在一些实施方案中,将衬底从第一反应室移至不同的第二反应室。在所述实施方案中,可以将衬底移动通过例如惰性气体的区域或帷幕以辅助除去,类似于净化用于固定衬底的腔室。
在方块2140处,使衬底与第二反应物(例如,前体)接触。在一些实施方案中,第二反应物包含氧(例如,水蒸气、臭氧等)。
在一些实施方案中,第二前体接触衬底,历时约0.01秒至约60秒,约0.02秒至约30秒,约0.025秒至约20秒,约0.05秒至约5.0秒,约0.05秒至约2.0秒或约0.1秒至约1.0秒。然而,视反应器类型、衬底类型和其表面积而定,第二前体接触时间可以甚至超过10秒。在一些实施方案中,尤其是高体积分批反应器,接触时间可以是数分钟。最佳接触时间可容易由熟练技术人员基于具体情况来确定。
反应室中第二前体的浓度可以是约0.01体积%至约99.0体积%。并且对于典型的单个衬底反应器来说,第二前体可以在介于约1标准cm3/min与约4000标准cm3/min之间的速率下流过反应室。熟练技术人员应了解在以上范围外的反应条件可以适合于某些类型的反应器。
在方块2150处,如上文对于方块2130所描述的,将过量第二反应物和表面反应的气态副产物(如果存在)从衬底表面除去。在一些实施方案中,优选地借助于惰性气体除去过量反应物和反应副产物。在方块2160处可以任选地重复接触和除去步骤直至所需厚度的薄膜已经在衬底上形成,其中在纯ALD工艺中每个循环仅仅留下一个分子单层。然而,熟练技术人员应了解,在一些实施方案中,可以通过将条件修改至理论性ALD条件外来实现不止一个单层。举例来说,可以允许相互反应性反应物之间一些量的重叠以导致部分或混合CVD型反应。在一些情况下,可能需要通过选择超过正常ALD窗的温度,通过用其它方式(例如,等离子体产品)注入能量来实现各种前体中的至少一种的至少部分分解,或第一反应物的多个单层的冷凝可以通过对于那些反应物选择低于正常ALD窗的温度来实现。
可能存在对工艺的各种其它修改或增加。举例来说,多个复杂的循环可以包括用于额外的前体或其它类型的反应物(例如,还原剂、氧化剂、吸气剂、等离子体或热处理等)的相。可以在所选的相对频率下使用不同的循环以调整所需膜的组成。举例来说,氮氧化硅可以包括每1个氮化硅循环为5个氧化硅循环,或任何其它所需的循环比率,这取决于所需的氮气含量,并且如果在层组成中需要分级,那么比率可以在沉积期间进行改变。另外,因为工艺是循环的,所以“第一”反应物可能是第二个供应的,而不会本质上改变工艺。
参看图3,在一些实施方案中,SSCV容器104可流体连接于一个或多个沉积室312、316。在一些实施方案中,沉积室312、316可使用相应控制器313、317来控制。在一些实施方案中,控制器313、317与个别沉积室相关联(如图所示)。在一些实施方案中,用于控制沉积室312、316的电子设备和/或计算机元件可存在于系统中的别处。举例来说,中央控制器可以控制腔室312、316自己的两个装置以及控制连接于SSCV容器104的阀门并且与SSCV容器104相关联的加热器。一个或多个阀门可以用于控制整个多腔室沉积模块300中的气体流动。如图3中所示,气体可从进入点330流入一个或多个入口阀116、120。
在一些情况下,典型地当前体源容器装填或再装载前体粉末时在容器中向前体源容器供应压头压力的惰性气体(例如,氦气)以在移动容器时使干扰减到最小。在操作之前,需要排出这种过压,但在所述排气期间,固体前体粒子可变得雾化并且夹带在惰性气体流出物中。这会污染气体传递系统,因为所述气体典型地通过容器的出口隔离阀、反应物气体传递系统以及最终是反应器的排气装置/洗涤器排出。稍后,在衬底加工期间,前体传递路径和排气路径所共用的气体面板的受污染部分会在ALD期间引起衬底上的加工缺陷。在某些实施方案中,独立的排气阀320可用于流体连接于托盘108、112两者。在一些情况下,排气阀可用于释放来自托盘108、112中的一个或多个的压力。为实现这一点,举例来说,在一些实施方案中,可关闭入口阀116、120和出口阀304、308以促进气体通过排气阀320流动。气体流可在出口点341处离开系统。出口点341可释放气体作为废弃物。
继续参看图3,在一些实施方案中,模块300可被构造成允许气体流过第一入口阀116进入容器入口152。类似地,模块300可被构造成促进气体流过第二入口阀120以及流过第二容器入口156。气体可从容器入口152、156通过相应的托盘入口162、166进入相应的托盘108、112。
如图3中所示,在一些实施方案中,气体可通过相应的托盘出口172、176和通过相应的容器出口162、166从托盘108、112流出。在一些实施方案中,托盘108、112可在一个或多个连接点324、328处流体连通。在一些实施方案中,连接点324、328中的一个或多个可包括可促进气体通过适当的气体管线的一个或多个阀门(未图示)。举例来说,可关闭在第一连接点324处的阀门以促进气体流通过在第二连接点328处打开的阀门。
在一些变化中,模块300可被构造成允许气体流过第一出口阀304。在一些实施方案中,气体可持续流过,到达出口点340。出口点340可例如通向独立的沉积室模块或用于分析气体。所述分析可以包括监测饱和程度、化学物质的比率或气体中的杂质水平。
在一些实施方案中,系统300可被构造成允许气体流过第二出口阀308,到达腔室分离点332。在一些实施方案中,腔室分离点332可包括一个或多个阀门以使得可控制气体流入或多个沉积室312、316。举例来说,在一些构造中,在腔室分离点332处的三通阀门可经过构造以使得气体交替地或同时流动至沉积室312、316。
应了解可以包括未图示的额外的阀门和/或其它流体性元件。举例来说,容器入口152、156、托盘入口162、166、托盘出口172、176和容器出口162、166中的一个或多个可配备有阀门,所述阀门被构造成调节通过它们的气体流。在某些构造中,可以包括未图示的额外的阀门和其它流体性元件。
图4说明了SSCV容器104的一些实施方案的分解图。在一些实施方案中,容器104可包括一个或多个阀门420、424、428、432、436。某些构造允许比所示更多或更少数目的阀门。在一些实施方案中,阀门可以可拆卸地连接于容器104。如所说明的,第一托盘108和第二托盘112可被安置或包括在外壳底座480内。如图所示,第一托盘108可垂直相邻于第二托盘112。在一些实施方案中,外壳盖113可机械地连接于外壳底座480。在一些实施方案中,所述连接可使用一个或多个连接装置(例如,螺钉、螺栓等)来实现。除了如本文中所描述,在一些实施方案中,外壳盖113和外壳底座480经过流体密封以使得气体基本上无法进入和/或逸出容器104。
在一些构造中,外壳盖113可包括一个或多个入口阀420、424、一个或多个出口阀432、436和/或排气阀428。在一些实施方案中,这些阀门可连接于外壳盖113,但可与外壳盖113分开。在一些实施方案中,阀门可以可拆卸地连接于外壳盖113。
在一些实施方案中,托盘108、112中的一个或多个可包含金属,尤其是不锈钢或铝。类似地,在一些实施方案中,外壳盖113和/或外壳底座480中的一个或多个可包含金属。在一些实施方案中,托盘108、112、外壳盖113和/或外壳底座480可各自是整体金属件。
图5展示了在某些实施方案中外壳盖113和外壳底座480可如何经过组装形成容器104。在一些实施方案中,外壳盖113和外壳底座480的组合件的高度可在约30mm-750mm范围内。在一些实施方案中,外壳盖113和外壳底座480的组合件的高度可在约50mm-100mm范围内,并且在所说明的实施方案中为约76mm(约3英寸)。在一些实施方案中,容器104的长度可在约100mm-635mm范围内。在一些实施方案中,容器104的长度可在约200mm-400mm范围内,并且在所说明的实施方案中为约305mm(约12英寸)。在一些实施方案中,容器104的宽度可在约100mm-525mm范围内。在一些实施方案中,容器104的宽度可在约180mm-360mm范围内,并且在所说明的实施方案中为约254mm(约10英寸)。在一些实施方案中,容器104可具有在约1-3.5范围内的长度:宽度纵横比。在一些实施方案中,容器占有近似圆角矩形棱柱体的形状。在一些实施方案中,在本文中所描述的各种实施方案中容器的质量可在约25kg-110kg范围内变化。在一些实施方案中,容器的质量可在约35kg-65kg范围内。容器和/或托盘的较低质量允许较容易的运输,但较高质量可促进较均匀的温度分布和飞轮热效应以缓和波动。
图6A说明了在各种实施方案中各种入口凹槽和其它流体性结构的俯视图。在一些实施方案中,可将一个或多个入口凹槽626、634、642、650、658铣削至外壳底座480中。在某些构造中,入口凹槽626、634、642、650、658可适合于接收与图5中所示的相应阀门420、424、428、432、436相关联的过滤器,如本文中所描述,所述阀门可以机械地连接于外壳底座480。可将一个或多个容器入口622、630、容器出口646、654和/或容器排气孔638铣削至容器外壳480中。在一些构造中,容器排气孔638可被构造成与排气阀428(图5)流体连通。在一些实施方案中,一个或多个托盘108、112可包括一个或多个托盘入口602、606、一个或多个托盘出口614、618和/或一个或多个托盘排气通道610。
在一些构造中,托盘108、112中的每一个都可被构造成包括独立的托盘排气通道610。在一些构造中,一个或多个托盘排气通道610可被构造成允许气体流入和/或流出相应托盘108、112。在某些实施方案中,一个或多个托盘排气通道610中的每一个都可与容器排气孔638流体连通,而其可与排气阀428(图5)流体连通。在一些实施方案中,托盘入口602、606可被构造成与相应容器入口622、630流体连通。类似地,托盘出口614、618可被构造成与相应容器出口646、654流体连通。
图6B说明了移去盖的SSCV容器104。如图所示,入口凹槽626、634、642、650、658可如上文所描述进行构造。容器104可包括一个或多个托盘,但在图6B中仅可见上托盘108。在一些实施方案中,一个或多个托盘可各自界定相应蛇形路径674。每个蛇形路径674都可适合于容纳固体源化学物质并且允许气体在它上方流动。在一些构造中,可将每个蛇形路径674铣削和/或机械加工至托盘108、112中(图4),或托盘可被模制成具有蛇形路径674。在一些实施方案中,可从固体(例如,铸造)金属块铣削出蛇形路径674。
在一些实施方案中,蛇形路径674可与相应托盘入口602、606、相应托盘出口614、618和/或相应托盘排气通道610流体连通。如参看图5和6A所论述的,每个蛇形路径674都可与相应入口阀420、424、相应出口阀432、436和/或排气阀428流体连通。用于将蛇形路径674与一个或多个阀门连接的流体构造可如本文中所描述。
应了解较长路径长度可增加固体源化学物质的气体暴露的表面积。每个托盘108、112的蛇形路径674都可具有在约2000mm-8000mm范围内的长度。在一些实施方案中,蛇形路径674可具有在约3000mm-5000mm范围内的长度,并且在所说明的实施方案中为约3973mm(156.4英寸)。在所说明的实施方案中,计算两个托盘108、112的总路径长度可由此在约6000mm-10000mm范围内,或为约7946mm。
如熟练技术人员所了解,减少多个蒸气源将需要的体积或空间量可能是有利的。紧凑的容器组合件可减少所述空间量。在某些实施方案中,每个托盘108、112都可具有介于约25mm-50mm之间的高度。在某些构造中,每个托盘108、112都可具有介于约15mm-30mm之间的高度。在一些实施方案中,每个托盘108、112都可具有介于约40mm-80mm之间的高度。在一些实施方案中,托盘堆叠可具有介于约50mm-100mm之间的组合高度。在一些实施方案中,托盘堆叠可具有介于约35mm-60mm之间的组合高度。在一些实施方案中,托盘堆叠可具有介于约85mm-150mm之间的组合高度。
在SSCV容器中容纳大质量和/或体积的固体源化学物质的能力会增加再装载处理之间所需的时间。然而,这可在相同量的时间内允许较大质量的所升华的固体源化学物质。因此,在一些实施方案中,蛇形路径674可适合于容纳范围为约750g-2000g的用于气相沉积的典型固体源化学物质,尤其是无机固体源金属或半导体前体,诸如HfCl4、ZrCl4、AlCl3或SiI4。在一些实施方案中,蛇形路径674可各自适合于容纳范围为约500g-1200g的固体源化学物质。在一些实施方案中,SSCV容器105的两个蛇形路径674可共同适合于容纳介于约1500g-2000g之间的固体源化学物质。较长路径长度和/或托盘可容纳的较大质量的固体源化学物质可在相同量的时间内使较大量的前体通向沉积室。在一些情况下,较长路径长度和/或较大质量的固体源化学物质的可增加可在相同量的时间内实现的饱和量。在一些实施方案中,两次连续蒸气过程之间的所耗时间(例如,脉冲/净化时间长度)可介于约100ms-3s之间。在一些实施方案中,所耗时间可介于约30ms-1.5s之间。
容器的大小可与固体源化学物质的量有关。举例来说,容器所包围的体积(以mm3计)与其可容纳的固体源化学物质的质量(以g计)的比率可在约2000-5000范围内。在某些构造中,所有托盘的总路径长度(以mm计)与其可容纳的固体源化学物质的总量的质量(以g计)的比率可在约1-10范围内。在一些实施方案中,容器所包围的体积(以mm3计)与所有托盘的总路径长度(以mm计)的比率可在约400-1200范围内。这些范围部分取决于对容器、所用材料和空间限制所加的天然限制。
图7A说明了在某些构造中第一托盘108的横截面侧视图,第一托盘108可类似于第二托盘112。托盘108的蛇形路径674可具有凹槽高度704和凹槽宽度708。在一些实施方案中,凹槽高度704可介于约10mm-50mm之间。在一些实施方案中,凹槽高度704可介于约20mm-40mm之间。在一些实施方案中,凹槽宽度708可介于约3.0mm-20mm之间。在一些实施方案中,凹槽宽度708可介于约5mm-8mm之间。在一些实施方案中,凹槽高度704和凹槽宽度708可界定3-7的高度:宽度纵横比。在一些实施方案中,凹槽高度704和凹槽宽度708可界定介于约4.0-5.5之间的高度:宽度纵横比。在所说明的实施方案中,凹槽高度为约30mm,宽度为约6.35mm,并且高度:宽度的比率为约4.7。举例来说,最初装填时约2/3的高度(例如,约19mm至22mm)可以装填固体前体,并且超过该装填高度(例如,约8mm至11mm)的净空可保留为顶部空间以促进收集固体前体上方的反应物蒸气,并且允许载气流动以接取所述蒸气。
获得增加的反应物与载气的混合可能是有利的。在一些实施方案中,这是通过增加载气在流动路径内的湍流来实现的。举例来说,一些实施方案包括在一个或多个流动路径内的与平整气体流动路径相比形成更多湍流的结构特点,并且因此促进流动载气与由在流动路径674的下部的固体反应物床汽化而所形成的反应物蒸气混合。在某些构造中,结构可为从界定蛇形路径674的凹槽的垂直侧壁水平延伸的突出物,尤其是在为惰性气体流所保留的高度的上约1/3处,而这时下2/3装填了前体。凹槽高度的中间1/3也可以包括水平突出物以用于固体前体床部分耗尽时额外的湍流。凹槽的下1/3也可包括水平突出物以用于固体前体床几乎耗尽但仍在运行时较好的混合。突出物可包括将载气流向下和/或向上引导以促进相对于平整壁增加湍流的特点。所述突出物可适合于增加旋涡,例如水平狭缝阵列、孔洞阵列和/或卷筒涡胞(roll cell)。突出物可水平或垂直布置。在一些构造中,载气流速和用于增加湍流的结构构造的组合可被调整成增加载气与反应物蒸气的混合而不会过度搅拌会堵塞过滤器的未蒸发的反应物(例如,粉末)。在一些实施方案中,载气流速可在约500sccm至10slm,优选地约1slm至3slm范围内。上文所描述的流动路径内的任何特点的大小可以取决于载气流速。
图7B-7Z-3说明了如上文所描述的可有助于诱导湍流的各种突出物结构。如所指出的,突出物可从流动路径的侧壁水平突出或从流动路径的底面垂直突出。熟练技术人员应了解,图7B-7Z-3的结构可有利地促进更一致的汽化速率和由此更一致的饱和程度以用于载气离开托盘,无论用于单个托盘汽化器还是具有多个堆叠的托盘的汽化器。尽管图7B-7Z-3的如下描述集中于用于诱导湍流的突出物,但熟练技术人员应了解托盘108′的其它方面可如本文中关于托盘108、112所描述。汽化器底座480和盖113也可如本文中别处所描述,但如所指出的可以被调整用于安置单个托盘108′或多个堆叠的托盘。
图7B展示了托盘108′的俯视等轴视图,它展示了沿着蛇形路径674的穿孔壁722。湍流诱导突出物(例如,整体壁、垂直或水平柱状突出物、壁插入物)可与蛇形路径674整体形成或安装至蛇形路径674中。如图7B中所示,穿孔壁722可与分隔蛇形路径674的腿部的壁733一起整体形成,共同形成网状壁。举例来说,壁733和穿孔壁722可通过在金属块中铣削、模制或铸造蛇形路径图案来同时形成。穿孔壁722具备穿孔以引导气体流通过穿孔。举例来说,可将狭槽图案726铣削至整体壁722中。狭槽图案726提供载气可移动通过蛇形路径674的路径。狭槽图案726引导载气沿着蛇形路径流动并且可被构造成增加气体的湍流流动和/或增加反应物与载气混合的量。
如图7B中所示,沿着蛇形路径的直道部分727形成穿孔壁722。在一些实施方案中,将穿孔壁722铣削至路径弯道729和/或路径末端725中。减少在一个或多个路径末端725附近(例如,在托盘出口的末端附近)的气体湍流流动可能是有利的。举例来说,可在一个或多个路径末端725附近结合未形成或安装突出物的沉降距离。提供沉降距离可有助于减少会堵塞过滤器的所夹带的未蒸发的反应物(例如,粉末)的量。沉降距离的长度(例如,沿着蛇形路径离托盘入口和/或托盘出口的距离)可在约10mm至400mm,优选地约50mm至300mm,并且更优选地约100mm至200mm的范围内。这可能会减少出口附近的未蒸发的反应物(例如,未混合的粉末)的量并由此减少过滤器的堵塞。
穿孔壁722可以沿着路径674以规则或不规则间隔安置。图7B展示了在托盘的整个蛇形路径中规则间隔的三十六个穿孔壁722。托盘可以包括多到两百个穿孔壁或更多或少到单个壁。托盘可以包括介于约10与140个壁之间,优选地介于约15与90个壁之间,并且更优选地介于约25与60个壁之间。当确定包括多少壁时,可考虑流动湍流、流动速度和路径长度之间的平衡。举例来说,更多的壁可以增加湍流量,但可能会降低流动速度,和/或特点是较短/较长路径长度以使反应物最大限度地蒸发至载气中。在一些实施方案中,两个连续壁之间的距离可以介于约2mm与4000mm之间,更特别是介于约20mm与400mm之间,并且在一些实施方案中介于约50mm与250mm之间。也可能存在其它变化形式。
图7C是具有特定狭槽图案726的穿孔722的侧视图的示意图。狭槽图案726可包括一个或多个通道部分734(例如,孔洞)。通道部分734可以包括孔洞和/或狭缝阵列。如图7C中所示,相对于托盘108′的底座倾斜将通道部分734铣削至壁722中。举例来说,通道部分可以是以与水平面成45度钻出的孔洞。在一些实施方案中,平行于托盘108′的底座和/或相对于托盘108′的底座下倾来铣削通道部分734。是否相对于托盘108′的底座倾斜、下倾和/或平行来铣削通道部分734可以取决于所需的载气的方向和/或湍流的方向和/或程度。通道部分可以在蛇形路径中的突出物位置沿着蛇形路径相对于流动的y方向垂直倾斜/下倾以诱导气体流动的z分量,由此诱导湍流。一些通道部分可以在突出物的位置沿着蛇形路径相对于气体流动的方向y在水平上成角度(例如,诱导气体流动的x分量)。在一些实施方案中,在连续穿孔壁722中通道部分734的相对方向交替和/或变化,由此沿着蛇形路径在穿孔壁722之间形成不同的气体流动模式。穿孔壁722可以包括关于气体流动轴倾斜的一些通道部分734和在穿孔壁722内下倾的一些通道部分734。优选地,载气所通过的通道部分具有等于或大于托盘的入口和/或出口的宽度(例如,直径)(例如,直径不小于约4.5mm)以使得穿孔壁不会充当总体气体流动的限制因素。
在一些实施方案中,可在气体流动中通过将特定狭槽图案726安装至穿孔壁722中来实现垂直卷筒涡胞739。优选地,如图7C中所示,至少一些卷筒涡胞形成于y-z平面中,其中y轴界定气体沿着蛇形路径674的流动并且z是垂直轴。根据某些狭槽图案726,卷筒涡胞也可以包括横向(x)分量(参见图7D-7Y-2)。
如上文所指出,湍流诱导元件的至少一部分延伸至最高所需的反应物高度的上方,诸如为惰性气体流保留的高度的上约1/3,而这时下2/3装填了待汽化的反应物。在图7C的实施方案中,可以有利地维持靠近穿孔壁722顶部的未铣削的垂直部分738以进一步诱导载气流动。在载气流动中所诱导的湍流增加了气体将与反应物相互作用的可能性,促进汽化并且夹带所蒸发的反应物。未铣削的垂直部分738的长度可以介于约1mm与18mm之间,优选地介于约3mm与15mm之间,并且更优选地介于约4mm与10mm之间。在一些实施方案中,未铣削的垂直部分738具有约6mm的长度。在一些情况下(未图示),未铣削的垂直部分738的顶部并不与周围的路径壁齐平(例如,穿孔壁722的顶部已经稍微被铣削了一些)。这可允许气体流经穿孔壁722并促进湍流,还为盖(或在一些情况下为叠加在上面的托盘)提供空隙。
穿孔壁722的厚度742(在y方向上)可影响狭槽图案726对于在蛇形路径674内形成湍流流动的影响力有多大。举例来说,较小的厚度742可以允许载气较容易地通过壁,从而较少地干扰流动。穿孔壁722的厚度742可以介于约0.5mm与25mm之间,优选地介于约2mm与20mm之间,并且更优选地介于约5mm与15mm之间。最初可以将反应物装填至初始高度730,诸如通道高度的约2/3(例如,对于具有30mm高度的通道为20mm)。如图7C的实施方案中所示,反应物(例如,粉末)的初始高度730略低于第三个通道部分734(当从底部计数时)。载气最初将仅流过超过初始高度730(例如,第三个通道部分734)的通道部分。当反应物蒸发并与载气混合时,反应物的高度将下降并露出载气可流过的额外的通道部分。以这种方式,可在整个载气流动中维持湍流流动和增加的反应物混合。这可有助于维持反应物随时间稍微恒定的混合。反应物的初始高度730可以视需要更低或更高。然而,在任何阶段可利用优选地通过穿孔壁722(例如,通过通道部分734)的至少一个开口,包括当反应物处于其最高高度时,载气可流过所述开口以使得气体流动不受阻碍并产生湍流。
图7D-7J说明了可安装于蛇形路径674中以促进湍流并由此增加反应物与载气的混合的一些示例柱状突出物750。突出物750可以是圆形(如所说明的)或可以呈另一种形状(例如,矩形、圆锥形、不对称、球形)。突出物可以包括垂直或水平安装的针脚、实心和/或空心管、圆筒筛、穿孔管、卷状金属片和/或箔。可放置突出物750以使得增加载气通过蛇形路径674湍流流动的量。在一些实施方案中,使用支撑装置770安装突出物(例如,利用摩擦配合、重力依赖、螺钉连接等,以使其保持在适当位置)。支撑装置可以是配入托盘108′中所形成的相应凹形接收部分中的凸形部件。举例来说,可将狭槽或凹槽铣削至托盘108′中以允许支撑装置770紧密地配入其中,从而防止突出物750位移。可沿着流动路径在多个位置处形成狭槽,诸如在蛇形流动路径674的侧壁733或底面上。在一些设计中,支撑装置和接收部分包括螺纹以使得突出物750可被拧紧至托盘中。突出物750可以被可拆卸地插入,或可以被烧结和/或焊接至托盘108′的底座上。突出物750的横向厚度742可以介于约0.5mm与25mm之间,优选地介于约2mm与20mm之间,并且更优选地介于约5mm与15mm之间。
通道部分734可以在突出物中形成,载气可以流过所述通道部分。类似上文参考穿孔壁722所描述的通道部分,突出物中的通道部分734可以呈现许多不同图案中的一个或多个。在图7E-7I中仅说明了不同种类的可利用图案的小样品。通道部分734可以形成通过通道,或可以是空心箔柱状物中的简单开口,其中上游一侧上的开口可以在y方向上与下游一侧的开口对齐或不对齐。在一些实施方案中,诸如图7J中所示,突出物750可以包括螺旋756。螺旋756可以将垂直(z)和横向(x)分量引导至载气流,从而促进湍流和额外的与反应物的混合。
在一些实施方案中,可将壁插入物751安装于流动路径中。图7K-7L说明了用于安装于流动路径中的示例平坦壁插入物。在一些实施方案中,平坦插入物751可以与一个或多个支撑装置770一起安装(如图7L中所示),类似于图7D-7H中所说明的柱状突出物750的安装(例如,利用摩擦配合、重力依赖、螺钉连接等,以使其保持在蛇形路径674中的适当位置)。可通过将平坦插入物751垂直滑入位于分隔蛇形路径674的腿部的壁733中的垂直狭槽(例如,图7Z-1)中来安装平坦插入物751。调整垂直狭槽的大小以在壁插入物751的边缘731处接收壁插入物751,所述边缘可充当其支撑表面(如图7K中所示)。垂直狭槽将壁插入物751固定在适当位置以防位移。因此,接收于狭槽中的壁插入物751典型地宽于凹槽宽度。
壁插入物751可以具有与凹槽高度相同(或几乎相同)的高度。对于具有约30mm的高度的凹槽来说,壁插入物751的高度可以介于约0.5mm与30mm之间,优选地介于约2mm与29mm之间,并且更优选地介于约5mm与25mm之间。熟练技术人员可容易地对于不同高度的凹槽确定适当高度。可在湍流诱导突出物(例如,集成壁、水平或垂直柱状突出物和/或插入物)的顶部附近提供无流动障碍的空间。这可以有利地提供以平衡高气体流传导率与湍流。空间的长度可以介于约1mm与18mm之间,优选地介于约2mm与12mm之间,并且更优选地介于约3mm与10mm之间。在一些实施方案中,空间为约4mm高。
湍流诱导突出物(例如,集成壁、柱状突出物、壁插入物)可以位于路径直道部分727中而不是路径弯道中(参看图7B)。路径弯道729可已经引导一些量的横向湍流,并且还对载气流动的速度产生一些拖累。弯道可以包括介于约10mm至80cm之间的路径部分,从弯道起蛇形路径开始突然弯曲和/或弯曲回到其本身。沿着直道的突出物的频率可以是沿着路径弯道729的突出物的频率的两倍、三倍或更多。
图7M-7Y-2说明了可在任何湍流诱导元件(例如,集成壁、柱状突出物、壁插入物)中制造的示例狭槽图案726。多个图案726可以应用于相同湍流诱导元件的不同部分。考虑到路径长度,所述图案可以经过选择以打破流动湍流与气体传导率之间所需的平衡。鉴于本文中的教义,熟练技术人员可容易地优化特点的设计以当反应物进行消耗并且反应物床在蛇形流动路径内高度下降时在托盘出口处实现随时间一致的饱和水平。
图7Z-1-7Z-3说明了某些突出物可以如何安装于流动路径674中的各种平面图。图7Z-1说明了流动路径674中包括两个壁插入物751的一部分。壁插入物751配入相应狭槽764中。插入物751在其边缘731处得到支撑以防止插入物751的无意位移。两个连续突出物764之间的距离可视突出物的类型、流动路径中突出物所在的部分(例如,直道、弯道、末端)而变化。任何两个连续突出物之间的距离可以在整个流动路径中变化。
图7Z-2和图7Z-3展示了沿着流动路径674的底部插入被铣削至托盘中的接收部分中的突出物。如图7Z-2中所示,柱状突出物750配入在托盘中所形成的孔洞或凹槽775中。如图所示,突出物750俯视来看具有圆形横截面,但可能存在其它形状(例如,矩形、梯形、三角形、长椭圆形、不对称)。图7Z-3展示了具有两个支撑装置770的壁插入物751,所述支撑装置配入相应孔洞775中以有助于防止壁751的无意位移。壁751可以包括不止两个支撑装置。不止一种类型的突出物可以用于相同流动路径中。
图8说明了示例容器104的横截面侧视图,阀门802被构造成与第一托盘108流体连通。图8的阀门802可以表示用于与第一托盘108连通的入口阀或出口阀。过滤器804适合于防止固体颗粒物质流过它。过滤器材料被构造成限制大于某一尺寸(例如约0.003μm)的粒子通过。材料可包括各种不同材料中的任一种,诸如镍纤维介质、不锈钢、陶瓷(例如,矾土)、石英或典型地并入气体或液体过滤器中的其它材料。
如图8中所示,容器入口/出口808可与托盘入口812流体连通。因此,在某些实施方案中,通过托盘入口812,容器入口/出口808可与第一托盘108流体连通。在某些构造中,如本文中关于图4-6B所描述,托盘入口/出口812可对应于托盘入口602、606或托盘出口614、618中的一个或多个。类似地,如本文中关于图4-6B所描述,容器入口/出口808可对应于容器入口622、360或容器出口646、654中的一个或多个。如本文中关于图4-6B所描述,阀门802可以表示入口阀420、424和出口阀432、436中的一个或多个。
图9说明了示例容器104的横截面侧视图,其中阀门902被构造成与第二托盘112流体连通。图8的阀门802可以表示用于与第二托盘112连通的入口阀或出口阀。过滤器904可类似于上文所描述的。如图所示,容器入口/出口点908可与托盘入口/出口912流体连通。因此,在某些实施方案中,通过托盘入口/出口912,容器入口/出口908可与第二托盘112流体连通。在某些构造中,如本文中关于图4-6B所描述,托盘入口/出口912可对应于托盘入口602、606或托盘出口614、618中的任一个。类似地,如本文中关于图4-6B所描述,容器入口/出口908可对应于容器入口622、360或容器出口646、654中的任一个。如本文中关于图4-6B所描述,阀门902可以表示入口阀420、424或出口阀432、436中的任一个。
图10说明了容器104的一些实施方案的横截面侧视图,其中阀门1002被构造成与第一托盘108和第二托盘112两者流体连通。在一个实施方案中,图10的阀门1002可以表示用于排出惰性气体过压的排气阀428(图5),其与再装载的容器一起提供以用于在最小干扰下移动固体前体。如图所示,气体可选择性通过管道1034、阀门1002和管道1028。在阀门1002是排气阀的实施方案中,管道1028可直接或间接地通向排气孔或真空泵。容器可被构造成允许气体通过过滤器1004。如图所示,容器入口/出口1008可与第一托盘入口/出口1012和第二托盘入口/出口1016流体连通。因此,在一些实施方案中,通过第一托盘入口/出口1012和/或第二托盘入口/出口1016,容器入口/出口1008可与相应的第一托盘108和/或第二托盘112流体连通。在一些实施方案中,如上文所论述,过滤器1004可具有过滤器804的一种或多种性质。在某些构造中,如上文关于图6A所描述的,托盘入口/出口1012、1016中的一个或多个可对应于托盘排气通道610。类似地,如上文关于图6A所描述的,容器入口/出口1008可对应于容器排气孔638中的一个或多个。阀门1002可以表示如上文所描述的排气阀428。
图11展示了固体源化学物质汽化器(SSCV)容器可如何并入固体源组合件1350中的实例。固体源组合件1350可包括SSCV容器104,其可包括如上文所描述的外壳盖113和外壳底座480。在一些实施方案中,固体源组合件1350可包括一个或多个加热元件1102、1106、1110。在一些实施方案中,所述加热元件中的一个或多个可充当第一容器加热器1102并且被设置成垂直相邻于或垂直接近SSCV容器104。在一些实施方案中,第一容器加热器1102被构造成通过传导来加热容器104。在某些实施方案中,第一容器加热器1102是被设置于SSCV容器104的外壳下方的加热板。在某些实施方案中,第二容器加热器1110可被设置于外壳盖113上方。在一些实施方案中,第二容器加热器1110被设置于一个或多个阀门420、424、428、432、436上方并且被构造成辐射加热固体源组合件1350中的一个或多个阀门和SSCV容器104。在某些构造中,阀板加热器1106可被设置于阀板1112上方,所述阀板支撑阀门以用于分配从SSCV容器104接收的蒸气。在一些实施方案中,一个或多个热馈通可包括在固体源组合件1350的壁中以向气体提供加热路径来离开固体源组合件1350。固体源组合件1350的柜体可为气密性的以允许抽气至低压力,诸如介于约0.1托与20托之间,例如约5托,并由此促进高效的辐射加热,而传导或对流损失至柜体内的气氛中最少。
在一些实施方案中,第一容器加热器1102和第二容器加热器1110适合于将容器外壳(盖113和底座408)加热至操作温度。在一些实施方案中,所述操作温度在约50℃-250℃范围内。当然,所选的操作温度可以取决于待汽化的化学物质。举例来说,操作温度对于HfCl4来说可以是约160℃-240℃,尤其是约170℃-190℃;对于ZrCl4来说是约170℃-250℃,尤其是约180℃-200℃;对于Al2Cl3来说是约90℃-110℃;对于SiI4来说约90℃-120℃。熟练技术人员将容易了解可以对于其它源化学物质选择其它温度。在某些实施方案中,阀板1112适合于加热至在约110℃-240℃范围内的温度。在一些实施方案中,一个或多个沉积室312、316适合于加热至对于HfO和ZrO沉积工艺来说在约160℃-280℃范围内的温度。与SSCV容器104的温度相比,温度在阀板1112和沉积室312、316(图12)处可以保持较高,从而将在沉积室312、316中在衬底的上游冷凝的风险降至最低,但仍然保持低于分解温度。
图12展示了多腔室沉积模块1200的一些实施方案的图。在一些实施方案中,固体源组合件1350可安置SSCV容器(未图示),如上文所论述的,其可以在固体源组合件1350内进行加热以使固体化学源汽化并将蒸气反应物交替地或同时传递至沉积室312和316。图12说明了尽管固体源组合件1350并有相对大的空间量的SSCV容器(例如,450mm横向尺寸)但其如何适合双腔室模块的空间量和垂直净空,并且还传递比先前容器高的汽化反应物质量流。
图13说明了示例多腔室沉积模块1300的流体流动示意图,类似于图12。惰性气体(例如,氮气)流可在进入点1302处进入模块1300。在一些实施方案中,可使用阀门1310a、1310b控制气体流动。如果将气体引导通过阀门1310a,那么它会通过下游压力控制器1314a,其可结合控制阀门调节压力,从而通向真空泵1318。惰性气体流可进入固体源组合件1350并进一步通过阀门1336、1337控制。可控制气体流以使得允许气体流过阀门1336并进入固体源化学物质汽化器(SSCV)容器104。在一些实施方案中,在外壳中气体可流入入口阀420、424中的一个或多个并进入一个或多个相应托盘(未图示)。在流过固体反应物床上方的蛇形流动路径并接取反应物蒸气之后,载气可通过一个或多个出口阀432、436继续流动到外壳外。一个或多个阀门1338、1339、1334可控制途中的反应物蒸气流动至沉积室312、316。额外的阀门1340、1342可控制从系统流动至排气孔或真空。在一些实施方案中,阀门1334可控制气体是否继续流过分离点332并进入一个或多个沉积室312、316。在一些实施方案中,分离点332可包括一个或多个阀门以进一步控制气体流入一个或多个沉积室。可对于每个腔室使用相应的喷头(未图示)向沉积室312、316馈送气体。如上文所解释,多个阀门1336-1342可以安装于SSCV容器104上方的独立加热的阀板1112(图11)上和固体源组合件1350内。
在一些情况下,可以通过阀门1340、1342将气体流导向排气孔或真空。举例来说,可以建立所述流以在稳定流并将流送至反应室之前进行排气。在一些实施方案中,真空泵1318可用于形成真空压力以有助于驱动气体流动。在一些实施方案中,排气阀428也可通过阀门1342与排气孔或真空流体连通;与外壳底座480中的一个或多个托盘流体连通以使得可通过排气阀428将气体从其中除去。
在一些变化中,模块1300可被构造成允许气体流过阀门1310b和下游压力控制器1314b,其可调节固体源组合件1350内的压力,当打开阀门1310通向真空泵1330时惰性气体可排放至真空泵1330,例如当移去SSCV容器104以用于再装载固体源化学物质时。在某些实施方案中,如果当腔室回填至大气压时,例如用于维修或用于用再装载的容器替换耗尽的SSCV容器104,内部压力超过临界压力(例如,1.5psig),那么压力释放阀1326可用于释放来自固体源腔室1350的压力。在操作期间维持固体源组合件1350内的低压(例如,0.1托至20托,尤其是约5托)可促进辐射加热,而传导/对流损失至加热组件周围的空气或气体中最少。出口点1306可被布置成将惰性气体供应至其它系统(例如,供应至用于净化的沉积室或作为载气供应至其它化学源)。
图14示意性地说明了图11的固体源组合件1350。在一些实施方案中,阀板加热器1106被构造成加热阀板1112和相关联阀门1334、1338、1339、1340。在一些实施方案中,阀板加热器1106被构造和放置成使用辐射加热来加热阀板1112。在一些实施方案中,容器加热器1110被构造成加热固体源化学物质汽化器(SSCV)容器104和其相关联阀门420、424、428、432、436。在一些实施方案中,第二容器加热器1110被构造成使用辐射加热来加热SSCV容器104。在一些实施方案中,第一容器加热器1102可被设置于外壳底座480下方。在一些构造中,第一容器加热器1102被构造成通过传导来加热外壳底座480。
继续参看图14,如图所示,气体可从SSCV容器104流动至阀板1112。在一些实施方案中,可通过馈送点1428、1432将气体引导至一个或多个热馈通1412、1416。一些实施方案经过构造以使得可将气体流从一个或多个热馈通1412、1416引导至一个或多个沉积室(未图示)。
在一些实施方案中,固体源组合件(如本文中所公开的)可在目标真空压力下运行。在一些实施方案中,所述目标真空压力可在约0.5托-20托范围内,诸如5托。在某些实施方案中,固体源组合件中的真空压力可使用一个或多个压力控制器来调节。
在一些实施方案中,排气阀428可用于从本文中所描述的系统和/或方法中的一个或多个托盘和/或一个或多个阀门排出加压的惰性气体。
在典型的SSCV布置中,载气流过SSCV容器104(例如,在固体化学床上方在蛇形路径674中)。然而,在其它实施方案中,可通过在容器外造成较低压力的外部气体流而将前体蒸气抽出容器,就像文丘里效应(Venturi effect)。举例来说,可通过使载气沿着容器的下游路径流向一个或多个沉积室312、316来抽吸前体蒸气。在一些条件下,这可在容器与载气流动路径之间形成压力差。这种压力差会引起SSCV容器104内的前体蒸气流向一个或多个反应室312、316。
示例实施方案
在第1个实施方案中,一种固体源化学物质汽化器,其包括外壳底座;被构造成安置于所述外壳底座内的第一托盘,所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一蛇形路径;被构造成安置于外壳底座内的垂直相邻于第一托盘的第二托盘,所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二蛇形路径;以及外壳盖。
在第2个实施方案中,如实施方案1的固体源化学物质汽化器,其中所述第一蛇形路径和所述第二蛇形路径是串联流体连接。
在第3个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一蛇形路径和第二蛇形路径是平行流体连接。
在第4个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一蛇形路径和第二蛇形路径在固体源化学物质汽化器内并不彼此流体连通。
在第5个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽。
在第6个实施方案中,如实施方案5的固体源化学物质汽化器,其中所述凹槽中的每一个都界定在约1.5-5范围内的高度:宽度纵横比。
在第7个实施方案中,如实施方案1的固体源化学物质汽化器,其中所述外壳盖包括安装于盖上并且与第一蛇形路径流体连通的第一入口阀;安装于盖上并且与第一蛇形路径流体连通的第一出口阀;安装于盖上并且与第二蛇形路径流体连通的第二入口阀;以及安装于盖上并且与第二蛇形路径流体连通的第二出口阀。
在第8个实施方案中,如实施方案7的固体源化学物质汽化器,其中外壳盖进一步包括安装于盖上并且与第一和第二蛇形路径中的每一个流体连通的排气阀。
在第9个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一蛇形路径具有在约2000mm-8000mm范围内的长度。
在第10个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一蛇形路径适合于容纳介于约750g-2000g之间的所述固体源化学物质。
在第11个实施方案中,如实施方案1的固体源化学物质汽化器,其中第一托盘与第二托盘的组合高度介于约50mm-100mm之间。
在第12个实施方案中,一种固体源化学物质汽化器,其包括外壳底座;被构造成安置于所述外壳底座内的第一托盘,所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一路径;被构造成安置于外壳底座内的垂直相邻于第一托盘的第二托盘,所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二路径;外壳盖;安装于所述外壳盖上并且与所述第一路径流体连通的第一入口阀;安装于外壳盖上并且与第一路径流体连通的第一出口阀;安装于外壳盖上并且与所述第二路径流体连通的第二入口阀;以及安装于外壳盖上并且与第二路径流体连通的第二出口阀。
在第13个实施方案中,如实施方案12的固体源化学物质汽化器,其中外壳盖进一步包括安装于盖上并且与第一和第二蛇形路径中的每一个流体连通的排气阀。
在第14个实施方案中,如实施方案12的固体源化学物质汽化器,其中第一路径具有在约3000mm-5000mm范围内的长度。
在第15个实施方案中,如实施方案12的固体源化学物质汽化器,其中第一路径适合于容纳在约500g-1200g范围内的固体源化学物质。
在第16个实施方案中,如实施方案12的固体源化学物质汽化器,其中所述固体源化学物质汽化器界定在约1-3.5范围内的长度:宽度纵横比。
在第17个实施方案中,如实施方案12的固体源化学物质汽化器,其中固体源化学物质汽化器界定在约400-1200范围内的由固体源化学物质汽化器所包围的体积(以mm3计)与第一和第二托盘的总路径长度(以mm计)的比率。
在第18个实施方案中,一种多腔室沉积模块,所述多腔室沉积模块包括用于使第一材料沉积于第一衬底上的第一气相反应室;用于使第二材料沉积于第二衬底上的第二气相反应室;以及经过连接以供应所述第一和第二气相反应室中的每一个的固体源化学物质汽化器。
在第19个实施方案中,如实施方案18的多腔室沉积模块,其中所述固体源化学物质汽化器包括界定第一蛇形路径的第一托盘,所述第一蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动;以及界定第二蛇形路径的第二托盘,所述第二蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动。
在第20个实施方案中,如实施方案19的多腔室沉积模块,其中固体源化学物质汽化器进一步包括外壳底座;外壳盖;安装于所述外壳盖上并且与第一蛇形路径流体连通的第一入口阀;安装于外壳盖上并且与第一蛇形路径流体连通的第一出口阀;安装于外壳盖上并且与第二蛇形路径流体连通的第二入口阀;安装于外壳盖上并且与第二蛇形路径流体连通的第二出口阀;以及经过安装并且与第一和第二蛇形路径中的每一个流体连通的排气阀。
在第21个实施方案中,如实施方案20的多腔室沉积模块,其中多腔室沉积模块进一步包括连接点,其中所述第一出口阀和所述第二出口阀在所述连接点处流体连通;分离点,其可构造以使得通过所述分离点的载气可选择性地进入第一气相反应室和/或第二气相反应室中;以及流体插入连接点与分离点之间的第一气体面板阀门。
在第22个实施方案中,如实施方案21的多腔室沉积模块,其进一步包括在外壳盖上或在所述外壳底座的壁中的第一过滤器,所述第一过滤器适合于防止固体颗粒物质流过它。
在第23个实施方案中,如实施方案21的多腔室沉积模块,其进一步包括垂直相邻于固体源化学物质汽化器的加热板。
在第24个实施方案中,如实施方案19的多腔室沉积模块,其进一步包括被构造成操作所述第一气相反应室进行原子层沉积(ALD)的控制处理器和软件。
在第25个实施方案中,如实施方案19的多腔室沉积模块,其进一步包括被构造成操作第一气相反应室进行化学气相沉积(CVD)的控制处理器和软件。
在第26个实施方案中,如实施方案19的多腔室沉积模块,其中第一蛇形路径和第二蛇形路径是平行流体连接。
在第27个实施方案中,如实施方案26的多腔室沉积模块,其中第一蛇形路径和蛇形模块路径是在流体插入固体源化学物质汽化器与分离点之间的连接点处流体连通。
在第28个实施方案中,如实施方案27的多腔室沉积模块,其中所述分离点被设置在上阀板处,分离点流体插入所述连接点与第一和第二气相反应室中的每一个之间。
在第29个实施方案中,如实施方案19的多腔室沉积模块,其中由第一蛇形路径所形成的凹槽界定在约1.5-5范围内的高度:宽度纵横比。
在第30个实施方案中,如实施方案19的多腔室沉积模块,其中第一托盘与第二托盘的组合高度是在约50mm-100mm范围内。
在第31个实施方案中,如实施方案20的多腔室沉积模块,其进一步包括垂直相邻于固体源化学物质汽化器的加热板;以及设置于外壳盖上方的阀板加热器。
在第32个实施方案中,如实施方案31的多腔室沉积模块,其中所述加热板和阀板加热器适合于将外壳底座加热至在约50℃-250℃范围内的操作温度。
在第33个实施方案中,一种用于在多腔室沉积模块中传递汽化前体的方法,所述方法包括连接固体源化学物质汽化器以供应第一和第二气相反应室中的每一个;以及将所述固体源化学物质汽化器加热至操作温度。
在第34个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括在第一托盘的第一蛇形路径中提供第一固体源化学物质以及在第二托盘的第二蛇形路径中提供第二固体源化学物质。
在第35个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽,所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
在第36个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径是平行流体连接。
在第37个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径是串联流体连接。
在第38个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径在固体源化学物质汽化器内并不彼此流体连通。
在第39个实施方案中,如实施方案33的用于在多腔室沉积模块中传递汽化前体的方法,其中所述操作温度是在约50℃-250℃范围内。
在第40个实施方案中,如实施方案34的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括使第一惰性气体在所述第一固体源化学物质上方通过以及使第二惰性气体在所述第二固体源化学物质上方通过;在所述第一气相反应室中使第一材料沉积于第一衬底上;以及在所述第二气相反应室中使第二材料沉积于第二衬底上。
在第41个实施方案中,如实施方案40的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一材料不同于所述第二材料。
在第42个实施方案中,如实施方案40的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积第一材料和沉积第二材料各自包括原子层沉积(ALD)。
在第43个实施方案中,如实施方案40的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积第一材料和沉积第二材料各自包括化学气相沉积(CVD)。
在第44个实施方案中,一种固体源化学物质汽化器包括外壳底座;被构造成安置于所述外壳底座内的托盘,所述托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的流动路径;在所述流动路径内并且被构造成产生一定程度的湍流的突出物,所述程度大于在无所述突出物情况下的气体流动期间的程度;以及外壳盖。
在第45个实施方案中,如实施方案1的固体源化学物质汽化器,其中流动路径包括在固体金属块中所形成的凹槽。
在第46个实施方案中,如实施方案2的固体源化学物质汽化器,其中所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
在第47个实施方案中,如实施方案1的固体源化学物质汽化器,其中所述外壳盖包括安装于盖上并且与流动路径流体连通的入口阀;以及安装于盖上并且与流动路径流体连通的出口阀。
在第48个实施方案中,如实施方案4的固体源化学物质汽化器,其中外壳盖进一步包括安装于盖上并且与流动路径流体连通的排气阀。
在第49个实施方案中,如实施方案4的固体源化学物质汽化器,其中在流动路径中在沿着流动路径离所述出口阀介于约50mm与300mm之间的距离内未形成或安装突出物。
在第50个实施方案中,如实施方案1的固体源化学物质汽化器,其中突出物包括至少一个孔洞。
在第51个实施方案中,如实施方案7的固体源化学物质汽化器,其中所述至少一个孔洞界定在那个位置相对于流动路径的轴成角度的轴。
在第52个实施方案中,如实施方案7的固体源化学物质汽化器,其中至少一个孔洞包括形成穿孔图案的孔洞阵列。
在第53个实施方案中,如实施方案1的固体源化学物质汽化器,其中突出物包括空心结构,所述空心结构包括被构造成允许气体流过的开口。
在第54个实施方案中,如实施方案1的固体源化学物质汽化器,其中突出物包括整体壁。
在第55个实施方案中,如实施方案1的固体源化学物质汽化器,其中突出物包括柱状突出物。
在第56个实施方案中,如实施方案1的固体源化学物质汽化器,其中突出物包括被构造成插入流动路径中的壁。
在第57个实施方案中,如实施方案1的固体源化学物质汽化器,其包括两个或多个突出物,其中沿着流动路径介于约20mm与400mm之间的距离隔开所述两个或多个突出物中的两个连续突出物。
在第58个实施方案中,如实施方案1的固体源化学物质汽化器,其包括两个或多个突出物,其中沿着流动路径的直道的突出物的频率大于沿着流动路径的弯道的突出物的频率。
在第59个实施方案中,一种用于在多腔室沉积模块中传递汽化前体的方法,所述方法包括连接固体源化学物质汽化器以供应第一和第二气相反应室中的每一个;以及将所述固体源化学物质汽化器加热至操作温度。
在第60个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括在第一托盘的第一蛇形路径中提供第一固体源化学物质以及在第二托盘的第二蛇形路径中提供第二固体源化学物质。
在第61个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽,所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
在第62个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径是平行流体连接。
在第63个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径是串联流体连接。
在第64个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,其中第一和第二蛇形路径在固体源化学物质汽化器内并不彼此流体连通。
在第65个实施方案中,实施方案16的用于在多腔室沉积模块中传递汽化前体的方法,其中所述操作温度是在约50℃-250℃范围内。
在第66个实施方案中,如实施方案17的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括使第一惰性气体在所述第一固体源化学物质上方通过以及使第二惰性气体在所述第二固体源化学物质上方通过;在所述第一气相反应室中使第一材料沉积于第一衬底上;以及在所述第二气相反应室中使第二材料沉积于第二衬底上。
在第67个实施方案中,如实施方案23的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积所述第一材料和沉积所述第二材料各自包括原子层沉积(ALD)。
在第68个实施方案中,如实施方案17的用于在多腔室沉积模块中传递汽化前体的方法,其中使所述第一惰性气体在第一固体源化学物质上方通过包括使第一惰性气体通过或绕过被构造成增加使第一惰性气体在第一固体源化学物质上方通过的湍流的流动突出物。
在第69个实施方案中,如实施方案25的用于在多腔室沉积模块中传递汽化前体的方法,其中所述流动突出物包括跨越与所述第一托盘整体形成的蛇形流动路径的穿孔壁,所述穿孔壁具有所形成通过它的一个或多个孔洞,所述一个或多个孔洞被构造成允许第一惰性气体流过它们。
在第70个实施方案中,如实施方案26的用于在多腔室沉积模块中传递汽化前体的方法,其中一个或多个孔洞形成相对于水平面成垂直角度的轴。
在第71个实施方案中,如实施方案25的用于在多腔室沉积模块中传递汽化前体的方法,其中流动突出物包括柱状突出物。
在第72个实施方案中,如实施方案25的用于在多腔室沉积模块中传递汽化前体的方法,其中流动突出物包括被构造成用于插入第一托盘中所形成的狭槽中的壁插入物。
结论
在前述说明书中,本发明已参看其具体实施方案来描述。然而,显然在不脱离本发明的较广泛的精神和范围的情况下可对其作出各种修改和改变。因此,说明书和附图应以说明性而非限制性意义来考虑。
事实上,应了解,本公开的系统和方法各自具有若干个创新性方面,其中没有单个是单独负责本文中所公开的所需属性或单独为其所需的。上文所描述的各种特点和过程可以彼此独立使用,或可以按各种方式组合。所有可能的组合和子组合旨在属于本公开的范围内。
本说明书中在独立实施方案的情况下所描述的某些特点也可以组合地在单个实施方案中实施。反之,在单个实施方案的情况下所描述的各种特点也可以独立地或以任何合适的子组合形式在多个实施方案中实施。然而,尽管可能上文将特点描述为呈某些组合形式的动作并且甚至最初如此要求,但来自所要求的组合的一个或多个特点可以在一些情况下从组合中删除,并且所要求的组合可以针对子组合或子组合的变化。单个特点或特点组对于每一个实施方案并不是必需或必不可少的。
应了解,除非另外特别说明,或另外如所用的在上下文中理解,否则本文中所使用的条件性语言,诸如“可”、“会”、“可能”、“可以”、“例如”及其类似语言通常旨在表达某些实施方案包括,而其它实施方案不包括,某些特点、要素和/或步骤。因此,此种条件性语言并不通常旨在表明特点、要素和/或步骤以任何方式为一个或多个实施方案所需的或一个或多个实施方案在有或没有作者输入或提示下必定包括逻辑决定,无论包括这些特点、要素和/或步骤还是待在任何特定实施方案中进行这些特点、要素和/或步骤。术语“包含/包括(comprising/including)”、“具有”及其类似术语是同义词并且以开放性方式包容性地使用,并且不排除额外的要素、特点、动作、操作,诸如此类。同样,术语“或”是以包容性意义使用(而不以排除性意义使用)以使得例如当用于连接要素清单时,术语“或”意味着清单中的要素中的一个、一些或全部。另外,除非另外规定,否则如本申请和随附权利要求中所使用,冠词“一个/种(a/an)”和“所述”应视为意味着“一个或多个”或“至少一个”。类似地,虽然操作可以按特定顺序在附图中描绘,但公认所述操作无需按所示的特定顺序或按连续顺序进行,或无需进行所有所说明的操作,以实现所需结果。进一步,附图可以示意性地以流程图的形式描绘一种多种示例工艺。然而,未描绘的其它操作可以并入示意性地说明的示例方法和工艺中。举例来说,可以在所说明的操作中的任一个之前,之后,同时,或在其之间进行一个或多个额外的操作。另外,所述操作可以在其它实施方案中重新布置或重新排序。在某些情况下,多任务处理和平行加工可能是有利的。然而,在上文所描述的实施方案中各种系统组成的分隔不应理解为在所有实施方案中需要所述分隔,而应理解所描述的程序组成和系统通常可以一起集成于单个软件产品中或包装于多个软件产品中。另外,其它实施方案是在以下权利要求的范围内。在一些情况下,权利要求中所列的动作可以按不同顺序进行并且仍会实现所需结果。
因此,权利要求并不旨在限于本文中所示的实施方案,而是赋予与本公开、本文中所公开的原理和新颖特点一致的最广泛范围。举例来说,尽管在本公开中关于从固体源供应蒸气向沉积室馈料以用于半导体制造提供了许多实施例,但本文中所描述的某些实施方案可以实施用于各种其它应用和/或在许多其它情况下实施。

Claims (72)

1.一种固体源化学物质汽化器,其包括:
外壳底座;
被构造成安置于所述外壳底座内的托盘,所述托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的流动路径;
在所述流动路径内并且被构造成产生一定程度的湍流的突出物,所述程度大于在无所述突出物情况下的气体流动期间的程度;以及
外壳盖。
2.如权利要求1所述的固体源化学物质汽化器,其中所述流动路径包括在固体金属块中所形成的凹槽。
3.如权利要求2所述的固体源化学物质汽化器,其中所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
4.如权利要求1所述的固体源化学物质汽化器,其中所述外壳盖包括:
安装于所述盖上并且与所述流动路径流体连通的入口阀;以及
安装于所述盖上并且与所述流动路径流体连通的出口阀。
5.如权利要求4所述的固体源化学物质汽化器,其中所述外壳盖进一步包括安装于所述盖上并且与所述流动路径流体连通的排气阀。
6.如权利要求4所述的固体源化学物质汽化器,其中在所述流动路径中在沿着所述流动路径离所述出口阀介于约50mm与300mm之间的距离内未形成或安装突出物。
7.如权利要求1所述的固体源化学物质汽化器,其中所述突出物包括至少一个孔洞。
8.如权利要求7所述的固体源化学物质汽化器,其中所述至少一个孔洞界定在那个位置相对于所述流动路径的轴成角度的轴。
9.如权利要求7所述的固体源化学物质汽化器,其中所述至少一个孔洞包括形成穿孔图案的孔洞阵列。
10.如权利要求1所述的固体源化学物质汽化器,其中所述突出物包括空心结构,所述空心结构包括被构造成允许气体流过的开口。
11.如权利要求1所述的固体源化学物质汽化器,其中所述突出物包括整体壁。
12.如权利要求1所述的固体源化学物质汽化器,其中所述突出物包括柱状突出物。
13.如权利要求1所述的固体源化学物质汽化器,其中所述突出物包括被构造成插入所述流动路径中的壁。
14.如权利要求1所述的固体源化学物质汽化器,其包括两个或多个突出物,其中沿着所述流动路径介于约20mm与400mm之间的距离隔开所述两个或多个突出物中的两个连续突出物。
15.如权利要求1所述的固体源化学物质汽化器,其包括两个或多个突出物,其中沿着所述流动路径的直道的突出物的频率大于沿着所述流动路径的弯道的突出物的频率。
16.一种用于在多腔室沉积模块中传递汽化前体的方法,所述方法包括:
连接固体源化学物质汽化器以供应第一和第二气相反应室中的每一个;以及
将所述固体源化学物质汽化器加热至操作温度。
17.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括:
在第一托盘的第一蛇形路径中提供第一固体源化学物质以及在第二托盘的第二蛇形路径中提供第二固体源化学物质。
18.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽,所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
19.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径是平行流体连接。
20.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径是串联流体连接。
21.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径在所述固体源化学物质汽化器内并不彼此流体连通。
22.如权利要求16所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述操作温度是在约50℃-250℃范围内。
23.如权利要求17所述的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括:
使第一惰性气体在所述第一固体源化学物质上方通过以及使第二惰性气体在所述第二固体源化学物质上方通过;
在所述第一气相反应室中使第一材料沉积于第一衬底上;以及
在所述第二气相反应室中使第二材料沉积于第二衬底上。
24.如权利要求23所述的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积所述第一材料和沉积所述第二材料各自包括原子层沉积(ALD)。
25.如权利要求17所述的用于在多腔室沉积模块中传递汽化前体的方法,其中使所述第一惰性气体在所述第一固体源化学物质上方通过包括使所述第一惰性气体通过或绕过被构造成增加使所述第一惰性气体在所述第一固体源化学物质上方通过的湍流的流动突出物。
26.如权利要求25所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述流动突出物包括跨越与所述第一托盘整体形成的所述蛇形流动路径的穿孔壁,所述穿孔壁具有所形成通过它的一个或多个孔洞,所述一个或多个孔洞被构造成允许所述第一惰性气体流过它们。
27.如权利要求26所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述一个或多个孔洞形成相对于水平面成垂直角度的轴。
28.如权利要求25所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述流动突出物包括柱状突出物。
29.如权利要求25所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述流动突出物包括被构造成用于插入所述第一托盘中所形成的狭槽中的壁插入物。
30.一种固体源化学物质汽化器,其包括:
外壳底座;
被构造成安置于所述外壳底座内的第一托盘,所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一蛇形路径;
被构造成安置于所述外壳底座内的垂直相邻于所述第一托盘的第二托盘,所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二蛇形路径;以及
外壳盖。
31.如权利要求30所述的固体源化学物质汽化器,其中所述第一蛇形路径和所述第二蛇形路径是串联流体连接。
32.如权利要求30所述的固体源化学物质汽化器,其中所述第一蛇形路径和所述第二蛇形路径是平行流体连接。
33.如权利要求30所述的固体源化学物质汽化器,其中所述第一蛇形路径和所述第二蛇形路径在所述固体源化学物质汽化器内并不彼此流体连通。
34.如权利要求30所述的固体源化学物质汽化器,其中所述第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽。
35.如权利要求34所述的固体源化学物质汽化器,其中所述凹槽中的每一个都界定在约1.5-5范围内的高度:宽度纵横比。
36.如权利要求30所述的固体源化学物质汽化器,其中所述外壳盖包括:
安装于所述盖上并且与所述第一蛇形路径流体连通的第一入口阀;
安装于所述盖上并且与所述第一蛇形路径流体连通的第一出口阀;
安装于所述盖上并且与所述第二蛇形路径流体连通的第二入口阀;以及
安装于所述盖上并且与所述第二蛇形路径流体连通的第二出口阀。
37.如权利要求36所述的固体源化学物质汽化器,其中所述外壳盖进一步包括安装于所述盖上并且与所述第一和第二蛇形路径中的每一个流体连通的排气阀。
38.如权利要求30所述的固体源化学物质汽化器,其中所述第一蛇形路径具有在约2000mm-8000mm范围内的长度。
39.如权利要求30所述的固体源化学物质汽化器,其中所述第一蛇形路径适合于容纳介于约750g-2000g之间的所述固体源化学物质。
40.如权利要求30所述的固体源化学物质汽化器,其中所述第一托盘与所述第二托盘的组合高度介于约50mm-100mm之间。
41.一种固体源化学物质汽化器,其包括:
外壳底座;
被构造成安置于所述外壳底座内的第一托盘,所述第一托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第一路径;
被构造成安置于所述外壳底座内的垂直相邻于所述第一托盘的第二托盘,所述第二托盘界定适合于容纳固体源化学物质并且允许气体在它上方流动的第二路径;
外壳盖;
安装于所述外壳盖上并且与所述第一路径流体连通的第一入口阀;
安装于所述外壳盖上并且与所述第一路径流体连通的第一出口阀;
安装于所述外壳盖上并且与所述第二路径流体连通的第二入口阀;以及
安装于所述外壳盖上并且与所述第二路径流体连通的第二出口阀。
42.如权利要求41所述的固体源化学物质汽化器,其中所述外壳盖进一步包括安装于所述盖上并且与所述第一和第二蛇形路径中的每一个流体连通的排气阀。
43.如权利要求41所述的固体源化学物质汽化器,其中所述第一路径具有在约3000mm-5000mm范围内的长度。
44.如权利要求41所述的固体源化学物质汽化器,其中所述第一路径适合于容纳在约500g-1200g范围内的固体源化学物质。
45.如权利要求41所述的固体源化学物质汽化器,其中所述固体源化学物质汽化器界定在约1-3.5范围内的长度:宽度纵横比。
46.如权利要求41所述的固体源化学物质汽化器,其中所述固体源化学物质汽化器界定在约400-1200范围内的由所述固体源化学物质汽化器所包围的体积(以mm3计)与所述第一和第二托盘的总路径长度(以mm计)的比率。
47.一种多腔室沉积模块,所述多腔室沉积模块包括:
用于使第一材料沉积于第一衬底上的第一气相反应室;
用于使第二材料沉积于第二衬底上的第二气相反应室;以及
经过连接以供应所述第一和第二气相反应室中的每一个的固体源化学物质汽化器。
48.如权利要求47所述的多腔室沉积模块,其中所述固体源化学物质汽化器包括:
界定第一蛇形路径的第一托盘,所述第一蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动;以及
界定第二蛇形路径的第二托盘,所述第二蛇形路径适合于容纳固体源化学物质并且允许气体在它上方流动。
49.如权利要求48所述的多腔室沉积模块,其中所述固体源化学物质汽化器进一步包括:
外壳底座;
外壳盖;
安装于所述外壳盖上并且与所述第一蛇形路径流体连通的第一入口阀;
安装于所述外壳盖上并且与所述第一蛇形路径流体连通的第一出口阀;
安装于所述外壳盖上并且与所述第二蛇形路径流体连通的第二入口阀;
安装于所述外壳盖上并且与所述第二蛇形路径流体连通的第二出口阀;以及
经过安装并且与所述第一和第二蛇形路径中的每一个流体连通的排气阀。
50.如权利要求49所述的多腔室沉积模块,其中所述多腔室沉积模块进一步包括:
连接点,其中所述第一出口阀和所述第二出口阀在所述连接点处流体连通;
分离点,其可构造以使得通过所述分离点的载气可选择性地进入所述第一气相反应室和/或所述第二气相反应室中;以及
流体插入所述连接点与所述分离点之间的第一气体面板阀门。
51.如权利要求50所述的多腔室沉积模块,其进一步包括在所述外壳盖上或在所述外壳底座的壁中的第一过滤器,所述第一过滤器适合于防止固体颗粒物质流过它。
52.如权利要求50所述的多腔室沉积模块,其进一步包括垂直相邻于所述固体源化学物质汽化器的加热板。
53.如权利要求48所述的多腔室沉积模块,其进一步包括被构造成操作所述第一气相反应室进行原子层沉积(ALD)的控制处理器和软件。
54.如权利要求48所述的多腔室沉积模块,其进一步包括被构造成操作所述第一气相反应室进行化学气相沉积(CVD)的控制处理器和软件。
55.如权利要求48所述的多腔室沉积模块,其中所述第一蛇形路径和所述第二蛇形路径是平行流体连接。
56.如权利要求55所述的多腔室沉积模块,其中所述第一蛇形路径和蛇形模块路径是在流体插入所述固体源化学物质汽化器与分离点之间的连接点处流体连通。
57.如权利要求56所述的多腔室沉积模块,其中所述分离点被设置在上阀板处,所述分离点流体插入所述连接点与所述第一和第二气相反应室中的每一个之间。
58.如权利要求48所述的多腔室沉积模块,其中由所述第一蛇形路径所形成的凹槽界定在约1.5-5范围内的高度:宽度纵横比。
59.如权利要求48所述的多腔室沉积模块,其中所述第一托盘与所述第二托盘的组合高度是在约50mm-100mm范围内。
60.如权利要求49所述的多腔室沉积模块,其进一步包括:
垂直相邻于所述固体源化学物质汽化器的加热板;以及
设置于所述外壳盖上方的阀板加热器。
61.如权利要求60所述的多腔室沉积模块,其中所述加热板和阀板加热器适合于将所述外壳底座加热至在约50℃-250℃范围内的操作温度。
62.一种用于在多腔室沉积模块中传递汽化前体的方法,所述方法包括:
连接固体源化学物质汽化器以供应第一和第二气相反应室中的每一个;以及
将所述固体源化学物质汽化器加热至操作温度。
63.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括:
在第一托盘的第一蛇形路径中提供第一固体源化学物质以及在第二托盘的第二蛇形路径中提供第二固体源化学物质。
64.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径各自包括在固体金属块中所形成的凹槽,所述凹槽界定在约1.5-5范围内的高度:宽度纵横比。
65.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径是平行流体连接。
66.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径是串联流体连接。
67.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一和第二蛇形路径在所述固体源化学物质汽化器内并不彼此流体连通。
68.如权利要求62所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述操作温度是在约50℃-250℃范围内。
69.如权利要求63所述的用于在多腔室沉积模块中传递汽化前体的方法,所述方法进一步包括:
使第一惰性气体在所述第一固体源化学物质上方通过以及使第二惰性气体在所述第二固体源化学物质上方通过;
在所述第一气相反应室中使第一材料沉积于第一衬底上;以及
在所述第二气相反应室中使第二材料沉积于第二衬底上。
70.如权利要求69所述的用于在多腔室沉积模块中传递汽化前体的方法,其中所述第一材料不同于所述第二材料。
71.如权利要求69所述的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积所述第一材料和沉积所述第二材料各自包括原子层沉积(ALD)。
72.如权利要求69所述的用于在多腔室沉积模块中传递汽化前体的方法,其中沉积所述第一材料和沉积所述第二材料各自包括化学气相沉积(CVD)。
CN201710795262.5A 2016-09-30 2017-09-06 反应物汽化器和相关系统与方法 Active CN107881483B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/283,120 US10876205B2 (en) 2016-09-30 2016-09-30 Reactant vaporizer and related systems and methods
US15/283,120 2016-09-30
US15/585,540 2017-05-03
US15/585,540 US11926894B2 (en) 2016-09-30 2017-05-03 Reactant vaporizer and related systems and methods

Publications (2)

Publication Number Publication Date
CN107881483A true CN107881483A (zh) 2018-04-06
CN107881483B CN107881483B (zh) 2022-03-04

Family

ID=61757891

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710795262.5A Active CN107881483B (zh) 2016-09-30 2017-09-06 反应物汽化器和相关系统与方法

Country Status (4)

Country Link
US (1) US11926894B2 (zh)
KR (1) KR102384840B1 (zh)
CN (1) CN107881483B (zh)
TW (3) TWI785728B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113529053A (zh) * 2021-09-13 2021-10-22 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法
CN114008240A (zh) * 2019-04-25 2022-02-01 Beneq有限公司 前驱体供应腔室
CN114026266A (zh) * 2019-06-28 2022-02-08 Beneq有限公司 原子层沉积设备
CN114127333A (zh) * 2019-06-28 2022-03-01 Beneq有限公司 前驱体源布置和原子层沉积设备
CN114341400A (zh) * 2019-06-28 2022-04-12 Beneq有限公司 前驱体源布置和原子层沉积设备
CN110835749B (zh) * 2018-08-16 2024-01-12 Asm Ip 控股有限公司 固体源升华器

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023505780A (ja) * 2019-12-11 2023-02-13 ラム リサーチ コーポレーション 液体前駆体気化器
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI812035B (zh) * 2021-02-26 2023-08-11 美商恩特葛瑞斯股份有限公司 固體蒸發器
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168092A (ja) * 1997-12-04 1999-06-22 Denso Corp 気相成長方法および気相成長装置
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
CN102234790A (zh) * 2010-04-19 2011-11-09 Asm美国股份有限公司 前体传输系统
US20120156108A1 (en) * 2006-10-10 2012-06-21 Asm America, Inc. Precursor delivery system
KR20130084265A (ko) * 2012-01-16 2013-07-24 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고 유동 진공 버블러 용기용 스플래시가드
US20140193578A1 (en) * 2006-12-15 2014-07-10 Air Products And Chemicals, Inc. Splashguard and Inlet Diffuser for High Vacuum, High Flow Bubbler Vessel
CN104152870A (zh) * 2004-05-20 2014-11-19 阿克佐诺贝尔股份有限公司 用于固体化学制品持续蒸汽发送的起泡器
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544174A1 (de) 1966-12-17 1970-07-02 Bosch Gmbh Robert Verfahren und Vorrichtung zur Dotierung von Halbleiterkoerpern
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4560462A (en) 1984-06-22 1985-12-24 Westinghouse Electric Corp. Apparatus for coating nuclear fuel pellets with a burnable absorber
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
DE3702923A1 (de) 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5071553A (en) 1987-06-10 1991-12-10 Newlin Robert E Self retaining fluid filter
JPS6464314A (en) 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5080870A (en) * 1988-09-08 1992-01-14 Board Of Regents, The University Of Texas System Sublimating and cracking apparatus
GB2234988B (en) 1989-08-16 1993-12-08 Qpl Limited Improvements in vacuum deposition machines
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5964254A (en) 1997-07-11 1999-10-12 Advanced Delivery & Chemical Systems, Ltd. Delivery system and manifold
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
US5567127A (en) 1994-11-09 1996-10-22 Wentz; Kennith W. Low noise air blower
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
TW327205B (en) 1995-06-19 1998-02-21 Hitachi Ltd Heat exchanger
US5667682A (en) 1995-10-25 1997-09-16 Water Renewal Systems L.P. Self-cleaning filtration apparatus
US5709753A (en) 1995-10-27 1998-01-20 Specialty Coating Sysetms, Inc. Parylene deposition apparatus including a heated and cooled dimer crucible
DE59606186D1 (de) 1996-03-06 2001-01-11 Alusuisse Tech & Man Ag Vorrichtung zum Beschichten einer Substratfläche
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
EP0867622B1 (en) 1997-03-28 2004-08-04 New Technology Management Co., Ltd. Micromotors, linear motors, micropumps, methods of using the same, microactuators, methods of controlling flow properties of fluids, and apparatuses for controlling flow properties of fluids
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6221306B1 (en) 1998-03-20 2001-04-24 Nitrojection Corporation Pin-in-sleeve device for in-article gas assisted injection molding
US6216708B1 (en) 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
US6365229B1 (en) 1998-09-30 2002-04-02 Texas Instruments Incorporated Surface treatment material deposition and recapture
JP2000265960A (ja) 1999-03-15 2000-09-26 Toyota Autom Loom Works Ltd 流体機械
DE59914510D1 (de) 1999-03-29 2007-11-08 Antec Solar Energy Ag Vorrichtung und Verfahren zur Beschichtung von Substraten durch Aufdampfen mittels eines PVD-Verfahrens
US6946034B1 (en) 1999-08-04 2005-09-20 General Electric Company Electron beam physical vapor deposition apparatus
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
US6525288B2 (en) 2001-03-20 2003-02-25 Richard B. Rehrig Gas lens assembly for a gas shielded arc welding torch
US7073773B2 (en) 2001-03-27 2006-07-11 Invacare Corporation Rapid connection coupling
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6562405B2 (en) 2001-09-14 2003-05-13 University Of Delaware Multiple-nozzle thermal evaporation source
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20050211172A1 (en) 2002-03-08 2005-09-29 Freeman Dennis R Elongated thermal physical vapor deposition source with plural apertures
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
WO2004002909A1 (en) 2002-06-28 2004-01-08 Pirelli & C. S.P.A. Method and device for vaporizing a liquid reactant in manufacturing a glass preform
WO2004106584A1 (en) 2003-05-27 2004-12-09 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
EP1382713B1 (en) 2002-07-19 2006-05-17 Lg Electronics Inc. Source for thermal physical vapour deposition of organic electroluminescent layers
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7122085B2 (en) 2002-07-30 2006-10-17 Asm America, Inc. Sublimation bed employing carrier gas guidance structures
US6868869B2 (en) 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
JP2004353083A (ja) 2003-05-08 2004-12-16 Sanyo Electric Co Ltd 蒸発装置
JP4185015B2 (ja) 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US20050019028A1 (en) 2003-07-25 2005-01-27 Karl-Heinz Kuebler Fluid heater with integral heater elements
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7531090B1 (en) 2005-04-25 2009-05-12 Wix Filtration Corp Llc Fluid filter element
JP4001296B2 (ja) 2005-08-25 2007-10-31 トッキ株式会社 有機材料の真空蒸着方法およびその装置
WO2007034790A1 (ja) * 2005-09-20 2007-03-29 Tohoku University 成膜装置、蒸発治具、及び、測定方法
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7278887B1 (en) 2006-05-30 2007-10-09 John Mezzalingua Associates, Inc. Integrated filter connector
SG174771A1 (en) 2006-06-09 2011-10-28 Soitec Silicon On Insulator High volume delivery system for gallium trichloride
DE102006039826B4 (de) 2006-08-25 2010-05-12 Hydac Filtertechnik Gmbh Filtervorrichtung, Filterelement sowie Verfahren zum Betrieb der Filtervorrichtung
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
WO2008030323A1 (en) 2006-09-06 2008-03-13 Donaldson Company, Inc. Liquid filter assembly, components; and, methods
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
DE102007020852A1 (de) 2007-05-02 2008-11-06 Stein, Ralf Gasversorgungssystem und Verfahren zur Bereitstellung eines gasförmigen Abscheidungsmediums
EP2168644B1 (en) * 2008-09-29 2014-11-05 Applied Materials, Inc. Evaporator for organic materials and method for evaporating organic materials
KR101094299B1 (ko) * 2009-12-17 2011-12-19 삼성모바일디스플레이주식회사 선형 증발원 및 이를 포함하는 증착 장치
DE102010003001B4 (de) 2010-03-18 2024-02-08 Robert Bosch Gmbh Mikrofluidisches Dielektrophorese-System
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
KR102024830B1 (ko) 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10944339B2 (en) 2014-09-09 2021-03-09 Board Of Regents, The University Of Texas System Electrode design and low-cost fabrication method for assembling and actuation of miniature motors with ultrahigh and uniform speed
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168092A (ja) * 1997-12-04 1999-06-22 Denso Corp 気相成長方法および気相成長装置
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
CN104152870A (zh) * 2004-05-20 2014-11-19 阿克佐诺贝尔股份有限公司 用于固体化学制品持续蒸汽发送的起泡器
US20120156108A1 (en) * 2006-10-10 2012-06-21 Asm America, Inc. Precursor delivery system
US20140193578A1 (en) * 2006-12-15 2014-07-10 Air Products And Chemicals, Inc. Splashguard and Inlet Diffuser for High Vacuum, High Flow Bubbler Vessel
CN102234790A (zh) * 2010-04-19 2011-11-09 Asm美国股份有限公司 前体传输系统
KR20130084265A (ko) * 2012-01-16 2013-07-24 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고 유동 진공 버블러 용기용 스플래시가드
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110835749B (zh) * 2018-08-16 2024-01-12 Asm Ip 控股有限公司 固体源升华器
CN114008240A (zh) * 2019-04-25 2022-02-01 Beneq有限公司 前驱体供应腔室
CN114026266A (zh) * 2019-06-28 2022-02-08 Beneq有限公司 原子层沉积设备
CN114127333A (zh) * 2019-06-28 2022-03-01 Beneq有限公司 前驱体源布置和原子层沉积设备
CN114341400A (zh) * 2019-06-28 2022-04-12 Beneq有限公司 前驱体源布置和原子层沉积设备
CN114127333B (zh) * 2019-06-28 2024-01-12 青岛四方思锐智能技术有限公司 前驱体源布置和原子层沉积设备
CN113529053A (zh) * 2021-09-13 2021-10-22 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法

Also Published As

Publication number Publication date
TW202146696A (zh) 2021-12-16
US11926894B2 (en) 2024-03-12
TW201814081A (zh) 2018-04-16
TW202309331A (zh) 2023-03-01
TWI738827B (zh) 2021-09-11
CN107881483B (zh) 2022-03-04
TWI785728B (zh) 2022-12-01
KR102384840B1 (ko) 2022-04-08
KR20180036525A (ko) 2018-04-09
US20180094351A1 (en) 2018-04-05
TWI796280B (zh) 2023-03-11

Similar Documents

Publication Publication Date Title
CN107881483A (zh) 反应物汽化器和相关系统与方法
US11377732B2 (en) Reactant vaporizer and related systems and methods
TWI821366B (zh) 固體源化學昇華器
US7971861B2 (en) Safe liquid source containers
JP2023055706A (ja) バッチ蒸着のための高材料流束によるソース試薬に基づく流体の送出
TW202113154A (zh) 冷卻總成、控制前驅物源容器之內部的溫度之方法、及反應器系統
US20080041307A1 (en) Control of gas flow and delivery to suppress the formation of particles in an mocvd/ald system
WO2005118119A1 (en) Method and apparatus to help promote contact of gas with vaporized material
TWI757998B (zh) 固體和液體材料之蒸氣輸送系統
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
US20240209501A1 (en) Reactant vaporizer and related systems and methods
US11959168B2 (en) Solid source precursor vessel
TWI388688B (zh) 化學氣相沈積法及設備
CN116377421A (zh) 具有微流道的固态前驱体输送装置及输送方法
TW202418447A (zh) 固體源化學昇華器
CN116288278A (zh) 固态前驱体输送装置及输送方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant