TWI738827B - 固體源化學物質汽化器、多腔室沈積模組以及用於傳遞汽化前驅體的方法 - Google Patents

固體源化學物質汽化器、多腔室沈積模組以及用於傳遞汽化前驅體的方法 Download PDF

Info

Publication number
TWI738827B
TWI738827B TW106125242A TW106125242A TWI738827B TW I738827 B TWI738827 B TW I738827B TW 106125242 A TW106125242 A TW 106125242A TW 106125242 A TW106125242 A TW 106125242A TW I738827 B TWI738827 B TW I738827B
Authority
TW
Taiwan
Prior art keywords
solid source
tray
source chemical
path
serpentine path
Prior art date
Application number
TW106125242A
Other languages
English (en)
Other versions
TW201814081A (zh
Inventor
莫西斯 維埃茲
艾立克 詹姆斯 雪洛
卡爾 路易斯 懷特
凱爾 樊都魯黎亞
赫伯特 特后司特
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/283,120 external-priority patent/US10876205B2/en
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201814081A publication Critical patent/TW201814081A/zh
Application granted granted Critical
Publication of TWI738827B publication Critical patent/TWI738827B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文揭示與固體源化學物質汽化器容器及多腔室沈積模 組相關之系統及方法。在一些實施例中,固體源化學物質汽化器包括外殼底座及外殼蓋。一些實施例亦包括經組態以安置於該外殼底座內之第一托盤及第二托盤,其中每一托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第一蛇形路徑。在一些實施例中,多腔室沈積模組包括第一氣相反應室與第二氣相反應室及用以供應該第一氣相反應室及該第二氣相反應室中之每一者之固體源化學物質汽化器容器。

Description

固體源化學物質汽化器、多腔室沈積模組以及 用於傳遞汽化前驅體的方法
本申請案主張2017年5月3日申請之美國專利申請第15/585,540號之優先權,該案是2016年9月30日申請之美國專利申請第15/283,120號之部分連續案,其各自之整體揭示內容出於所有目的以引用之方式併入本文中。
本申請案大致是關於關於半導體加工設備之系統及方法且具體而言是關於用於化學氣相傳遞之汽化系統。
一種典型的固體或液體源反應物傳遞系統包括固體或液體源容器及加熱裝置(例如輻射熱燈、電阻加熱器等)。所述容器包括固體源前驅體(例如呈粉末形式)或液體源前驅體。加熱裝置加熱所述容器以使所述容器中之反應物汽化。所述容器具有用於使惰性載氣(例如N2)流過所述容器之入口及出口。載氣掃帶反應物蒸氣並隨其一起通過容器出口且最終到達基板反應室。所述容器 通常包括用於流體隔離所述容器之內容物與所述容器外部之隔離閥。通常,在所述容器入口之上游提供一個隔離閥,且在所述容器出口之下游提供另一個隔離閥。
在一個態樣中,提供一種固體源化學物質汽化器。該汽化器可包括外殼底座;第一托盤,其經組態以安置於該外殼底座內以使得該第一托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第一蛇形路徑;第二托盤,其經組態以安置於外殼底座內並垂直相鄰於第一托盤以使得該第二托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第二蛇形路徑;及外殼蓋。
在一些實施例中,該第一蛇形路徑及該第二蛇形路徑是以流體連通的方式串聯連接。在其他實施例中,第一蛇形路徑及第二蛇形路徑是以流體連通的方式平行連接。在該等實施例中,第一蛇形路徑及第二蛇形路徑在固體源化學物質汽化器內可不彼此流體連通。
第一蛇形路徑及第二蛇形路徑可各自包括在固體金屬塊中所形成之凹槽。該等凹槽中之每一者可界定在約1.5-5範圍內之高度:寬度縱橫比。
在一些實施例中,該外殼蓋包括安裝於蓋上且與第一蛇形路徑流體連通之第一入口閥、安裝於蓋上且與第一蛇形路徑流體連通之第一出口閥、安裝於蓋上且與第二蛇形路徑流體連通之 第二入口閥及安裝於蓋上且與第二蛇形路徑流體連通之第二出口閥。
外殼蓋可進一步包括安裝於蓋上且與第一蛇形路徑及第二蛇形路徑中之每一者流體連通之排氣閥。
在另一個態樣中,一種固體源化學物質汽化器包括外殼底座;第一托盤,其經組態以安置於該外殼底座內以使得該第一托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第一路徑;及第二托盤,其經組態以安置於外殼底座內並垂直相鄰於該第一托盤。該第二托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第二路徑。該汽化器亦包括外殼蓋、安裝於該外殼蓋上且與該第一路徑流體連通之第一入口閥、安裝於外殼蓋上且與第一路徑流體連通之第一出口閥、安裝於外殼蓋上且與該第二路徑流體連通之第二入口閥及安裝於外殼蓋上且與第二路徑流體連通之第二出口閥。
外殼蓋可進一步包括安裝於蓋上且與第一蛇形路徑及第二蛇形路徑中之每一者流體連通之排氣閥。
在一些實施例中,固體源化學物質汽化器界定在約400-1200範圍內之由固體源化學物質汽化器所包圍之體積(以mm3計)與第一托盤及第二托盤之總路徑長度(以mm計)的比率。
在另一個態樣中,提供一種多腔室沈積模組。該多腔室沈積模組包括用於使第一材料沈積於第一基板上之第一氣相反應室、用於使第二材料沈積於第二基板上之第二氣相反應室及經連接以 供應該第一第二氣相反應室及該第二氣相反應室中之每一者之固體源化學物質汽化器。
在一些實施例中,該固體源化學物質汽化器可包括第一托盤,其界定第一蛇形路徑以使得該第一蛇形路徑適合於容納固體源化學物質且允許氣體在其上方流動;及第二托盤,其界定第二蛇形路徑以使得該第二蛇形路徑適合於容納固體源化學物質且允許氣體在其上方流動。
固體源化學物質汽化器可進一步包括外殼底座、外殼蓋、安裝於該外殼蓋上且與第一蛇形路徑流體連通之第一入口閥、安裝於外殼蓋上且與第一蛇形路徑流體連通之第一出口閥、安裝於外殼蓋上且與第二蛇形路徑流體連通之第二入口閥、安裝於外殼蓋上且與第二蛇形路徑流體連通之第二出口閥及經安裝且與該第一蛇形路徑及該第二蛇形路徑中之每一者流體連通之排氣閥。該第一出口閥及該第二出口閥可在連接點處流體連通,且載氣可選擇性地自分離點通過第一氣相反應室及/或第二氣相反應室。該模組亦可包括以流體連動的方式插入該連接點與該分離點之間的第一氣體面板閥門。模組可另外包括在外殼蓋上或在該外殼底座之壁中之第一過濾器,其中該第一過濾器適合於防止固體顆粒物質流過。模組可另外包括垂直相鄰於固體源化學物質汽化器之加熱板。
在一些實施例中,模組另外包括經組態以操作第一氣相反應室進行原子層沈積(atomic layer deposition,ALD)之控制處理 器及軟體。在其他實施例中,模組另外包括經組態以操作第一氣相反應室進行化學氣相沈積(chemical vapor deposition,CVD)之控制處理器及軟體。
在一些實施例中,第一蛇形路徑及第二蛇形路徑是以流體連通的方式平行連接。在該等實施例中,第一蛇形路徑及蛇形模組路徑可在以流體連通的方式插入之固體源化學物質汽化器與分離點間之連接點處流體連通。該分離點可設置於上閥板上且可以流體連通的方式插入該連接點與第一氣相反應室及第二氣相反應室中之每一者之間。
在一些實施例中,模組另外包括垂直相鄰於固體源化學物質汽化器之加熱板及設置於外殼蓋上方之閥板加熱器。該加熱板及該閥板加熱器可適合於將外殼底座加熱至在約50℃-250℃範圍內之操作溫度。
在另一個態樣中,一種用於在多腔室沈積模組中傳遞汽化前驅體之方法可包括連接固體源化學物質汽化器以供應第一氣相反應室及第二氣相反應室中之每一者及將該固體源化學物質汽化器加熱至操作溫度。
在一些實施例中,該方法另外包括在第一托盤之第一蛇形路徑中提供第一固體源化學物質及在第二托盤之第二蛇形路徑中提供第二固體源化學物質。該第一蛇形路徑及該第二蛇形路徑可以流體連通的方式平行連接。在其他配置中,第一蛇形路徑及第二蛇形路徑可以流體連通的方式串聯連接。第一蛇形路徑及第二 蛇形路徑可經配置以在固體源化學物質汽化器內並不彼此流體連通。
在一些實施例中,該方法亦包括使第一惰性氣體在該第一固體源化學物質上方通過及使第二惰性氣體在該第二固體源化學物質上方通過、在該第一氣相反應室中使第一材料沈積於第一基板上及在該第二氣相反應室中使第二材料沈積於第二基板上。該第一材料可不同於該第二材料。沈積第一材料及沈積第二材料可各自包括進行原子層沈積(ALD)。沈積第一材料及沈積第二材料可各自包括進行化學氣相沈積(CVD)。
100:電子裝置
104:容器
108、112:托盤/沈積室
108':托盤
113:外殼蓋/蓋
116、120:入口閥/第一入口閥
124:分離點
130:進入點
140:出口點
152:入口/容器入口/第一托盤出口
156:入口/容器入口
162:托盤入口/容器出口
166:托盤入口/容器出口
172:托盤出口
176:托盤出口/第二托盤出口
182、186:容器出口
198:模組
204:入口閥
208:出口閥
256:容器入口
260:合併點
286:容器出口
300:多腔室沈積模組/模組/系統
304:出口閥
308:出口閥/第二出口閥
312、316:沈積室/反應室
313、317:控制器
320:排氣閥
324、328:連接點
330:進入點
332:分離點
340、341:出口點
360:容器入口
408:底座
420、424:閥門/入口閥
428:閥門/排氣閥
432、436:閥門/出口閥
480:外殼底座/汽化器底座
602、606:托盤入口
610:托盤排氣通道
614、618:托盤出口
622:容器入口
626、634、642、650、658:入口凹槽
630:容器入口
638:容器排氣孔
646、654:容器出口
674:蛇形路徑/路徑
704、708:凹槽高度
722:穿孔壁/穿孔
725:路徑末端
726:狹槽圖案/圖案
727:直道部分
729:路徑彎道
730:初始高度
731:邊緣
733:壁/側壁
734:通道部分
738:垂直部分
739:垂直捲筒渦胞
742:厚度/橫向厚度
750:柱狀突出物/突出物
751:壁插入物/平坦插入物/插入物/壁
756:螺旋
764:狹槽/連續突出物
770:支撐裝置
775:孔洞或凹槽
802:閥門
804:過濾器
808:容器入口/出口
812:托盤入口
902:閥門
904:過濾器
908:容器入口/出口點
912:托盤入口/出口
1002:閥門
1004:過濾器
1008:容器入口/出口
1012:第一托盤入口/出口
1016:第二托盤入口/出口
1028、1034:管道
1102:加熱元件/第一容器加熱器
1106:加熱元件/閥板加熱器
1110:加熱元件/第二容器加熱器/容器加熱器
1112:閥板
1200:多腔室沈積模組
1300:多腔室沈積模組/模組
1302:進入點
1306:出口點
1310、1310a、1310b:閥門
1314a、1314b:壓力控制器
1318:真空泵
1326:壓力釋放閥
1330:真空泵
1334、1336、1337、1338、1339、1340、1342:閥門
1350:固體源組合件/固體源腔室
1412、1416:熱饋通
1428、1432:饋送點
2100:示例性ALD製程
2110、2120、2130、2140、2150、2160:步驟
本揭示案之此等及其他態樣對於熟練技術者鑒於下文描述、隨附申請專利範圍及自附圖來看將顯而易見,該等附圖意欲說明而並不限制本發明,且其中:
圖1A說明固體源化學物質汽化器(solid source chemical vaporizer,SSCV)容器之一些實施例之示意圖。
圖1B示意性地展示包括供應多個沈積室之容器之多腔室沈積模組的實施例。
圖2A展示容器之托盤之流體組態以使得托盤在容器內具有獨立的流動路徑。
圖2B展示容器之托盤之流體組態以使得通過托盤之流動路徑是平行配置,但其可合併於容器內。
圖2C展示容器之托盤之流體組態以使得通過托盤之流動路徑是串聯配置。
圖2D說明例示性ALD製程。
圖3示意性地展示以流體連通的方式連接於多個沈積室之示例SSCV容器。
圖4為根據一實施例之固體源化學物質容器之外殼蓋、外殼底座及兩個內部反應物托盤的分解型正面、俯視及右側等軸視圖。
圖5為圖4之經組裝之容器的正面、俯視及右側等軸視圖。
圖6A為圖4之底座及頂部托盤之部分俯視平面圖,該圖展示各種實施例中之各種入口凹槽及其他流體性結構。
圖6B為在某些實施例中的底座及頂部托盤、各種入口凹槽及托盤結構之俯視等軸視圖。
圖7A為在某些組態中圖4之頂部托盤及蓋之橫截正面、俯視及右側等軸視圖。
圖7B為根據一些實施例之沿著蛇形路徑具有穿孔壁之托盤的俯視等軸視圖。
圖7C為根據一實施例之用於圖7B中之具有傾斜通道之穿孔壁的側視圖之示意圖。
圖7D說明用於安裝於流動路徑中之示例柱狀突出物。
圖7E說明用於安裝於流動路徑中之示例柱狀突出物。
圖7F說明用於安裝於流動路徑中之示例柱狀突出物。
圖7G說明用於安裝於流動路徑中之示例柱狀突出物。
圖7H說明用於安裝於流動路徑中之示例柱狀突出物。
圖7I說明用於安裝於流動路徑中之示例柱狀突出物。
圖7J說明用於安裝於流動路徑中之示例柱狀突出物。
圖7K說明用於安裝於流動路徑中之示例壁插入物。
圖7L說明用於安裝於流動路徑中之示例壁插入物。
圖7M說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7N說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7O說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7P說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7Q說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7R說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7S說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7T說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7U說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物 中形成之示例通道或穿孔圖案。
圖7V說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7W說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7X說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7Y-1說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7Y-2說明可在圖7B-7L之柱狀突出物、整合壁或壁插入物中形成之示例通道或穿孔圖案。
圖7Z-1說明某些壁插入物可如何安裝於流動路徑中之平面圖。
圖7Z-2說明某些柱狀突出物可如何安裝於流動路徑中之平面圖。
圖7Z-3說明某些壁插入物可如何安裝於流動路徑中之平面圖。
圖8為通過經組態以與第一托盤流體連通之閥門中之一者的示例容器之橫截面側視圖。
圖9為通過經組態以與第二托盤流體連通之閥門中之一者的示例容器之橫截面側視圖。
圖10為通過經組態以與第一托盤及第二托盤流體連通之排 氣閥的示例容器之橫截面側視圖。
圖11為併有圖4之固體源化學物質容器之固體源組合件的正面、俯視及左側等軸視圖。
圖12為根據一實施例之併有圖11之固體源組合件之多腔室沈積模組的正面主視圖。
圖13說明示例多腔室沈積模組之流體流動示意圖。
圖14示意性地說明根據一些實施例之固體源組合件。
本文中所提供之標題(若存在)僅為方便所設而未必會影響所主張之本發明之範疇或意義。
本文中描述用於在多腔室沈積模組中傳遞汽化反應物之系統及相關方法。本申請案進一步描述可用於沈積模組中之用於使化學固體源材料汽化並傳遞反應物蒸氣之系統,該系統包括一或多個沈積模組。
以下較佳實施例及方法之詳細描述詳細說明某些具體實施例以幫助理解申請專利範圍。然而,如由申請專利範圍所界定及涵蓋的,所屬領域中具通常知識者可在許多不同的實施例及方法中實踐本發明。
化學氣相沈積(CVD)是一種在半導體工業中用於在基板(諸如矽晶片)上形成材料薄膜之已知製程。在CVD中,在反應室中將不同反應物化學物質之反應物蒸氣(包括「前驅體氣體」)傳遞 至一或多個基板。在許多情況下,該反應室僅包括支撐於基板架(諸如襯托器)上之單個基板,其中使基板及基板架維持於所需加工溫度下。在典型的CVD製程中,相互反應性反應物蒸氣相互反應以在基板上形成薄膜,其中生長速率與溫度及反應物氣體之量有關。在一些變化的製程中,驅動沈積反應物之能量完全或部分由電漿供應。
在一些應用中,反應物氣體是以氣態形式儲存於反應物源容器中。在該等應用中,反應物通常在約1個大氣壓及室溫之標準壓力及溫度下呈氣態。該等氣體之實例包括氮氣、氧氣、氫氣及氨氣。然而,在一些情況下,使用在標準壓力及溫度下呈液體或固體之源化學物質(「前驅體」)蒸氣(例如氯化鉿、氧化鉿、二氧化鋯等)。對於一些固體物質(本文中稱為「固體源前驅體」)而言,在室溫下之蒸氣壓力如此之低,以至於通常將其在極低壓力下進行加熱及/或維持以產生足夠的量之反應物蒸氣以用於反應過程。在汽化後,經由加工系統使氣相反應物保持於汽化溫度下或超過汽化溫度以便防止在與氣相反應物傳遞至反應室相關聯之閥門、過濾器、管道及其他組件中不合需要之冷凝至關重要。來自該等天然固體或液體物質之氣相反應物可用於各種其他工業中之化學反應。
原子層沈積(ALD)是另一種用於在基板上形成薄膜之已知製程。在許多應用中,ALD使用如上文所描述之固體及/或液體源化學物質。ALD是一類蒸氣沈積,其中經由循環進行之自飽和反應來逐漸形成膜。膜之厚度由所進行之循環次數決定。在ALD 製程中,將氣態反應物交替地及/或重複地供應至基板或晶片上以在晶片上形成材料薄膜。一種反應物在自限性過程中吸附於晶片上。一種不同的隨後脈衝之反應物與所吸附之材料反應,從而形成所需材料之單分子層。經由所吸附之物質與適當選擇之試劑之間的相互反應可能會發生分解,諸如在配位體交換或吸氣反應中。在理論性ALD反應中,每一循環僅僅形成分子單層。經由重複的生長循環產生更厚的膜直至達到目標厚度。
在理論性ALD反應中,使相互反應性反應物在氣相中保持獨立的,其中在基板曝露於不同反應物之間介入移除過程。舉例而言,在時間分隔ALD製程中,向固定基板脈衝式地提供反應物,通常藉由淨化或抽氣期來間隔;在空間分隔ALD製程中,將基板移動以通過具有不同反應物之區域;且在一些製程中,可組合空間分隔ALD與時間分隔ALD兩者之態樣。熟練技術者應瞭解,經由選擇在正常ALD參數窗(parameter window)外之沈積條件及/或經由在曝露於基板期間允許相互反應性反應物之間一定量之重疊,一些變化的製程或混合製程允許一定量之類似CVD的反應。
反應物源容器通常配備有自入口及出口延伸之氣體管線、管線上之隔離閥及閥門上之配件,該等配件經組態以連接於其餘基板加工裝置之氣體流動管線。通常需要提供用於加熱反應物源容器與反應室之間的各種閥門及氣體流動管線之許多額外的加熱器,以防止反應物蒸氣冷凝及沈積於該等組件上。因此,源容器與反應室之間的氣體輸送組件有時被稱為「熱區域」,在其中使溫度 維持超過反應物之汽化/冷凝溫度。
圖1A說明固體源化學物質汽化器(SSCV)容器之一些實施例之示意圖。固體源前驅體是一種在標準條件(亦即,室溫及大氣壓)下呈固體之源化學物質。在一些實施例中,容器104可包括外殼底座480、外殼蓋113、第一托盤108及第二托盤112。容器104可包括一或多個托盤,且如本文中所描述,圖1A不應視為限制容器104可被含有之托盤數目。在一些實施例中,蓋113適合於機械地連接於外殼底座480。此可使用一或多個連接裝置(例如螺栓、螺釘等)來達成。在某些實施例中,蓋113及外殼底座480是以氣密性方式機械地連接。
在某些組態中,托盤108、112適合於容納固體源化學物質且允許氣體在其上方流動。在一些實施例中,第二托盤112是安置於容器內且垂直相鄰於第一托盤108。在某些組態中,垂直相鄰包括實體接觸。在一些實施例中,如本文中進一步所詳述,垂直相鄰包括以密封流體的方式以使得一個托盤中之蒸氣不會直接與另一個托盤中的流通。在一些實施例中,第二托盤112位於第一托盤108上方。在一些實施例中,第二托盤112位於第一托盤108下方。在某些實施例中,托盤108、112各自界定適合於容納用於蒸氣沈積反應的固體源化學物質之蛇形路徑。
圖1B示意性地展示多腔室沈積模組198可如何包括容器104及兩個或多個沈積室312、316。在一些實施例中,沈積室312、316可使用與其相應的控制器313、317來控制。在一些實施 例中,如本文中更詳細地描述,控制器313、317經組態以進行ALD。在一些實施例中,控制器313、317包括經程式化以進行ALD之處理器及記憶體。雖然展示成獨立地與沈積室相關聯,但熟練技術者應瞭解,單個控制器或多個控制器可控制兩個腔室、沈積模組198中之任何加熱器、用於壓力控制之泵及/或泵之閥門、用於基板處理之機器人控制及用於控制蒸氣流動之閥門(包括載體流動至固體源容器104及蒸氣自固體源容器104流出)之操作。模組198可不止包括兩個沈積室312、316,且如本文中所描述,圖1B不應視為限制模組198可含有之沈積室108、112之數目。如本文中更詳細地描述,在所說明之實施例中,沈積室312、316與容器流體連通。
所說明之SSCV容器104及多腔室沈積模組198尤其適合於傳遞氣相反應物以用於多個氣相反應室中。該等氣相反應物可用於沈積(例如CVD)或原子層沈積(ALD)。在一些實施例中,包括控制處理器及儲存於電腦可讀介質上之程式以使得本文中所揭示之實施例經組態以進行ALD。在某些實施例中,包括控制處理器及儲存於電腦可讀介質上之程式以使得本文中所揭示之實施例經組態以進行CVD。
在一些實施例中,如圖2A中所示,容器104之托盤108、112之流體組態200使得在容器104內的托盤形成彼此分開之流動路徑之一部分,其例如並不直接彼此流體連通。載氣之入口流在位於氣體進入點130與入口閥116、120之間的分離點124處分 流。載氣在入口152、156處流入容器104中可藉由打開及/或關閉入口閥116、120來控制。流體流動路徑自容器入口152、156持續至其相應的托盤入口162、166。在一些實施例中,容器入口152、156及托盤入口162、166可重合。托盤108、112中之每一者皆可界定蛇形反應物床及在其上方之流動路徑,如將自以下圖4-圖12之描述更好地理解。
如圖2A中所示,第一托盤108及第二托盤112之流動路徑在容器104內並不流體連通。在所說明之組態中,托盤108、112具有各自之托盤出口172、176,其與相應的容器出口182、186流體連通。在某些組態中,托盤出口172、176可與容器出口182、186重合。通過托盤108、112之流體可在出口點140處離開所示之流體組態,其可通向其他流動控制裝置(例如閥門)及沈積室。來自容器104之流出物包括載氣及在托盤108、112內汽化之反應物氣體。在一些實施例中,來自兩個托盤之流出物可在所說明之出口點140之下游合併。
較佳地使用無活性氣體或惰性氣體作為用於汽化前驅體之載氣。可經由進入點130將惰性氣體(例如氮氣、氬氣、氦氣等)饋送至SSCV容器104中。在一些實施例中,不同的惰性氣體可用於本文中所描述之各種製程及各種系統中。
應瞭解可包括未圖示之額外的閥門及/或其他流體性控制元件。舉例而言,如將自關於以下圖4-圖12所描述之實施例之描述所理解的,除了入口閥以外,托盤108、112中之每一者皆可具 備獨立的出口閥。
圖2B說明另一個實施例,其中托盤108、112可平行配置。圖2B與圖2A之不同之處在於,所述流體可在SSCV容器104內在分離點124處分流且在合併點260處合併。在所說明之實施例中,分離點124位於入口閥204及容器入口256之下游,而合併點260位於容器出口286及出口閥208之上游。在組合圖2A及圖2B之特點之其他配置中,分離點124及合併點260中之一者可位於容器104內,而另一者位於容器104外。
如熟練技術者所瞭解,經由如圖2A及圖2B中所示之托盤108、112之平行流動配置使得高濃度劑量能夠傳遞至沈積室而不佔據多個蒸氣源將需要之體積或空間量。如下文更詳細地描述,每一托盤皆可在固體反應物上方包括延長路徑,其尤其為蛇形路徑,以使得載氣能夠與高表面積之固體反應物接觸。
應瞭解可包括未圖示之額外的閥門及/或其他流體性元件。舉例而言,可在分離點162處提供三通切換閥,其可使流體交替通過第一托盤108及第二托盤112。該等組態亦可允許第一托盤108持續汽化及收集固體源化學物質床上方之蒸氣而當載氣流過並帶走第二托盤112中之反應物蒸氣時並不將其移除,且反之亦然。另外,可在SSCV容器104之下游提供切換閥以使流體自容器104交替流動至兩個或多個反應器(例如沈積室)。該等額外的切換閥可應用於圖2A及圖2B之平行配置中之任一者。
在一些實施例中,如圖2C中所示,托盤108、112可串 聯配置。在該流體組態200中,托盤108、112可自向共用入口閥204饋料之共用進入點130接收氣體。載氣可在容器入口256處進入容器。在某些實施例中,氣體通過第一托盤入口162,隨後進入第一托盤108。在通過可包括蛇形反應物床及流動路徑之第一托盤108之後,氣體可在第一托盤出口152處離開第一托盤108,隨後在第二托盤入口166處進入第二托盤112,其亦可包括蛇形反應物床及流動路徑。應理解圖2C為示意圖且兩個托盤108、112在SSCV容器104內可彼此具有各種實體關係。在圖4-圖12中所示之實施例中,低輪廓托盤在單個外殼內垂直堆疊,且在該等實施例中,第一托盤108可表示上托盤或下托盤。
如圖所示,在一些實施例中,氣體可經由第二托盤出口176離開第二托盤112。氣體可自容器出口286通過出口閥208到達出口點140。在一些實施例中,出口閥208可用於調節通到出口點140之流體流及/或通過容器出口286之氣體流。
應瞭解可包括未圖示之額外的閥門及/或其他流體性元件。舉例而言,容器入口256、托盤入口162、166、托盤出口172、176及容器出口286中之一或多者可配備有閥門,該等閥門經組態以調節通過其之氣體流。在某些組態中可包括未圖示之額外的閥門及其他流體性元件。
圖2D說明例示性ALD製程2100。在步驟2110,一些實施例可包括應用於基板表面之預處理過程。預處理可包括一或多種過程。在預處理中,可使上面待沈積第一反應物(例如其包括金 屬)之基板表面曝露於一或多種預處理反應物及/或特別條件,諸如溫度或壓力。使用預處理可出於多種原因,包括用於清潔基板表面、移除雜質、移除天然氧化物及提供所需之表面終止狀態以促進後續沈積反應或吸附。在一些實施例中,預處理包括使基板表面曝露於一或多種預處理反應物,諸如氧化源及/或清潔反應物,諸如H2O、O3、HCl、HBr、Cl2、HF、電漿產品等。在一些實施例中,預處理過程包括基板一次或多次曝露於合適之化學物質,該等曝露之範圍為約0.05s至約600s,較佳為約0.1s至約60s。在一些實施例中,在預處理過程期間之壓力維持於約0.01托與約100托之間,較佳為約0.1托至約10托。在一些實施例中,依序或同時使用多種預處理反應物。在一些實施例中,預處理可涉及一或多種預處理反應物之多種應用。
預處理過程可利用呈蒸氣形式及或呈液體形式之預處理反應物。預處理過程可在與後續ALD製程相同之溫度及/或壓力下進行;然而,此亦可在不同的溫度及/或壓力下進行。舉例而言,當不在原處的預處理涉及將基板浸沒於水性溶液中時,可能需要允許預處理在比ALD製程高之壓力下進行,該ALD製程可能會在可不合意地蒸發預處理反應物之相對低的壓力下進行。
再次參看圖2D,在步驟2120,使基板與第一反應物接觸。當反應物將元素留在沈積之膜中時,反應物亦可被稱作前驅體。在使用固定基板之一些實施例(時間分隔ALD)中,將第一反應物以氣相脈衝之形式導入反應室中且與基板表面接觸。當第一反應物 為待吸附之前驅體時,可選擇條件以使得僅僅約一個單層之前驅體以自限性方式吸附於基板表面上。以氣態形式供應第一前驅體脈衝。若物質在加工條件下展現足夠的蒸氣壓力以在足夠的濃度下將物質運輸至工作件以使所曝露之表面飽和,則出於本說明書之目的,第一前驅體氣體被視為「揮發性的」。
在一些實施例中,第一前驅體接觸基板,歷時約0.01秒至約60秒、約0.02秒至約30秒、約0.025秒至約20秒、約0.05秒至約5.0秒、約0.05秒至約2.0秒或約0.1秒至約1.0秒。如熟練技術者所瞭解,確保表面飽和之曝露時間將視反應器體積、基板大小、載氣中之前驅體濃度及加工條件而定。
用於ALD型製程之第一前驅體在標準條件(室溫及大氣壓)下可為固體、液體或氣態材料,但其限制條件為第一前驅體呈氣相,隨後將其導入反應室中並與基板表面接觸。在一些實施例中,第一前驅體可包括金屬且在標準條件下可為固體源材料,諸如在本文中所描述之SSCV容器104中呈粉末形式。
在步驟2130,例如藉由供應諸如氮氣或氬氣之惰性氣體將過量第一反應物及反應副產物(若存在)自基板表面移除。例如藉由用真空泵抽空腔室及/或藉由用諸如氬氣或氮氣之惰性氣體替換反應器內之氣體將氣相前驅體及/或氣相副產物自基板表面移除。典型的移除時間為約0.05至20秒,更佳為介於約1與10秒之間,且更佳為介於約1與2秒之間。然而,必要時可利用其他移除時間,諸如當需要將層沈積於極高縱橫比之結構或具有複雜表面形 態之其他結構上時。適當之移除時間可容易由熟練技術者基於具體情況來確定。
在其他實施例中,移除過量第一反應物及反應副產物(若存在)可包括移動基板以使得第一反應物不再接觸基板。在一些實施例中,無法自腔室之各部分移除反應物。在一些實施例中,將基板自腔室中含有第一前驅體之一部分移至腔室中含有第二反應物或根本無反應物之另一部分。在一些實施例中,將基板自第一反應室移至不同的第二反應室。在該等實施例中,可將基板移動通過例如惰性氣體之區域或帷幕以輔助移除,類似於淨化用於固定基板之腔室。
在步驟2140,使基板與第二反應物(例如前驅體)接觸。在一些實施例中,第二反應物包括氧(例如水蒸氣、臭氧等)。
在一些實施例中,第二前驅體接觸基板,歷時約0.01秒至約60秒、約0.02秒至約30秒、約0.025秒至約20秒、約0.05秒至約5.0秒、約0.05秒至約2.0秒或約0.1秒至約1.0秒。然而,視反應器類型、基板類型及其表面積而定,第二前驅體接觸時間可甚至超過10秒。在一些實施例中,特別是就高體積分批反應器而言,接觸時間可為數分鐘。最佳接觸時間可容易由熟練技術者基於具體情況來確定。
反應室中第二前驅體之濃度可為約0.01體積%至約99.0體積%。且對於典型的單個基板反應器而言,第二前驅體可在介於約1標準cm3/min與約4000標準cm3/min之間的速率下流過反應 室。熟練技術者應瞭解在以上範圍外之反應條件可適合於某些類型之反應器。
在步驟2150,如上文描述的步驟2130,將過量第二反應物及表面反應之氣態副產物(若存在)自基板表面移除。在一些實施例中,較佳地藉助於惰性氣體移除過量反應物及反應副產物。在步驟2160可視情況重複接觸及進行移除步驟直至所需厚度之薄膜已形成於基板上,其中在純ALD製程中的每一循環僅僅留下一個分子單層。然而,熟練技術者應瞭解,在一些實施例中,可藉由將限制條件修改至理論性ALD條件外來達成不止一個單層。舉例而言,可允許相互反應性反應物之間的一些量之重疊以導致部分或混合CVD型反應。在一些情況下,可能需要經由選擇超過正常ALD窗之溫度,藉由用其他方式(例如電漿產品)注入能量來達成各種前驅體中之至少一者之至少部分分解;或第一反應物之多個單層之冷凝可藉由對於彼等反應物選擇低於正常ALD窗之溫度來達成。
可能存在對製程之各種其他修改或增加。舉例而言,多個複雜之循環可包括用於額外的前驅體或其他類型之反應物(例如還原劑、氧化劑、吸氣劑、電漿或熱處理等)之相。可在所選之相對頻率下使用不同的循環以調整所需膜之組成。舉例而言,氮氧化矽可包括每1個氮化矽循環為5個氧化矽循環或任何其他所需之循環比率,此視所需之氮氣含量而定,且若在層組成中需要分級,則比率可在沈積期間進行改變。另外,因為製程為循環的,所以「第一」反應物可能為第二個供應的,而不會本質上改變製程。
參看圖3,在一些實施例中,SSCV容器104可流體連接於一或多個沈積室312、316。在一些實施例中,沈積室312、316可使用相應控制器313、317來控制。在一些實施例中,控制器313、317與個別沈積室相關聯(如圖所示)。在一些實施例中,用於控制沈積室312、316之電子設備及/或電腦元件可存在於系統中之別處。舉例而言,中央控制器可控制腔室312、316自身之兩個裝置以及控制連接於SSCV容器104之閥門及與SSCV容器104相關聯之加熱器。一或多個閥門可用於控制整個多腔室沈積模組300中之氣體流動。如圖3中所示,氣體可自進入點330流入一或多個入口閥116、120。
在一些情況下,通常當前驅體源容器裝填或再裝載前驅體粉末時在容器中向前驅體源容器供應壓頭壓力之惰性氣體(例如氦氣)以在移動容器時使干擾減至最小。在操作之前,需要排出此過大的壓力,但在該排氣期間,固體前驅體粒子可變得霧化且夾帶於惰性氣體流出物中。此會污染氣體傳遞系統,因為該氣體通常經由容器之出口隔離閥、反應物氣體傳遞系統及最終為反應器之排氣裝置/洗滌器排出。稍後,在基板加工期間,前驅體傳遞路徑及排氣路徑所共用之氣體面板之受污染部分會在ALD期間引起基板上之加工缺陷。在某些實施例中,獨立的排氣閥320可用於流體連接於托盤108、112兩者。在一些情況下,排氣閥可用於釋放來自托盤108、112中之一或多者之壓力。為達成此目的,舉例而言,在一些實施例中,可關閉入口閥116、120及出口閥304、308 以促進氣體流過排氣閥320。氣體流可在出口點341處離開系統。出口點341可釋放氣體作為廢棄物。
繼續參看圖3,在一些實施例中,模組300可經組態以允許氣體流過第一入口閥116進入容器入口152。類似地,模組300可經組態以促進氣體流過第二入口閥120及流過第二容器入口156。氣體可自容器入口152、156經由相應的托盤入口162、166進入相應的托盤108、112。
如圖3中所示,在一些實施例中,氣體可經由相應的托盤出口172、176及經由相應的容器出口162、166自托盤108、112流出。在一些實施例中,托盤108、112可在一或多個連接點324、328處流體連通。在一些實施例中,連接點324、328中之一或多者可包括可促進氣體通過適當之氣體管線之一或多個閥門(未圖示)。舉例而言,可關閉在第一連接點324處之閥門以促進氣體流通過在第二連接點328處打開之閥門。
在一些變化的實施例中,模組300可經組態以允許氣體流過第一出口閥304。在一些實施例中,氣體可持續流至出口點340。出口點340可例如通向獨立的沈積室模組或用於分析氣體。該分析可包括監測飽和程度、化學物質之比率或氣體中之雜質含量。
在一些實施例中,系統300可經組態以允許氣體流過第二出口閥308,到達腔室分離點332。在一些實施例中,腔室分離點332可包括一或多個閥門以使得可控制氣體流入或多個沈積室 312、316。舉例而言,在一些組態中,在腔室分離點332處之三通閥門可經組態以使得氣體交替地或同時流動至沈積室312、316。
應瞭解可包括未圖示之額外的閥門及/或其他流體性元件。舉例而言,容器入口152、156、托盤入口162、166、托盤出口172、176及容器出口162、166中之一或多者可配備有閥門,該等閥門經組態以調節通過其之氣體流。在某些組態中,可包括未圖示之額外的閥門及其他流體性元件。
圖4說明SSCV容器104之一些實施例之分解圖。在一些實施例中,容器104可包括一或多個閥門420、424、428、432、436。某些組態允許比所示更多或更少數目之閥門。在一些實施例中,閥門能可拆卸地連接於容器104。如所說明的,第一托盤108及第二托盤112可安置或包括於外殼底座480內。如圖所示,第一托盤108可垂直相鄰於第二托盤112。在一些實施例中,外殼蓋113可機械地連接於外殼底座480。在一些實施例中,該連接可使用一或多個連接裝置(例如螺釘、螺栓等)來達成。除了如本文中所描述,在一些實施例中,外殼蓋113及外殼底座480經以流體密封的方式設置以使得氣體基本上無法進入及/或逸出容器104。
在一些組態中,外殼蓋113可包括一或多個入口閥420、424、一或多個出口閥432、436及/或排氣閥428。在一些實施例中,此等閥門可連接於外殼蓋113,但可與外殼蓋113分開。在一些實施例中,閥門能可拆卸地連接於外殼蓋113。
在一些實施例中,托盤108、112中之一或多者可包括金 屬,尤其為不鏽鋼或鋁。類似地,在一些實施例中,外殼蓋113及/或外殼底座480中之一或多者可包括金屬。在一些實施例中,托盤108、112、外殼蓋113及/或外殼底座480可各自為整體金屬件。
圖5展示在某些實施例中外殼蓋113及外殼底座480可如何經組裝形成容器104。在一些實施例中,外殼蓋113及外殼底座480之組合件之高度可在約30mm-750mm的範圍內。在一些實施例中,外殼蓋113及外殼底座480之組合件之高度可在約50mm-100mm的範圍內,且在所說明之實施例中為約76mm(約3吋)。在一些實施例中,容器104之長度可在約100mm-635mm的範圍內。在一些實施例中,容器104之長度可在約200mm-400mm的範圍內,且在所說明之實施例中為約305mm(約12吋)。在一些實施例中,容器104之寬度可在約100mm-525mm的範圍內。在一些實施例中,容器104之寬度可在約180mm-360mm的範圍內,且在所說明之實施例中為約254mm(約10吋)。在一些實施例中,容器104可具有在約1-3.5的範圍內之長度:寬度縱橫比。在一些實施例中,容器佔有近似圓角矩形稜柱體之形狀。在一些實施例中,在本文中所描述之各種實施例中容器之質量可在約25kg-110kg的範圍內變化。在一些實施例中,容器之質量可在約35kg-65kg的範圍內。具有較低質量的容器及/或托盤允許較容易之運輸,但具有較高質量的容器及/或托盤可促進較均勻之溫度分佈及飛輪熱效應以緩和波動。
圖6A說明在各種實施例中各種入口凹槽及其他流體性 結構之俯視圖。在一些實施例中,可將一或多個入口凹槽626、634、642、650、658銑削至外殼底座480中。在某些組態中,入口凹槽626、634、642、650、658可適合於接收與圖5中所示之相應閥門420、424、428、432、436相關聯之過濾器,如本文中所描述,該等閥門可機械地連接於外殼底座480。可將一或多個容器入口622、630、容器出口646、654及/或容器排氣孔638銑削至容器外殼480中。在一些組態中,容器排氣孔638可經組態以與排氣閥428(圖5)流體連通。在一些實施例中,一或多個托盤108、112可包括一或多個托盤入口602、606、一或多個托盤出口614、618及/或一或多個托盤排氣通道610。
在一些組態中,托盤108、112中之每一者皆可經組態以包括獨立的托盤排氣通道610。在一些組態中,一或多個托盤排氣通道610可經組態以允許氣體流入及/或流出相應托盤108、112。在某些實施例中,一或多個托盤排氣通道610中之每一者皆可與容器排氣孔638流體連通,而其可與排氣閥428(圖5)流體連通。在一些實施例中,托盤入口602、606可經組態以與相應容器入口622、630流體連通。類似地,托盤出口614、618可經組態以與相應容器出口646、654流體連通。
圖6B說明移去蓋之SSCV容器104。如圖所示,入口凹槽626、634、642、650、658可如上文所描述進行組態。容器104可包括一或多個托盤,但在圖6B中僅可見上托盤108。在一些實施例中,一或多個托盤可各自界定相應蛇形路徑674。每一蛇形路 徑674皆可適合於容納固體源化學物質且允許氣體在其上方流動。在一些組態中,可將每一蛇形路徑674銑削及/或機械加工至托盤108、112中(圖4)或托盤可經模製成具有蛇形路徑674。在一些實施例中,可自固體(例如鑄造)金屬塊銑削出蛇形路徑674。
在一些實施例中,蛇形路徑674可與相應托盤入口602、606、相應托盤出口614、618及/或相應托盤排氣通道610流體連通。如參看圖5及圖6A所論述的,每一蛇形路徑674皆可與相應入口閥420、424、相應出口閥432、436及/或排氣閥428流體連通。用於將蛇形路徑674與一或多個閥門連接之流體組態可如本文中所描述。
應瞭解較長路徑長度可增加固體源化學物質之氣體曝露之表面積。每一托盤108、112之蛇形路徑674皆可具有在約2000mm-8000mm的範圍內之長度。在一些實施例中,蛇形路徑674可具有在約3000mm-5000mm的範圍內之長度,且在所說明之實施例中為約3973mm(156.4吋)。在所說明之實施例中,計算兩個托盤108、112之總路徑長度可由此在約6000mm-10000mm的範圍內或為約7946mm。
如熟練技術者所瞭解,減少多個蒸氣源將需要之體積或空間量可能為有利的。緊湊之容器組合件可減少該空間量。在某些實施例中,每一托盤108、112皆可具有介於約25mm-50mm之間的高度。在某些組態中,每一托盤108、112皆可具有介於約15mm-30mm之間的高度。在一些實施例中,每一托盤108、112皆 可具有介於約40mm-80mm之間的高度。在一些實施例中,托盤堆疊可具有介於約50mm-100mm之間的組合高度。在一些實施例中,托盤堆疊可具有介於約35mm-60mm之間的組合高度。在一些實施例中,托盤堆疊可具有介於約85mm-150mm之間的組合高度。
在SSCV容器中容納大質量及/或體積之固體源化學物質之能力會增加再裝載處理之間所需之時間。然而,此可在相同量之時間內允許較大質量之所昇華之固體源化學物質。因此,在一些實施例中,蛇形路徑674可適合於容納範圍為約750g-2000g之用於氣相沈積之典型固體源化學物質,其尤其為無機固體源金屬或半導體前驅體,諸如HfCl4、ZrCl4、AlCl3或SiI4。在一些實施例中,蛇形路徑674可各自適合於容納範圍為約500g-1200g之固體源化學物質。在一些實施例中,SSCV容器105之兩個蛇形路徑674可共同適合於容納介於約1500g-2000g之間的固體源化學物質。較長路徑長度及/或托盤可容納之較大質量之固體源化學物質可在相同量之時間內使較大量之前驅體通向沈積室。在一些情況下,較長路徑長度及/或較大質量之固體源化學物質可增加可在相同量之時間內達成之飽和量。在一些實施例中,兩次連續蒸氣過程之間的所耗時間(例如脈衝/淨化時間長度)可介於約100ms-3s之間。在一些實施例中,所耗時間可介於約30ms-1.5s之間。
容器之大小可與固體源化學物質之量有關。舉例而言,容器所包圍之體積(以mm3計)與其可容納之固體源化學物質之質量 (以g計)的比率可在約2000-5000的範圍內。在某些組態中,所有托盤之總路徑長度(以mm計)與其可容納之固體源化學物質之總量之質量(以g計)的比率可在約1-10的範圍內。在一些實施例中,容器所包圍之體積(以mm3計)與所有托盤之總路徑長度(以mm計)之比率可在約400-1200的範圍內。此等範圍部分將視對容器、所用材料及空間限制所加之既有限制而定。
圖7A說明在某些組態中第一托盤108之橫截面側視圖,第一托盤108可類似於第二托盤112。托盤108之蛇形路徑674可具有凹槽高度704及凹槽寬度708。在一些實施例中,凹槽高度704可介於約10mm-50mm之間。在一些實施例中,凹槽高度704可介於約20mm-40mm之間。在一些實施例中,凹槽寬度708可介於約3.0mm-20mm之間。在一些實施例中,凹槽寬度708可介於約5mm-8mm之間。在一些實施例中,凹槽高度704及凹槽寬度708可界定為3-7之高度:寬度縱橫比。在一些實施例中,凹槽高度704及凹槽寬度708可界定介於為約4.0-5.5之間的高度:寬度縱橫比。在所說明之實施例中,凹槽高度為約30mm,寬度為約6.35mm,且高度:寬度之比率為約4.7。舉例而言,最初裝填時約2/3之高度(例如約19mm至22mm)可裝填固體前驅體,且超過彼裝填高度(例如約8mm至11mm)之淨空可保留為頂部空間以促進收集固體前驅體上方之反應物蒸氣,且允許載氣流動以接取該蒸氣。
獲得增加之反應物與載氣之混合可能為有利的。在一些 實施例中,此是藉由增加載氣在流動路徑內之湍流來達成的。舉例而言,一些實施例包括在一或多個流動路徑內之與平整氣體流動路徑相比形成更多湍流之結構特點,且因此促進流動載氣與由在流動路徑674之下部之固體反應物床汽化而所形成之反應物蒸氣混合。在某些組態中,結構可為自界定蛇形路徑674之凹槽之垂直側壁水平延伸之突出物,尤其為在為惰性氣體流所保留之高度之上約1/3處,而此時下2/3裝填前驅體。凹槽高度之中間1/3亦可包括水平突出物以用於固體前驅體床部分耗盡時額外的湍流。凹槽之下1/3亦可包括水平突出物以用於固體前驅體床幾乎耗盡但仍在運作時較好的混合。突出物可包括將載氣流向下及/或向上引導以促進相對於平整壁增加湍流之特點。該等突出物可適合於增加旋渦,例如水平狹縫陣列、孔洞陣列及/或捲筒渦胞(roll cell)。突出物可經水平配置或垂直配置。在一些組態中,載氣流速與用於增加湍流之結構組態之組合可經調整以增加載氣與反應物蒸氣之混合而不會過度攪拌會堵塞過濾器之未蒸發之反應物(例,粉末)。在一些實施例中,載氣流速可在約500sccm至10slm,較佳地約1slm至3slm的範圍內。上文所描述之流動路徑內之任何特點的大小可視載氣流速而定。
圖7B-圖7Z-3說明如上文所描述之可有助於誘導湍流之各種突出物結構。如所指出的,突出物可自流動路徑之側壁水平突出或自流動路徑之底面垂直突出。熟練技術者應瞭解,圖7B-圖7Z-3之結構可有利地促進更一致之汽化速率及由此更一致之飽和程 度以用於載氣離開托盤,無論其是用於單個托盤汽化器抑或具有多個堆疊之托盤之汽化器。儘管圖7B-圖7Z-3之如下描述集中於用於誘導湍流之突出物,但熟練技術者應瞭解托盤108'之其他態樣可如本文中關於托盤108、112所描述。汽化器底座480及蓋113亦可如本文中別處所描述,但如所指出的可經調整用於安置單個托盤108'或多個堆疊之托盤。
圖7B展示托盤108'之俯視等軸視圖,該圖展示沿著蛇形路徑674之穿孔壁722。湍流誘導突出物(例如整體壁、垂直或水平柱狀突出物、壁插入物)可與蛇形路徑674整體形成或安裝至蛇形路徑674中。如圖7B中所示,穿孔壁722可與分隔蛇形路徑674之腿部之壁733一起整體形成,共同形成網狀壁。舉例而言,壁733及穿孔壁722可藉由在金屬塊中銑削、模製或鑄造蛇形路徑圖案來同時形成。穿孔壁722具備穿孔以引導氣體流通過穿孔。舉例而言,可將狹槽圖案726銑削至整體壁722中。狹槽圖案726提供載氣可移動通過蛇形路徑674之路徑。狹槽圖案726引導載氣沿著蛇形路徑流動且可經組態以增加氣體之湍流流動及/或增加反應物與載氣混合之量。
如圖7B中所示,沿著蛇形路徑之直道部分727形成穿孔壁722。在一些實施例中,將穿孔壁722銑削至路徑彎道729及/或路徑末端725中。減少在一或多個路徑末端725附近(例如在托盤出口之末端附近)之氣體湍流流動可能為有利的。舉例而言,可在一或多個路徑末端725附近結合未形成或安裝突出物之沈降距 離。提供沈降距離可有助於減少會堵塞過濾器之所夾帶之未蒸發之反應物(例如粉末)的量。沈降距離之長度(例如沿著蛇形路徑離托盤入口及/或托盤出口之距離)可在約10mm至400mm,較佳地約50mm至300mm,且更佳地約100mm至200mm之範圍內。此可能會減少出口附近之未蒸發之反應物(例如未混合之粉末)的量並由此減少過濾器之堵塞。
穿孔壁722可沿著路徑674以規則或不規則間隔安置。圖7B展示在托盤之整個蛇形路徑中規則間隔之三十六個穿孔壁722。托盤可包括多達兩百個穿孔壁或更多或少到單個壁。托盤可包括介於約10與140個壁之間,較佳地介於約15與90個壁之間,且更佳地介於約25與60個壁之間。當確定包括多少壁時,可考慮流動湍流、流動速度及路徑長度之間的平衡。舉例而言,更多的壁可增加湍流量,但可能會降低流動速度及/或特點為較短/較長路徑長度以使反應物最大限度地蒸發至載氣中。在一些實施例中,兩個連續壁之間的距離可介於約2mm與4000mm之間,更尤其介於約20mm與400mm之間,且在一些實施例中介於約50mm與250mm之間。亦可能存在其他變形。
圖7C為具有特定狹槽圖案726之穿孔722之側視圖的示意圖。狹槽圖案726可包括一或多個通道部分734(例如孔洞)。通道部分734可包括孔洞及/或狹縫陣列。如圖7C中所示,相對於托盤108'之底座傾斜將通道部分734銑削至壁722中。舉例而言,通道部分可為以與水平面成45度鑽出之孔洞。在一些實施例中, 平行於托盤108'之底座及/或相對於托盤108'之底座下傾來銑削通道部分734。是否相對於托盤108'之底座傾斜、下傾及/或平行來銑削通道部分734可視所需之載氣之方向及/或湍流之方向及/或程度而定。通道部分可在蛇形路徑中之突出物位置沿著蛇形路徑相對於流動之y方向垂直傾斜/下傾以誘導氣體流動之z分量,由此誘導湍流。一些通道部分可在突出物之位置沿著蛇形路徑相對於氣體流動之方向y在水平上成角度(例如誘導氣體流動之x分量)。在一些實施例中,在連續穿孔壁722中的通道部分734之相對方向交替及/或變化,由此沿著蛇形路徑在穿孔壁722之間形成不同的氣體流動模式。穿孔壁722可包括關於氣體流動軸傾斜之一些通道部分734及在穿孔壁722內下傾之一些通道部分734。較佳地,載氣所通過之通道部分具有等於或大於托盤之入口及/或出口之寬度(例如不小於約4.5mm的直徑)以使得穿孔壁不會充當總體氣體流動之限制因素。
在一些實施例中,可在氣體流動中藉由將特定狹槽圖案726安裝至穿孔壁722中來達成垂直捲筒渦胞739。較佳地,如圖7C中所示,至少一些捲筒渦胞形成於y-z平面中,其中y軸界定氣體沿著蛇形路徑674之流動且z軸為垂直軸。根據某些狹槽圖案726,捲筒渦胞亦可包括橫向(x)分量(參見圖7D圖-7Y-2)。
如上文所指出,湍流誘導元件之至少一部分延伸至最高所需之反應物高度之上方,諸如為惰性氣體流保留之高度之上部(約1/3),而此時下部(以下的2/3)裝填待汽化之反應物。在圖7C 之實施例中,可有利地維持靠近穿孔壁722頂部之未銑削之垂直部分738以進一步誘導載氣流動。在載氣流動中所誘導之湍流增加氣體將與反應物相互作用之可能性,促進汽化且夾帶所蒸發之反應物。未銑削之垂直部分738之長度可介於約1mm與18mm之間,較佳地介於約3mm與15mm之間,且更佳地介於約4mm與10mm之間。在一些實施例中,未銑削之垂直部分738具有約6mm之長度。在一些情況下(未圖示),未銑削之垂直部分738之頂部並不與周圍之路徑壁齊平(例如穿孔壁722之頂部已稍微經銑削一些)。此可允許氣體流經穿孔壁722並促進湍流,且亦為蓋(或在一些情況下為疊加在上面之托盤)提供空隙。
穿孔壁722之厚度742(在y方向上)可影響狹槽圖案726對於在蛇形路徑674內形成湍流流動之影響力有多大。舉例而言,較小之厚度742可允許載氣較容易地通過壁,從而較少地干擾流動。穿孔壁722之厚度742可介於約0.5mm與25mm之間,較佳地介於約2mm與20mm之間,且更佳地介於約5mm與15mm之間。最初可將反應物裝填至初始高度730,諸如通道高度之約2/3(例如對於具有30mm高度之通道為20mm)。如圖7C之實施例中所示,反應物(例如粉末)之初始高度730略低於第三個通道部分734(當自底部計數時)。載氣最初將僅流過超過初始高度730(例如第三個通道部分734)之通道部分。當反應物蒸發並與載氣混合時,反應物之高度將下降並露出載氣可流過之額外的通道部分。以此方式,可在整個載氣流動中維持湍流流動及增加之反應物混合。 此可有助於維持反應物隨時間稍微恆定之混合。反應物之初始高度730可視需要更低或更高。然而,在任何階段可利用較佳地通過穿孔壁722(例如通過通道部分734)之至少一個開口,包括當反應物處於其最高高度時,載氣可流過該開口以使得氣體流動不受阻礙並產生湍流。
圖7D-7J說明可安裝於蛇形路徑674中以促進湍流並由此增加反應物與載氣之混合之一些示例柱狀突出物750。突出物750可為圓形(如所說明的)或可呈另一種形狀(例如矩形、圓錐形、不對稱、球形)。突出物可包括垂直或水平安裝之針腳、實心及/或空心管、圓筒篩、穿孔管、卷狀金屬片及/或箔。可置放突出物750以使得增加載氣通過蛇形路徑674湍流流動之量。在一些實施例中,使用支撐裝置770安裝突出物(例如利用摩擦配合、重力依賴、螺釘連接等,以使其保持在適當位置)。支撐裝置可為配入托盤108'中所形成之相應凹形接收部分中之凸形部件。舉例而言,可將狹槽或凹槽銑削至托盤108'中以允許支撐裝置770緊密地配入其中,從而防止突出物750位移。可沿著流動路徑在多個位置處形成狹槽,諸如在蛇形流動路徑674之側壁733或底面上。在一些設計中,支撐裝置及接收部分包括螺紋以使得突出物750可擰緊至托盤中。突出物750能可拆卸地插入或可燒結及/或焊接至托盤108'之底座上。突出物750之橫向厚度742可介於約0.5mm與25mm之間,較佳地介於約2mm與20mm之間,且更佳地介於約5mm與15mm之間。
通道部分734可在突出物中形成,載氣可流過該等通道部分。類似上文參考穿孔壁722所描述之通道部分,突出物中之通道部分734可呈現許多不同圖案中之一或多者。在圖7E-圖7I中僅說明不同種類之可利用圖案之小樣品。通道部分734可形成通過通道或可為空心箔柱狀物中之簡單開口,其中上游一側上之開口可在y方向上與下游一側之開口對齊或不對齊。在一些實施例中,諸如圖7J中所示,突出物750可包括螺旋756。螺旋756可將垂直(z)及橫向(x)分量引導至載氣流,從而促進湍流及額外的與反應物之混合。
在一些實施例中,可將壁插入物751安裝於流動路徑中。圖7K-圖7L說明用於安裝於流動路徑中之示例平坦壁插入物。在一些實施例中,平坦插入物751可與一或多個支撐裝置770一起安裝(如圖7L中所示),類似於圖7D-圖7H中所說明之柱狀突出物750之安裝(例如利用摩擦配合、重力依賴、螺釘連接等,以使其保持在蛇形路徑674中之適當位置)。可藉由將平坦插入物751垂直滑入位於分隔蛇形路徑674之腿部之壁733中之垂直狹槽(例如圖7Z-1)中來安裝平坦插入物751。調整垂直狹槽之大小以在壁插入物751之邊緣731處接收壁插入物751,該等邊緣可充當其支撐表面(如圖7K中所示)。垂直狹槽將壁插入物751固定在適當位置以防位移。因此,接收於狹槽中之壁插入物751通常寬於凹槽寬度。
壁插入物751可具有與凹槽高度相同(或幾乎相同)之高 度。對於具有約30mm之高度之凹槽而言,壁插入物751之高度可介於約0.5mm與30mm之間,較佳地介於約2mm與29mm之間,且更佳地介於約5mm與25mm之間。熟練技術者可容易地對於不同高度之凹槽確定適當高度。可在湍流誘導突出物(例如整合壁、水平或垂直柱狀突出物及/或插入物)之頂部附近提供無流動障礙之空間。此可有利地提供以平衡高氣體流傳導率與湍流。空間之長度可介於約1mm與18mm之間,較佳地介於約2mm與12mm之間,且更佳地介於約3mm與10mm之間。在一些實施例中,空間為約4mm高。
湍流誘導突出物(例如整合壁、柱狀突出物、壁插入物)可位於路徑直道部分727中而非路徑彎道中(參看圖7B)。路徑彎道729可已引導一些量之橫向湍流,且亦減緩載氣流動之速度。彎道可包括介於約10mm至80cm之間的路徑部分,自彎道起蛇形路徑開始突然彎曲及/或彎曲回到其本身。沿著直道之突出物之頻率可為沿著路徑彎道729之突出物之頻率的兩倍、三倍或更多。
圖7M-圖7Y-2說明可在任何湍流誘導元件(例如整合壁、柱狀突出物、壁插入物)中製造之示例狹槽圖案726。多個圖案726可應用於相同湍流誘導元件之不同部分。考慮到路徑長度,該(等)圖案可經選擇以打破流動湍流與氣體傳導率之間所需之平衡。鑒於本文中之教示,熟練技術者可容易地優化特點之設計以當反應物進行消耗且反應物床在蛇形流動路徑內高度下降時在托盤出口處達成隨時間一致之飽和程度。
圖7Z-1-圖7Z-3說明某些突出物可如何安裝於流動路徑674中之各種平面圖。圖7Z-1說明流動路徑674中包括兩個壁插入物751之一部分。壁插入物751配入相應狹槽764中。插入物751在其邊緣731處得到支撐以防止插入物751之無意位移。兩個連續突出物764之間的距離可視突出物之類型、流動路徑中突出物所在之部分(例如直道、彎道、末端)而變化。任何兩個連續突出物之間的距離可在整個流動路徑中變化。
圖7Z-2及圖7Z-3展示沿著流動路徑674之底部插入銑削至托盤中之接收部分中之突出物。如圖7Z-2中所示,柱狀突出物750配入在托盤中所形成之孔洞或凹槽775中。如圖所示,突出物750俯視來看具有圓形橫截面,但可能存在其他形狀(例如矩形、梯形、三角形、長橢圓形、不對稱)。圖7Z-3展示具有兩個支撐裝置770之壁插入物751,該等支撐裝置配入相應孔洞775中以有助於防止壁751之無意位移。壁751可包括不止兩個支撐裝置。不止一種類型之突出物可用於相同流動路徑中。
圖8說明示例容器104之橫截面側視圖,其中閥門802經組態以與第一托盤108流體連通。圖8之閥門802可表示用於與第一托盤108連通之入口閥或出口閥。過濾器804適合於防止固體顆粒物質流過。過濾器材料經組態以限制大於某一尺寸(例如約0.003μm)之粒子通過。材料可包括各種不同材料中之任一者,諸如鎳纖維介質、不鏽鋼、陶瓷(例如礬土)、石英或通常併入氣體或液體過濾器中之其他材料。
如圖8中所示,容器入口/出口808可與托盤入口812流體連通。因此,在某些實施例中,經由托盤入口812,容器入口/出口808可與第一托盤108流體連通。在某些組態中,如本文中關於圖4-圖6B所描述,托盤入口/出口812可對應於托盤入口602、606或托盤出口614、618中之一或多者。類似地,如本文中關於圖4-圖6B所描述,容器入口/出口808可對應於容器入口622、360或容器出口646、654中之一或多者。如本文中關於圖4-圖6B所描述,閥門802可表示入口閥420、424及出口閥432、436中之一或多者。
圖9說明示例容器104之橫截面側視圖,其中閥門902經組態以與第二托盤112流體連通。圖8之閥門802可表示用於與第二托盤112連通之入口閥或出口閥。過濾器904可類似於上文所描述的。如圖所示,容器入口/出口點908可與托盤入口/出口912流體連通。因此,在某些實施例中,經由托盤入口/出口912,容器入口/出口908可與第二托盤112流體連通。在某些組態中,如本文中關於圖4-圖6B所描述,托盤入口/出口912可對應於托盤入口602、606或托盤出口614、618中之任一者。類似地,如本文中關於圖4-6B所描述,容器入口/出口908可對應於容器入口622、360或容器出口646、654中之任一者。如本文中關於圖4-6B所描述,閥門902可表示入口閥420、424或出口閥432、436中之任一者。
圖10說明容器104之一些實施例之橫截面側視圖,其中 閥門1002經組態以與第一托盤108及第二托盤112兩者流體連通。在一個實施例中,圖10之閥門1002可表示用於排出惰性氣體過壓之排氣閥428(圖5),其與再裝載之容器一起提供以用於在最小干擾下移動固體前驅體。如圖所示,氣體可選擇性通過管道1034、閥門1002及管道1028。在閥門1002為排氣閥之實施例中,管道1028可直接或間接地通向排氣孔或真空泵。容器可經組態以允許氣體通過過濾器1004。如圖所示,容器入口/出口1008可與第一托盤入口/出口1012及第二托盤入口/出口1016流體連通。因此,在一些實施例中,經由第一托盤入口/出口1012及/或第二托盤入口/出口1016,容器入口/出口1008可與相應的第一托盤108及/或第二托盤112流體連通。在一些實施例中,如上文所論述,過濾器1004可具有過濾器804之一或多種性質。在某些組態中,如上文關於圖6A所描述的,托盤入口/出口1012、1016中之一或多者可對應於托盤排氣通道610。類似地,如上文關於圖6A所描述的,容器入口/出口1008可對應於容器排氣孔638中之一或多者。閥門1002可表示如上文所描述之排氣閥428。
圖11展示固體源化學物質汽化器(SSCV)容器可如何併入固體源組合件1350中之實例。固體源組合件1350可包括SSCV容器104,其可包括如上文所描述之外殼蓋113及外殼底座480。在一些實施例中,固體源組合件1350可包括一或多個加熱元件1102、1106、1110。在一些實施例中,該等加熱元件中之一或多者可充當第一容器加熱器1102且經設置成垂直相鄰於或垂直接近 SSCV容器104。在一些實施例中,第一容器加熱器1102經組態以藉由傳導來加熱容器104。在某些實施例中,第一容器加熱器1102是設置於SSCV容器104之外殼下方之加熱板。在某些實施例中,第二容器加熱器1110可設置於外殼蓋113上方。在一些實施例中,第二容器加熱器1110是設置於一或多個閥門420、424、428、432、436上方且經組態以輻射加熱固體源組合件1350中之一或多個閥門及SSCV容器104。在某些組態中,閥板加熱器1106可設置於閥板1112上方,該閥板支撐閥門以用於分配自SSCV容器104接收之蒸氣。在一些實施例中,一或多個熱饋通可包括在固體源組合件1350之壁中以向氣體提供加熱路徑來離開固體源組合件1350。固體源組合件1350之櫃體可為氣密性的以允許抽氣至低壓力,諸如介於約0.1托與20托之間,例如約5托,並由此促進高效之輻射加熱,而傳導或對流損失至櫃體內之氣氛中最少。
在一些實施例中,第一容器加熱器1102及第二容器加熱器1110適合於將容器外殼(蓋113及底座408)加熱至操作溫度。在一些實施例中,該操作溫度在約50℃-250℃的範圍內。當然,所選之操作溫度可視待汽化之化學物質而定。舉例而言,操作溫度對於HfCl4而言可為約160℃-240℃,尤其為約170℃-190℃;操作溫度對於ZrCl4而言為約170℃-250℃,尤其為約180℃-200℃;操作溫度對於Al2Cl3而言為約90℃-110℃;操作溫度對於SiI4而言為約90℃-120℃。熟練技術者將容易瞭解可對於其他源化學物質選擇其他溫度。在某些實施例中,閥板1112適合於加熱至在約 110℃-240℃的範圍內之溫度。在一些實施例中,一或多個沈積室312、316適合於加熱至對於HfO及ZrO沈積製程而言在約160℃-280℃的範圍內之溫度。與SSCV容器104之溫度相比,溫度在閥板1112及沈積室312、316(圖12)處可保持較高,從而將在沈積室312、316中在基板之上游冷凝之風險降至最低,但仍然保持低於分解溫度。
圖12展示多腔室沈積模組1200之一些實施例之圖。在一些實施例中,固體源組合件1350可安置SSCV容器(未圖示),如上文所論述的,其可在固體源組合件1350內進行加熱以使固體化學源汽化並將蒸氣反應物交替地或同時傳遞至沈積室312、316。圖12說明儘管固體源組合件1350併有相對大的空間量之SSCV容器(例如450mm橫向尺寸)但其如何適合雙腔室模組之空間量及垂直淨空,而且傳遞比先前容器高之汽化反應物質量流。
圖13說明示例多腔室沈積模組1300之流體流動示意圖,類似於圖12。惰性氣體(例如氮氣)流可在進入點1302處進入模組1300。在一些實施例中,可使用閥門1310a、1310b控制氣體流動。若將氣體引導通過閥門1310a,則其可通過下游壓力控制器1314a,該控制器可結合控制閥門調節壓力,從而通向真空泵1318。惰性氣體流可進入固體源組合件1350並進一步藉由閥門1336、1337控制。可控制氣體流以使得允許氣體流過閥門1336並進入固體源化學物質汽化器(SSCV)容器104。在一些實施例中,在外殼中氣體可流入入口閥420、424中之一或多者並進入一或多個相應托盤(未 圖示)。在流過固體反應物床上方之蛇形流動路徑並接取反應物蒸氣之後,載氣可經由一或多個出口閥432、436繼續流動至外殼外。一或多個閥門1338、1339、1334可控制途中之反應物蒸氣流動至沈積室312、316。額外的閥門1340、1342可控制自系統流動至排氣孔或真空。在一些實施例中,閥門1334可控制氣體是否繼續流過分離點332並進入一或多個沈積室312、316。在一些實施例中,分離點332可包括一或多個閥門以進一步控制氣體流入一或多個沈積室。可對於每一腔室使用相應的噴頭(未圖示)向沈積室312、316饋送氣體。如上文所解釋,多個閥門1336-1342可安裝於SSCV容器104上方之獨立加熱之閥板1112(圖11)上及固體源組合件1350內。
在一些情況下,可經由閥門1340、1342將氣體流導向排氣孔或真空。舉例而言,可建立該氣體流以在穩定流並將氣體流送至反應室之前進行排氣。在一些實施例中,真空泵1318可用於形成真空壓力以有助於驅動氣體流動。在一些實施例中,排氣閥428亦可經由閥門1342與排氣孔或真空流體連通;與外殼底座480中之一或多個托盤流體連通以使得可經由排氣閥428將氣體自其中移除。
在一些變化中,模組1300可經組態以允許氣體流過閥門1310b及下游壓力控制器1314b,其可調節固體源組合件1350內之壓力,當打開閥門1310通向真空泵1330時惰性氣體可排放至真空泵1330,例如當移去SSCV容器104以用於再裝載固體源化 學物質時。在某些實施例中,若當腔室回填至大氣壓時,例如用於維修或用於用再裝載之容器替換耗盡之SSCV容器104,內部壓力超過臨界壓力(例如1.5psig),則壓力釋放閥1326可用於釋放來自固體源腔室1350之壓力。在操作期間維持固體源組合件1350內之低壓(例如0.1托至20托,尤其為約5托)可促進輻射加熱,而傳導/對流損失至加熱組件周圍之空氣或氣體中最少。出口點1306可經配置以將惰性氣體供應至其他系統(例如供應至用於淨化之沈積室或作為載氣供應至其他化學源)。
圖14示意性地說明圖11之固體源組合件1350。在一些實施例中,閥板加熱器1106經組態以加熱閥板1112及相關聯閥門1334、1338、1339、1340。在一些實施例中,閥板加熱器1106經組態且經置放以使用輻射加熱來加熱閥板1112。在一些實施例中,容器加熱器1110經組態以加熱固體源化學物質汽化器(SSCV)容器104及其相關聯閥門420、424、428、432、436。在一些實施例中,第二容器加熱器1110經組態以使用輻射加熱來加熱SSCV容器104。在一些實施例中,第一容器加熱器1102可設置於外殼底座480下方。在一些組態中,第一容器加熱器1102經組態以藉由傳導來加熱外殼底座480。
繼續參看圖14,如圖所示,氣體可自SSCV容器104流動至閥板1112。在一些實施例中,可經由饋送點1428、1432將氣體引導至一或多個熱饋通1412、1416。一些實施例經組態以使得可將氣體流自一或多個熱饋通1412、1416引導至一或多個沈積室 (未圖示)。
在一些實施例中,固體源組合件(如本文中所揭示的)可在目標真空壓力下運作。在一些實施例中,該目標真空壓力可在約0.5托-20托的範圍內,諸如5托。在某些實施例中,固體源組合件中之真空壓力可使用一或多個壓力控制器來調節。
在一些實施例中,排氣閥428可用於自本文中所描述之系統及/或方法中之一或多個托盤及/或一或多個閥門排出加壓之惰性氣體。
在典型的SSCV配置中,載氣流過SSCV容器104(例如在固體化學床上方在蛇形路徑674中)。然而,在其他實施例中,可藉由在容器外造成較低壓力之外部氣體流而將前驅體蒸氣抽出容器,如同文丘里效應(Venturi effect)。舉例而言,可藉由使載氣沿著容器之下游路徑流向一或多個沈積室312、316來抽吸前驅體蒸氣。在一些條件下,此可在容器與載氣流動路徑之間形成壓力差。此壓力差會引起SSCV容器104內之前驅體蒸氣流向一或多個反應室312、316。
示例實施例
在第1個實施例中,一種固體源化學物質汽化器,其包括外殼底座;經組態以安置於該外殼底座內之第一托盤,該第一托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第一蛇形路徑;經組態以安置於外殼底座內之垂直相鄰於第一托盤之第二托盤,該第二托盤界定適合於容納固體源化學物質且允許 氣體在其上方流動之第二蛇形路徑;及外殼蓋。
在第2個實施例中,如實施例1之固體源化學物質汽化器,其中該第一蛇形路徑及該第二蛇形路徑是以流體連通的方式串聯連接。
在第3個實施例中,如實施例1之固體源化學物質汽化器,其中第一蛇形路徑及第二蛇形路徑是以流體連通的方式平行連接。
在第4個實施例中,如實施例1之固體源化學物質汽化器,其中第一蛇形路徑及第二蛇形路徑在固體源化學物質汽化器內並不彼此流體連通。
在第5個實施例中,如實施例1之固體源化學物質汽化器,其中第一及第二蛇形路徑各自包括在固體金屬塊中所形成之凹槽。
在第6個實施例中,如實施例5之固體源化學物質汽化器,其中該等凹槽中之每一者皆界定在約1.5-5的範圍內之高度:寬度縱橫比。
在第7個實施例中,如實施例1之固體源化學物質汽化器,其中該外殼蓋包括安裝於蓋上且與第一蛇形路徑流體連通之第一入口閥;安裝於蓋上且與第一蛇形路徑流體連通之第一出口閥;安裝於蓋上且與第二蛇形路徑流體連通之第二入口閥;及安裝於蓋上且與第二蛇形路徑流體連通之第二出口閥。
在第8個實施例中,如實施例7之固體源化學物質汽化 器,其中外殼蓋進一步包括安裝於蓋上且與第一蛇形路徑及第二蛇形路徑中之每一者流體連通之排氣閥。
在第9個實施例中,如實施例1之固體源化學物質汽化器,其中第一蛇形路徑具有在約2000mm-8000mm的範圍內之長度。
在第10個實施例中,如實施例1之固體源化學物質汽化器,其中第一蛇形路徑適合於容納介於約750g-2000g之間的該固體源化學物質。
在第11個實施例中,如實施例1之固體源化學物質汽化器,其中第一托盤與第二托盤之組合高度介於約50mm-100mm之間。
在第12個實施例中,一種固體源化學物質汽化器,其包括外殼底座;經組態以安置於該外殼底座內之第一托盤,該第一托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第一路徑;經組態以安置於外殼底座內之垂直相鄰於第一托盤之第二托盤,該第二托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之第二路徑;外殼蓋;安裝於該外殼蓋上且與該第一路徑流體連通之第一入口閥;安裝於外殼蓋上且與第一路徑流體連通之第一出口閥;安裝於外殼蓋上且與該第二路徑流體連通之第二入口閥;及安裝於外殼蓋上且與第二路徑流體連通之第二出口閥。
在第13個實施例中,如實施例12之固體源化學物質汽 化器,其中外殼蓋進一步包括安裝於蓋上且與第一蛇形路徑及第二蛇形路徑中之每一者流體連通之排氣閥。
在第14個實施例中,如實施例12之固體源化學物質汽化器,其中第一路徑具有在約3000mm-5000mm的範圍內之長度。
在第15個實施例中,如實施例12之固體源化學物質汽化器,其中第一路徑適合於容納在約500g-1200g的範圍內之固體源化學物質。
在第16個實施例中,如實施例12之固體源化學物質汽化器,其中該固體源化學物質汽化器界定在約1-3.5的範圍內之長度:寬度縱橫比。
在第17個實施例中,如實施例12之固體源化學物質汽化器,其中固體源化學物質汽化器界定在約400-1200的範圍內之由固體源化學物質汽化器所包圍之體積(以mm3計)與第一托盤及第二托盤之總路徑長度(以mm計)的比率。
在第18個實施例中,一種多腔室沈積模組,該多腔室沈積模組包括用於使第一材料沈積於第一基板上之第一氣相反應室;用於使第二材料沈積於第二基板上之第二氣相反應室;及經連接以供應該第一氣相反應室及該第二氣相反應室中之每一者之固體源化學物質汽化器。
在第19個實施例中,如實施例18之多腔室沈積模組,其中該固體源化學物質汽化器包括界定第一蛇形路徑之第一托盤,該第一蛇形路徑適合於容納固體源化學物質且允許氣體在其上方 流動;及界定第二蛇形路徑之第二托盤,該第二蛇形路徑適合於容納固體源化學物質且允許氣體在其上方流動。
在第20個實施例中,如實施例19之多腔室沈積模組,其中固體源化學物質汽化器進一步包括外殼底座;外殼蓋;安裝於該外殼蓋上且與第一蛇形路徑流體連通之第一入口閥;安裝於外殼蓋上且與第一蛇形路徑流體連通之第一出口閥;安裝於外殼蓋上且與第二蛇形路徑流體連通之第二入口閥;安裝於外殼蓋上且與第二蛇形路徑流體連通之第二出口閥;及經安裝且與第一及第二蛇形路徑中之每一者流體連通之排氣閥。
在第21個實施例中,如實施例20之多腔室沈積模組,其中多腔室沈積模組進一步包括連接點,其中該第一出口閥及該第二出口閥在該連接點處流體連通;分離點,其可組態以使得通過該分離點之載氣可選擇性地進入第一氣相反應室及/或第二氣相反應室中;及連接點與分離點之間的以流體插入的方式設置之第一氣體面板閥門。
在第22個實施例中,如實施例21之多腔室沈積模組,其進一步包括在外殼蓋上或在該外殼底座之壁中之第一過濾器,該第一過濾器適合於防止固體顆粒物質流過。
在第23個實施例中,如實施例21之多腔室沈積模組,其進一步包括垂直相鄰於固體源化學物質汽化器之加熱板。
在第24個實施例中,如實施例19之多腔室沈積模組,其進一步包括經組態以操作該第一氣相反應室進行原子層沈積 (ALD)之控制處理器及軟體。
在第25個實施例中,如實施例19之多腔室沈積模組,其進一步包括經組態以操作第一氣相反應室進行化學氣相沈積(CVD)之控制處理器及軟體。
在第26個實施例中,如實施例19之多腔室沈積模組,其中第一蛇形路徑及第二蛇形路徑是以流體連通的方式平行連接。
在第27個實施例中,如實施例26之多腔室沈積模組,其中第一蛇形路徑及蛇形模組路徑是在流體插入的方式設置之固體源化學物質汽化器與分離點之間的連接點處流體連通。
在第28個實施例中,如實施例27之多腔室沈積模組,其中該分離點是設置於上閥板處,分離點以流體插入的方式設置於該連接點與第一氣相反應室及第二氣相反應室中之每一者之間。
在第29個實施例中,如實施例19之多腔室沈積模組,其中由第一蛇形路徑所形成之凹槽界定在約1.5-5的範圍內之高度:寬度縱橫比。
在第30個實施例中,如實施例19之多腔室沈積模組,其中第一托盤與第二托盤之組合高度是在約50mm-100mm的範圍內。
在第31個實施例中,如實施例20之多腔室沈積模組,其進一步包括垂直相鄰於固體源化學物質汽化器之加熱板;及設置於外殼蓋上方之閥板加熱器。
在第32個實施例中,如實施例31之多腔室沈積模組, 其中該加熱板及該閥板加熱器適合於將外殼底座加熱至在約50℃-250℃的範圍內之操作溫度。
在第33個實施例中,一種用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法包括連接固體源化學物質汽化器以供應第一氣相反應室及第二氣相反應室中之每一者;及將該固體源化學物質汽化器加熱至操作溫度。
在第34個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法進一步包括在第一托盤之第一蛇形路徑中提供第一固體源化學物質及在第二托盤之第二蛇形路徑中提供第二固體源化學物質。
在第35個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該第一蛇形路徑及該第二蛇形路徑各自包括在固體金屬塊中所形成之凹槽,該等凹槽界定在約1.5-5的範圍內之高度:寬度縱橫比。
在第36個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一蛇形路徑及第二蛇形路徑是平行流體連接。
在第37個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一蛇形路徑及第二蛇形路徑是以流體連通的方式串聯連接。
在第38個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一蛇形路徑及第二蛇形路 徑在固體源化學物質汽化器內並不彼此流體連通。
在第39個實施例中,如實施例33之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該操作溫度是在約50℃-250℃的範圍內。
在第40個實施例中,如實施例34之用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法進一步包括使第一惰性氣體在該第一固體源化學物質上方通過及使第二惰性氣體在該第二固體源化學物質上方通過;在該第一氣相反應室中使第一材料沈積於第一基板上;及在該第二氣相反應室中使第二材料沈積於第二基板上。
在第41個實施例中,如實施例40之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該第一材料不同於該第二材料。
在第42個實施例中,如實施例40之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中沈積第一材料及沈積第二材料各自包括原子層沈積(ALD)。
在第43個實施例中,如實施例40之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中沈積第一材料及沈積第二材料各自包括化學氣相沈積(CVD)。
在第44個實施例中,一種固體源化學物質汽化器包括外殼底座;經組態以安置於該外殼底座內之托盤,該托盤界定適合於容納固體源化學物質且允許氣體在其上方流動之流動路徑;在該 流動路徑內且經組態以產生一定程度之湍流之突出物,該程度大於在無該突出物情況下之氣體流動期間之程度;及外殼蓋。
在第45個實施例中,如實施例1之固體源化學物質汽化器,其中流動路徑包括在固體金屬塊中所形成之凹槽。
在第46個實施例中,如實施例2之固體源化學物質汽化器,其中該凹槽界定在約1.5-5的範圍內之高度:寬度縱橫比。
在第47個實施例中,如實施例1之固體源化學物質汽化器,其中該外殼蓋包括安裝於蓋上且與流動路徑流體連通之入口閥;及安裝於蓋上且與流動路徑流體連通之出口閥。
在第48個實施例中,如實施例4之固體源化學物質汽化器,其中外殼蓋進一步包括安裝於蓋上且與流動路徑流體連通之排氣閥。
在第49個實施例中,如實施例4之固體源化學物質汽化器,其中在流動路徑中在沿著流動路徑離該出口閥介於約50mm與300mm之間的距離內未形成或安裝突出物。
在第50個實施例中,如實施例1之固體源化學物質汽化器,其中突出物包括至少一個孔洞。
在第51個實施例中,如實施例7之固體源化學物質汽化器,其中該至少一個孔洞界定在彼位置相對於流動路徑之軸成角度之軸。
在第52個實施例中,如實施例7之固體源化學物質汽化器,其中至少一個孔洞包括形成穿孔圖案之孔洞陣列。
在第53個實施例中,如實施例1之固體源化學物質汽化器,其中突出物包括空心結構,該空心結構包括經組態以允許氣體流過之開口。
在第54個實施例中,如實施例1之固體源化學物質汽化器,其中突出物包括整體壁。
在第55個實施例中,如實施例1之固體源化學物質汽化器,其中突出物包括柱狀突出物。
在第56個實施例中,如實施例1之固體源化學物質汽化器,其中突出物包括經組態以插入流動路徑中之壁。
在第57個實施例中,如實施例1之固體源化學物質汽化器,其包括兩個或多個突出物,其中沿著流動路徑介於約20mm與400mm之間的距離隔開該兩個或多個突出物中之兩個連續突出物。
在第58個實施例中,如實施例1之固體源化學物質汽化器,其包括兩個或多個突出物,其中沿著流動路徑之直道之突出物的頻率大於沿著流動路徑之彎道之突出物的頻率。
在第59個實施例中,一種用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法包括連接固體源化學物質汽化器以供應第一氣相反應室及第二氣相反應室中之每一者;及將該固體源化學物質汽化器加熱至操作溫度。
在第60個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法進一步包括在第一托盤之第 一蛇形路徑中提供第一固體源化學物質及在第二托盤之第二蛇形路徑中提供第二固體源化學物質。
在第61個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該第一及該第二蛇形路徑各自包括在固體金屬塊中所形成之凹槽,該等凹槽界定在約1.5-5的範圍內之高度:寬度縱橫比。
在第62個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一蛇形路徑及第二蛇形路徑是以流體連通的方式平行連接。
在第63個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一及第二蛇形路徑是以流體連通的方式串聯連接。
在第64個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中第一蛇形路徑及第二蛇形路徑在固體源化學物質汽化器內並不彼此流體連通。
在第65個實施例中,實施例16之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該操作溫度是在約50℃-250℃的範圍內。
在第66個實施例中,如實施例17之用於在多腔室沈積模組中傳遞汽化前驅體之方法,該方法進一步包括使第一惰性氣體在該第一固體源化學物質上方通過及使第二惰性氣體在該第二固體源化學物質上方通過;在該第一氣相反應室中使第一材料沈 積於第一基板上;及在該第二氣相反應室中使第二材料沈積於第二基板上。
在第67個實施例中,如實施例23之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中沈積該第一材料及沈積該第二材料各自包括原子層沈積(ALD)。
在第68個實施例中,如實施例17之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中使該第一惰性氣體在第一固體源化學物質上方通過包括使第一惰性氣體通過或繞過經組態以增加使第一惰性氣體在第一固體源化學物質上方通過之湍流之流動突出物。
在第69個實施例中,如實施例25之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中該流動突出物包括跨越與該第一托盤整體形成之蛇形流動路徑之穿孔壁,該穿孔壁具有所形成通過其之一或多個孔洞,該一或多個孔洞經組態以允許第一惰性氣體流過。
在第70個實施例中,如實施例26之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中一或多個孔洞形成相對於水平面成垂直角度之軸。
在第71個實施例中,如實施例25之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中流動突出物包括柱狀突出物。
在第72個實施例中,如實施例25之用於在多腔室沈積模組中傳遞汽化前驅體之方法,其中流動突出物包括經組態以用 於插入第一托盤中所形成之狹槽中之壁插入物。
結論
在前述說明書中,本發明已參看其具體實施例來描述。然而,顯然在不脫離本發明之較廣泛之精神及範疇的情況下可對其作出各種修改及改變。因此,說明書及附圖應以說明性而非限制性意義來考慮。
事實上,應瞭解,本揭示案之系統及方法各自具有若干個創新性態樣,其中並無單個是單獨負責本文中所揭示之所需屬性或單獨為其所需的。上文所描述之各種特點及過程可彼此獨立使用或可按各種方式組合。所有可能之組合及子組合意欲屬於本揭示案之範疇內。
本說明書中在獨立實施例之情況下所描述之某些特點亦可組合地在單個實施例中實施。反之,在單個實施例之情況下所描述之各種特點亦可獨立地或以任何合適之子組合形式在多個實施例中實施。然而,儘管可能上文將特點描述為呈某些組合形式之動作且甚至最初如此要求,但來自所主張之組合之一或多個特點可在一些情況下自組合中刪除,且所主張之組合可針對子組合或子組合之變化。單個特點或特點組對於每一個實施例並非必需或必不可少的。
應瞭解,除非另外特別說明,或另外如所用的在上下文中理解,否則本文中所使用之條件性語言,諸如「可」、「會」、「可能」、「可」、「例如」及其類似語言通常意欲表達某些實施例包括而其他 實施例不包括,某些特點、要素及/或步驟。因此,該條件性語言並不通常意欲表明特點、要素及/或步驟以任何方式為一或多個實施例所需的或一或多個實施例在有或無作者輸入或提示下必定包括邏輯決定,無論包括此等特點、要素及/或步驟抑或待在任何特定實施例中進行此等特點、要素及/或步驟。術語「包含/包括(comprising/including)」、「具有」及其類似術語是同義詞且以開放性方式包容性地使用,且不排除額外的要素、特點、動作、操作,諸如此類。同樣,術語「或」是以包容性意義使用(而不以排除性意義使用)以使得例如當用於連接要素清單時,術語「或」意味著清單中之要素中之一個、一些或全部。另外,除非另外規定,否則如本申請案及隨附申請專利範圍中所使用,冠詞「一(a/an)」及「該」應視為意味著「一或多個」或「至少一個」。類似地,雖然操作可按特定順序在附圖中描繪,但公認該等操作無需按所示之特定順序或按連續順序進行,或無需進行所有所說明之操作,以達成所需結果。進一步,附圖可示意性地以流程圖之形式描繪一種多種示例製程。然而,未描繪之其他操作可併入示意性地說明之示例方法及製程中。舉例而言,可在所說明之操作中之任一者之前、之後、同時或在其之間進行一或多個額外的操作。另外,該等操作可在其他實施例中重新配置或重新排序。在某些情況下,多任務處理及平行加工可能為有利的。然而,在上文所描述之實施例中各種系統組成之分隔不應理解為在所有實施例中需要該分隔,而應理解所描述之程序組成及系統通常可一起整合於單個軟體產品中或包裝於多 個軟體產品中。另外,其他實施例是在以下申請專利範圍之範疇內。在一些情況下,申請專利範圍中所列之動作可按不同順序進行且仍會達成所需結果。
因此,申請專利範圍並不意欲限於本文中所示之實施例,而欲賦予與本揭示案、本文中所揭示之原理及新穎特點一致之最廣泛範疇。舉例而言,儘管在本揭示案中關於自固體源供應蒸氣向沈積室饋料以用於半導體製造提供許多實例,但本文中所描述之某些實施例可實施用於各種其他應用及/或在許多其他情況下實施。
104:容器
108:托盤/沈積室
112:第二托盤/托盤/沈積室
113:外殼蓋/蓋
480:底座

Claims (12)

  1. 一種固體源化學物質汽化器,包括:外殼底座;第一托盤,經組態以安置於所述外殼底座內,所述第一托盤界定適合於容納固體源化學物質且允許氣體在所述固體源化學物質的上方流動之第一蛇形路徑,其中所述第一蛇形路徑包括在第一平面內的多個反平行部分;第二托盤,經組態以安置於所述外殼底座內之垂直相鄰於所述第一托盤,所述第二托盤界定適合於容納固體源化學物質且允許氣體在所述固體源化學物質的上方流動之第二蛇形路徑,其中所述第二蛇形路徑包括在第二平面內的多個反平行部分;外殼蓋;第一入口閥,安裝於所述外殼蓋上且與所述第一路徑流體連通;第一出口閥,安裝於所述外殼蓋上且與所述第一路徑流體連通;第二入口閥,安裝於所述外殼蓋上且與所述第二路徑流體連通;以及第二出口閥,安裝於所述外殼蓋上且與所述第二路徑流體連通。
  2. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述固體源化學物質汽化器界定在約400-1200的範圍內之由所 述固體源化學物質汽化器所包圍之單位為立方毫米的體積與所述第一托盤及所述第二托盤之單位為毫米的總路徑長度的比率。
  3. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑適合於容納第一固體源化學物質,且所述第二蛇形路徑適合於容納第二固體源化學物質。
  4. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述外殼蓋包括排氣閥,所述排氣閥安裝於所述外殼蓋上且與所述第一蛇形路徑及所述第二蛇形路徑中之每一者流體連通。
  5. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑及所述第二蛇形路徑各自包括在固體金屬塊中所形成之凹槽。
  6. 如申請專利範圍第5項所述的固體源化學物質汽化器,其中所述凹槽界定在約1.5-5的範圍內之高度:寬度縱橫比。
  7. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑具有在約2000mm-8000mm的範圍內之長度。
  8. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一托盤與所述第二托盤之組合高度介於約50mm-100mm之間。
  9. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑及所述第二蛇形路徑是以流體連通的方式串聯連接。
  10. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑及所述第二蛇形路徑是以流體連通的方式平行連接。
  11. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑及所述第二蛇形路徑在所述固體源化學物質汽化器內不彼此流體連通。
  12. 如申請專利範圍第1項所述的固體源化學物質汽化器,其中所述第一蛇形路徑及所述第二蛇形路徑被設置以在平行配置與串聯配置之間可切換地配置。
TW106125242A 2016-09-30 2017-07-27 固體源化學物質汽化器、多腔室沈積模組以及用於傳遞汽化前驅體的方法 TWI738827B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/283,120 US10876205B2 (en) 2016-09-30 2016-09-30 Reactant vaporizer and related systems and methods
US15/283,120 2016-09-30
US15/585,540 US11926894B2 (en) 2016-09-30 2017-05-03 Reactant vaporizer and related systems and methods
US15/585,540 2017-05-03

Publications (2)

Publication Number Publication Date
TW201814081A TW201814081A (zh) 2018-04-16
TWI738827B true TWI738827B (zh) 2021-09-11

Family

ID=61757891

Family Applications (3)

Application Number Title Priority Date Filing Date
TW110129464A TWI785728B (zh) 2016-09-30 2017-07-27 固體源化學物質汽化器以及用於在多腔室沈積模組中傳遞汽化前驅體的方法
TW111141255A TWI796280B (zh) 2016-09-30 2017-07-27 固體源化學物質汽化器以及多腔室沈積模組
TW106125242A TWI738827B (zh) 2016-09-30 2017-07-27 固體源化學物質汽化器、多腔室沈積模組以及用於傳遞汽化前驅體的方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW110129464A TWI785728B (zh) 2016-09-30 2017-07-27 固體源化學物質汽化器以及用於在多腔室沈積模組中傳遞汽化前驅體的方法
TW111141255A TWI796280B (zh) 2016-09-30 2017-07-27 固體源化學物質汽化器以及多腔室沈積模組

Country Status (4)

Country Link
US (2) US11926894B2 (zh)
KR (1) KR102384840B1 (zh)
CN (1) CN107881483B (zh)
TW (3) TWI785728B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785728B (zh) * 2016-09-30 2022-12-01 荷蘭商Asm Ip控股公司 固體源化學物質汽化器以及用於在多腔室沈積模組中傳遞汽化前驅體的方法

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR20200020608A (ko) * 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129734B (en) 2019-04-25 2022-08-15 Beneq Oy Feeding chamber for preform
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer growth equipment
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
FI130416B (en) * 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023505780A (ja) * 2019-12-11 2023-02-13 ラム リサーチ コーポレーション 液体前駆体気化器
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) * 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022183003A1 (en) * 2021-02-26 2022-09-01 Entegris, Inc. Solids vaporizer
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113529053B (zh) * 2021-09-13 2021-12-28 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544174A1 (de) 1966-12-17 1970-07-02 Bosch Gmbh Robert Verfahren und Vorrichtung zur Dotierung von Halbleiterkoerpern
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4560462A (en) 1984-06-22 1985-12-24 Westinghouse Electric Corp. Apparatus for coating nuclear fuel pellets with a burnable absorber
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
DE3702923A1 (de) 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5071553A (en) 1987-06-10 1991-12-10 Newlin Robert E Self retaining fluid filter
JPS6464314A (en) 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5080870A (en) * 1988-09-08 1992-01-14 Board Of Regents, The University Of Texas System Sublimating and cracking apparatus
GB2234988B (en) 1989-08-16 1993-12-08 Qpl Limited Improvements in vacuum deposition machines
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5964254A (en) 1997-07-11 1999-10-12 Advanced Delivery & Chemical Systems, Ltd. Delivery system and manifold
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
US5567127A (en) 1994-11-09 1996-10-22 Wentz; Kennith W. Low noise air blower
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
TW327205B (en) 1995-06-19 1998-02-21 Hitachi Ltd Heat exchanger
US5667682A (en) 1995-10-25 1997-09-16 Water Renewal Systems L.P. Self-cleaning filtration apparatus
US5709753A (en) 1995-10-27 1998-01-20 Specialty Coating Sysetms, Inc. Parylene deposition apparatus including a heated and cooled dimer crucible
EP0794266B1 (de) 1996-03-06 2000-12-06 Alusuisse Technology & Management AG Vorrichtung zum Beschichten einer Substratfläche
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6116257A (en) 1997-03-28 2000-09-12 New Technology Management Co., Ltd. Micromotors, linear motors, micropumps, methods of using the same, microactuators, methods of controlling flow properties of fluids, and apparatuses for controlling flow properties of fluids
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
US6221306B1 (en) 1998-03-20 2001-04-24 Nitrojection Corporation Pin-in-sleeve device for in-article gas assisted injection molding
US6216708B1 (en) 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
US6365229B1 (en) 1998-09-30 2002-04-02 Texas Instruments Incorporated Surface treatment material deposition and recapture
JP2000265960A (ja) 1999-03-15 2000-09-26 Toyota Autom Loom Works Ltd 流体機械
DE59914510D1 (de) 1999-03-29 2007-11-08 Antec Solar Energy Ag Vorrichtung und Verfahren zur Beschichtung von Substraten durch Aufdampfen mittels eines PVD-Verfahrens
US6946034B1 (en) 1999-08-04 2005-09-20 General Electric Company Electron beam physical vapor deposition apparatus
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
US6525288B2 (en) 2001-03-20 2003-02-25 Richard B. Rehrig Gas lens assembly for a gas shielded arc welding torch
JP2004530843A (ja) 2001-03-27 2004-10-07 インバケア コーポレイション 高速接続カップリング
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
WO2003025245A1 (en) 2001-09-14 2003-03-27 University Of Delaware Multiple-nozzle thermal evaporation source
US20050211172A1 (en) 2002-03-08 2005-09-29 Freeman Dennis R Elongated thermal physical vapor deposition source with plural apertures
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
WO2004002909A1 (en) 2002-06-28 2004-01-08 Pirelli & C. S.P.A. Method and device for vaporizing a liquid reactant in manufacturing a glass preform
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
DE60305246T2 (de) 2002-07-19 2006-09-14 Lg Electronics Inc. Quelle zur thermischen PVD-Beschichtung für organische elektrolumineszente Schichten
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7122085B2 (en) 2002-07-30 2006-10-17 Asm America, Inc. Sublimation bed employing carrier gas guidance structures
US6868869B2 (en) 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
JP2004353083A (ja) 2003-05-08 2004-12-16 Sanyo Electric Co Ltd 蒸発装置
JP4185015B2 (ja) 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
KR101104058B1 (ko) 2003-05-27 2012-01-06 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US20050019028A1 (en) 2003-07-25 2005-01-27 Karl-Heinz Kuebler Fluid heater with integral heater elements
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
RU2384652C2 (ru) 2004-05-20 2010-03-20 Акцо Нобель Н.В. Барботер для постоянной доставки пара твердого химиката
JP4879509B2 (ja) 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7531090B1 (en) 2005-04-25 2009-05-12 Wix Filtration Corp Llc Fluid filter element
JP4001296B2 (ja) 2005-08-25 2007-10-31 トッキ株式会社 有機材料の真空蒸着方法およびその装置
JP5358778B2 (ja) * 2005-09-20 2013-12-04 国立大学法人東北大学 成膜装置、蒸発治具、及び、測定方法
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7278887B1 (en) 2006-05-30 2007-10-09 John Mezzalingua Associates, Inc. Integrated filter connector
US20100242835A1 (en) 2006-06-09 2010-09-30 S.O.I.T.E.C. Silicon On Insulator Technologies High volume delivery system for gallium trichloride
DE102006039826B4 (de) 2006-08-25 2010-05-12 Hydac Filtertechnik Gmbh Filtervorrichtung, Filterelement sowie Verfahren zum Betrieb der Filtervorrichtung
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
EP2063974B1 (en) 2006-09-06 2013-04-10 Donaldson Company, Inc. Filter cartridge and liquid filter assembly
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8708320B2 (en) * 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
DE102007020852A1 (de) 2007-05-02 2008-11-06 Stein, Ralf Gasversorgungssystem und Verfahren zur Bereitstellung eines gasförmigen Abscheidungsmediums
EP2168644B1 (en) * 2008-09-29 2014-11-05 Applied Materials, Inc. Evaporator for organic materials and method for evaporating organic materials
KR101094299B1 (ko) * 2009-12-17 2011-12-19 삼성모바일디스플레이주식회사 선형 증발원 및 이를 포함하는 증착 장치
DE102010003001B4 (de) 2010-03-18 2024-02-08 Robert Bosch Gmbh Mikrofluidisches Dielektrophorese-System
TWI557261B (zh) 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
TWI480418B (zh) * 2012-01-16 2015-04-11 Air Prod & Chem 用於高流量真空氣泡器容器的防濺器
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
KR102024830B1 (ko) 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
WO2016040443A1 (en) 2014-09-09 2016-03-17 Board Of Regents, The University Of Texas System Electrode design and low-cost fabrication method for assembling and actuation of miniature motors with ultrahigh and uniform speed
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785728B (zh) * 2016-09-30 2022-12-01 荷蘭商Asm Ip控股公司 固體源化學物質汽化器以及用於在多腔室沈積模組中傳遞汽化前驅體的方法

Also Published As

Publication number Publication date
US20240209501A1 (en) 2024-06-27
TW202146696A (zh) 2021-12-16
TWI785728B (zh) 2022-12-01
TWI796280B (zh) 2023-03-11
US20180094351A1 (en) 2018-04-05
KR102384840B1 (ko) 2022-04-08
KR20180036525A (ko) 2018-04-09
CN107881483B (zh) 2022-03-04
TW202309331A (zh) 2023-03-01
CN107881483A (zh) 2018-04-06
TW201814081A (zh) 2018-04-16
US11926894B2 (en) 2024-03-12

Similar Documents

Publication Publication Date Title
TWI738827B (zh) 固體源化學物質汽化器、多腔室沈積模組以及用於傳遞汽化前驅體的方法
US11377732B2 (en) Reactant vaporizer and related systems and methods
US11773486B2 (en) Solid source sublimator
TW202113154A (zh) 冷卻總成、控制前驅物源容器之內部的溫度之方法、及反應器系統
US7971861B2 (en) Safe liquid source containers
JP5726831B2 (ja) 化学気相堆積のための装置及び方法
EP2363199A1 (en) Method and apparatus to help promote contact of gas with vaporized material
US20230235454A1 (en) Heating zone separation for reactant evaporation system
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
TWI388688B (zh) 化學氣相沈積法及設備
TW202326023A (zh) 前驅物容器
JP2021181612A (ja) 固体ソースプリカーサ容器