KR100961007B1 - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR100961007B1
KR100961007B1 KR1020080009430A KR20080009430A KR100961007B1 KR 100961007 B1 KR100961007 B1 KR 100961007B1 KR 1020080009430 A KR1020080009430 A KR 1020080009430A KR 20080009430 A KR20080009430 A KR 20080009430A KR 100961007 B1 KR100961007 B1 KR 100961007B1
Authority
KR
South Korea
Prior art keywords
space
enclosure
gas
processing apparatus
inner chamber
Prior art date
Application number
KR1020080009430A
Other languages
English (en)
Other versions
KR20080071917A (ko
Inventor
시게루 다하라
세이이치 다카야마
모리히로 다카나시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080071917A publication Critical patent/KR20080071917A/ko
Application granted granted Critical
Publication of KR100961007B1 publication Critical patent/KR100961007B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

내부 챔버 내의 공간의 용량을 저감할 수 있는 기판 처리 장치를 제공한다.
기판 처리 장치(10)는 외부 챔버(13)와, 이 외부 챔버(13) 내의 공간(ES)에 수용되는 내부 챔버(14)와, 이 내부 챔버(14) 내의 공간(IS)에 처리 가스를 공급하는 처리 가스 공급부(23)를 구비하고, 외부 챔버(13) 내의 공간(ES)은 거의 진공으로 유지되고, 내부 챔버(14)는 이 내부 챔버(14) 내의 공간(IS)을, 스테이지 히터(17), 인클로저 기부(18)와 구획 형성하는 인클로저(19)를 갖고, 웨이퍼(W)를 반송하는 반송 암(12)에 의해 기판을 반출입할 때, 인클로저(19)는 반송 암(12)의 가동영역으로부터 퇴출되도록, 인클로저 기부(18)(스테이지 히터(17))로부터 이간된다.

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}
본 발명은 기판 처리 장치에 관한 것으로, 특히 외부 챔버 및 이 외부 챔버가 수용되는 내부 챔버로 이루어지는 이중구조의 챔버를 갖는 기판 처리 장치에 관한 것이다.
기판으로서의 웨이퍼로부터 반도체 디바이스를 제조하는 반도체 제조 프로세스에서는, 처리 가스를 사용한 화학반응을 이용하는 처리가 많이 사용되고 있다. 화학반응을 이용하는 처리(이하, 「화학반응 처리」라고 한다.)로서는, 예컨대 레지스트 막 제거의 애싱(ashing) 시에 손상된 저유전율 절연막(Low-k 막)을 실릴화 가스에 의해 수복하는 처리가 해당된다.
또, 반도체 제조 프로세스에서는, 종래부터 진공 처리, 예컨대 에칭 처리, 애싱 처리, CVD 처리가 많이 사용되고 있다. 이들 진공 처리 후, 이 진공 처리가 시행된 웨이퍼를 대기 중에서 반송하면, 여러 문제를 일으킬 가능성이 있다. 구체적으로는, CVD 처리에서 형성된 메탈층 상에 자연산화에 의해 산화막이 생기고, 이 산화막이 반도체 디바이스에서 도통 불량을 일으킨다. 또는, Low-k 막이 흡습된다. 실릴화 가스(예컨대 Si(CH3)3-N(CH3)2)는 물의 수산기(OH기)와 반응하여, 부반응 생성물(Si(CH3)3-O-Si(CH3)3)을 생성한다. 이 부반응 생성물은 에칭에 의해 형성된 트렌치(홈)나 홀(구멍)을 메워버린다.
따라서, 특히, 진공 처리가 시행된 웨이퍼에 계속해서 화학반응 처리를 시행하는 경우에는, 이 웨이퍼를 진공 처리 후에 대기에 노출시키지 않고, 진공 중이나 불활성 가스 분위기 중에서 반송하여 화학반응 처리용의 처리실(챔버)에 반입하는 반송 방법을 사용하는 것이 바람직하다.
또, 종래부터 내부가 진공으로 유지되는 외부 챔버와, 이 외부 챔버 내의 공간에 수용되는 내부 챔버로 이루어지는 이중구조의 챔버를 갖는 기판 처리 장치가 알려져 있다(예컨대 특허문헌 1 참조.). 이 기판 처리 장치에서는, 외부 챔버 내의 공간을 진공으로 유지하고 또한 내부 챔버 내의 공간에 처리 가스를 도입하고, 또한, 진공 처리를 시행한 웨이퍼를 외부 챔버 내의 공간에서 반송하여 내부 챔버 내의 공간으로 반입함으로써, 상기한 반송 방법을 실현할 수 있다.
특허문헌 1: 일본 특허공개 제1986-291965호 공보
그렇지만, 처리 가스인 실릴화 가스는 고가이기 때문에, 사용량을 저감하는 것이 요망되고 있다. 즉, 처리 가스의 사용량을 가능한 한 삭감하는 것이 요구되고 있고, 나아가서는, 처리 가스가 도입되는 내부 챔버 내의 공간의 용량을 저감하는 것이 요구되고 있다.
한편, 상기한 이중구조의 챔버를 갖는 기판 처리 장치에서는, 내부 챔버 내의 공간에 웨이퍼를 반입하기 위하여, 내부 챔버의 측벽에 웨이퍼 반출입구가 설치되거나, 또는, 이 내부 챔버 상부에 배치된 개구부를 개폐가능한 상부 덮개가 설치된다. 이러한 내부 챔버에서는, 웨이퍼를 반입하기 위하여, 웨이퍼를 반송하기 위한 암을 웨이퍼 반출입구나 개구부를 경유하여 내부 챔버 내의 공간으로 진입시킬 필요가 있다. 이 때, 암과 내부 챔버의 구성 부재의 접촉을 막기 위하여, 이 암과 구성 부재와의 클리어런스를 확보할 필요가 있고, 그 결과, 내부 챔버 내의 공간의 용량을 저감할 수 없다고 하는 문제가 있다.
본 발명의 목적은 내부 챔버 내의 공간의 용량을 저감할 수 있는 기판 처리 장치를 제공하는 것에 있다.
상기 목적을 달성하기 위하여, 청구항 1에 따른 기판 처리 장치는 외부 챔버와, 이 외부 챔버 내의 공간에 수용되는 내부 챔버와, 이 내부 챔버 내의 공간에 처리 가스를 공급하는 가스 공급부를 구비하고, 상기 외부 챔버 내의 공간은 감압되거나 또는 이 공간에는 불활성 가스가 충전되는 기판 처리 장치에 있어서, 상기 내부 챔버는 이 내부 챔버 내의 공간을 다른 구성 부재와 구획 형성하는 가동 구성 부재를 갖고, 기판을 반송하는 반송 암에 의해 상기 기판을 반출입할 때, 상기 가동 구성 부재는 상기 반송 암의 가동영역으로부터 퇴출되는 것을 특징으로 한다.
청구항 2에 기재된 기판 처리 장치는, 청구항 1에 따른 기판 처리 장치에 있어서, 상기 다른 구성 부재는 상기 기판을 재치하는 재치대이고, 상기 가동 구성 부재는 상기 재치대를 덮는 덮개 모양 부재이며, 상기 반송 암에 의해 상기 기판을 반출입할 때, 상기 덮개 모양 부재는 상기 재치대로부터 이간되는 것을 특징으로 한다.
청구항 3에 기재된 기판 처리 장치는, 청구항 1에 따른 기판 처리 장치에 있어서, 상기 가동 구성 부재는 상기 기판을 재치하는 재치대이고, 상기 다른 구성 부재는 상기 재치대를 덮는 덮개 모양 부재이며, 상기 반송 암에 의해 상기 기판을 반출입할 때, 상기 재치대는 상기 덮개 모양 부재로부터 이간되는 것을 특징으로 한다.
청구항 4에 기재된 기판 처리 장치는, 청구항 1 내지 3 중 어느 한 항에 따른 기판 처리 장치에 있어서, 상기 가동 구성 부재 및 상기 다른 구성 부재는 가열 장치를 갖는 것을 특징으로 한다.
청구항 5에 기재된 기판 처리 장치는, 청구항 1 내지 4 중 어느 한 항에 따른 기판 처리 장치에 있어서, 상기 가스 공급부는 상기 내부 챔버 내의 공간으로 연통하는 가스 공급관을 갖고, 이 가스 공급관은 이 가스 공급관을 개폐하는 개폐 밸브를 갖고, 이 개폐 밸브는 상기 내부 챔버의 근방에 배치되는 것을 특징으로 한다.
청구항 1에 따른 기판 처리 장치에 의하면, 기판을 반송하는 반송 암에 의해 기판을 반출입할 때, 내부 챔버 내의 공간을 구획 형성하는 가동 구성 부재는 반송 암의 가동영역으로부터 퇴출된다. 따라서, 반송 암과 가동 구성 부재가 접촉하지 않아, 내부 챔버에서 암과 가동 구성 부재와의 클리어런스를 확보할 필요가 없다. 그 결과, 내부 챔버 내의 공간의 용량을 저감할 수 있다.
청구항 2에 따른 기판 처리 장치에 의하면, 반송 암에 의해 기판을 반출입할 때, 가동 구성 부재로서의 덮개 모양 부재는 다른 구성 부재로서의 재치대로부터 이간된다. 덮개 모양 부재의 이동 기구는 비교적 간소한 구조를 기지므로, 기판 처리 장치의 구성을 간소하게 할 수 있다.
청구항 3에 따른 기판 처리 장치에 의하면, 반송 암에 의해 기판을 반출입할 때, 가동 구성 부재로서의 재치대는 다른 구성 부재로서의 덮개 모양 부재로부터 이간된다. 덮개 모양 부재에는 반응 생성물이 부착되는 경우가 있지만, 이 덮개 모양 부재는 이동하지 않으므로, 반응 생성물이 이동의 충격으로 덮개 모양 부재로부터 박리되는 것을 방지할 수 있고, 그 때문에, 재치대에 재치된 기판에 이물이 부착되는 것을 방지할 수 있다.
청구항 4에 따른 기판 처리 장치에 의하면, 가동 구성 부재 및 다른 구성 부재는 가열 장치를 갖는다. 기판이 갖는 저유전율 절연막의 수복 처리는 열에 의해 촉진된다. 따라서, 저유전율 절연막을 신속하게 수복할 수 있다.
청구항 5에 따른 기판 처리 장치에 의하면, 내부 챔버 내의 공간으로 연통하는 가스 공급관에 있어서, 개폐 밸브는 내부 챔버의 근방에 배치된다. 이것에 의해, 개폐 밸브가 가스 공급관을 폐쇄했을 때, 가스 공급관에서의 내부 챔버 내의 공간으로 연통하는 부분의 용량을 저감할 수 있고, 그 때문에, 처리 가스의 사용량을 확실하게 삭감할 수 있다.
발명을 실시하기 위한 최선의 형태
이하, 본 발명의 실시형태에 대하여 도면을 참조하면서 설명한다.
우선, 본 발명의 제 1 실시형태에 따른 기판 처리 장치에 대하여 설명한다.
도 1은 본 실시형태에 따른 기판 처리 장치의 구성을 개략적으로 도시하는 단면도이다.
도 1에서, 기판 처리 장치(10)는 반도체 웨이퍼(이하, 간단히 「웨이퍼」라고 한다.)(W)를 반송하기 위한 반송실로서의 로드 록 챔버(11)에 인접하여 배치되어 있다. 이 로드 록 챔버(11)는 웨이퍼(W)를 재치하여 반송하는 후술의 반송 암(12)을 가지며, 로드 록 챔버(11) 내의 공간(LS)은 진공으로 유지되어 있다.
기판 처리 장치(10)는 로드 록 챔버(11)에 접속된 케이싱 모양의 외부 챔버(13)와, 이 외부 챔버(13) 내의 공간(ES)에 수용되어 있는 내부 챔버(14)를 구비한다. 외부 챔버(13) 내의 공간(ES)은 도시하지 않은 배기 장치에 의해 감압되어 있고, 거의 진공으로 유지되어 있다. 또, 외부 챔버(13)는 그 측벽에서 공간(LS) 및 공간(ES)을 서로 연통하는 웨이퍼 반출입구(15)를 갖는다. 이 웨이퍼 반출입구(15)는 로드 록 챔버(11)가 갖는 슬라이드 밸브 모양의 게이트 밸브(16)에 의해 개폐된다.
내부 챔버(14)는 공간(ES)의 하부에 배치되어 있는 원판 모양 부재로서, 히터(도시하지 않음)(가열 장치)를 내장하고 또한 웨이퍼(W)를 재치하는 재치대로서 기능하는 스테이지 히터(17)(다른 구성 부재)와, 외부 챔버(13)의 바닥부로부터 세워 설치되고 스테이지 히터(17)의 주위를 둘러싸도록 배치되어 있는 둥근 환상 부재인 인클로저(enclosure) 기부(18)(다른 구성 부재)와, 스테이지 히터(17) 및 인클로저 기부(18)를 덮도록 배치되어 있는 원판 덮개 모양 부재인 인클로저(19)(가동 구성 부재)를 갖는다.
스테이지 히터(17)에는 복수의 푸셔 핀(21)이 배치되어 있고, 이 푸셔 핀(21)은 스테이지 히터(17)의 표면으로부터 자유롭게 돌출한다. 또, 푸셔 핀(21)은 웨이퍼(W)를 그 이면에서 지지한다. 푸셔 핀(21)이 스테이지 히터(17)에 대한 최하방에 위치하는 경우에도, 이 푸셔 핀(21)은 약간량만 스테이지 히터(17)의 표면으로부터 돌출하므로, 웨이퍼(W)는 스테이지 히터(17)로부터 약간량만 이간되어 재치된다.
인클로저(19)는 로드(20)를 통하여 이동 기구(도시하지 않음)에 접속되고, 도면 중의 흰색 화살표 방향을 따라 자유롭게 이동한다. 여기에서의 이동 기구는 인클로저(19)만을 이동시키면 되기 때문에, 비교적 간소한 구조로 실현할 수 있다. 또, 인클로저(19)는 그 주연부를 둘러싸도록 설치되고, 인클로저 기부(18)를 향하여 돌출하는 원통 모양의 측벽(19a)을 갖는다. 또한, 인클로저(19)도 히터(도시하지 않음)(가열 장치)를 내장한다.
인클로저(19)가 인클로저 기부(18)와 맞닿는 경우, 스테이지 히터(17), 인클로저 기부(18) 및 인클로저(19)는 공간(IS)를 구획 형성한다. 스테이지 히터(17) 및 인클로저 기부(18), 인클로저 기부(18) 및 인클로저(19)의 측벽(19a), 및 스테이지 히터(17) 및 푸셔 핀(21) 사이에는 O링(22)이 배치되어 있어, 공간(IS)을 공간(ES)으로부터 밀봉한다. 여기에서, 공간(IS)이 웨이퍼(W)를 수용할 때, 푸셔 핀(21)이 스테이지 히터(17)에 대한 최하방에 위치한다. 따라서, 공간(IS)의 높이를 낮게 할 수 있고, 그 때문에, 공간(IS)의 용량을 저감할 수 있다.
또, 기판 처리 장치(10)는 공간(IS)에 처리 가스 및 질소 가스(N2 가스)를 공급하는 처리 가스 공급부(23)와, 이 공간(IS)의 처리 가스를 배기하는 처리 가스 배기부(24)를 구비한다.
처리 가스 공급부(23)는 외부 챔버(13)의 밖에 설치된 가스 공급관(23a)과, 이 가스 공급관(23a)에 설치되고 또한 이 가스 공급관(23a)을 개폐하는 공급측 개폐 밸브(23b)와, 외부 챔버(13)의 벽부 및 인클로저 기부(18)를 관통하고, 또한 인 클로저(19)에 대향하여 개구하는 가스 공급구멍(23c)을 갖는다.
가스 공급구멍(23c) 및 가스 공급관(23a)은 공간(IS)과 연통하고, 가스 공급구멍(23c), 공급측 개폐 밸브(23b) 및 가스 공급관(23a)을 통하여 외부의 가스 공급 장치(도시하지 않음)로부터 처리 가스가 공급된다. 처리 가스 공급부(23)에서, 공급측 개폐 밸브(23b)는 내부 챔버(14)의 근방, 구체적으로는 외부 챔버(13)에 인접하도록 배치되어 있다. 공급측 개폐 밸브(23b)가 가스 공급관(23a)을 폐쇄했을 때, 공간(IS)과 연통하는 부분은 가스 공급구멍(23c)뿐으로 된다.
처리 가스 배기부(24)는 외부 챔버(13)의 밖에 설치된 가스 배기관(24a)과, 이 가스 배기관(24a)에 설치되고 또한 이 가스 배기관(24a)을 개폐하는 배기측 개폐 밸브(24b)와, 외부 챔버(13)의 벽부 및 인클로저 기부(18)를 관통하고, 또한 인클로저(19)에 대향하여 개구하는 가스 배기구멍(24c)을 갖는다.
가스 배기구멍(24c) 및 가스 배기관(24a)은 공간(IS)과 연통하고, 가스 배기구멍(24c), 배기측 개폐 밸브(24b) 및 가스 배기관(24a)을 통하여 외부의 배기 장치(도시하지 않음)에 의해 공간(IS) 내의 처리 가스가 배기된다. 처리 가스 배기부(24)에서, 배기측 개폐 밸브(24b)는 내부 챔버(14)의 근방, 구체적으로는 외부 챔버(13)에 인접하도록 배치되어 있다. 배기측 개폐 밸브(24b)가 가스 배기관(24a)을 폐쇄했을 때, 공간(IS)과 연통하는 부분은 가스 배기구멍(24c)뿐으로 된다.
그런데 통상, Low-k 막은 하기 화학식 1로 나타내는, 종단부에 유기 성분으로서 메틸기를 갖는 구조를 가지고 있다.
Figure 112008007747715-pat00001
이 Low-k 막 및 레지스트 막을 갖는 웨이퍼(W)에 애싱 등에 의해 레지스트 막 제거 처리를 시행하면, Low-k 막의 구조가 하기 화학식 2로 나타내는 구조로 변화된다(Low-k 막이 손상됨).
Figure 112008007747715-pat00002
화학식 2로 나타내는 구조에서는 종단부에 수산기가 존재하고, 유기 성분이 존재하지 않으므로, 이 구조의 막은 Low-k 막으로서 기능할 수 없다. 그래서, 상기 실릴화 가스를 사용하여 종단부의 수산기를 유기 성분으로 치환한다. 구체적으 로는, 상기 화학식 2의 구조의 막과 실릴화 가스를 반응시켜 하기 화학식 3으로 나타내는 구조를 얻는다. 이것에 의해 Low-k 막을 실릴화 가스에 의해 수복한다.
Figure 112008007747715-pat00003
인클로저(19)가 인클로저 기부(18)와 맞닿고, 또한 공간(IS)이 손상된 Low-k 막을 갖는 웨이퍼(W)를 수용할 때, 처리 가스 공급부(23)로부터 공간(IS)으로 실릴화 가스(예컨대 Si(CH3)3-N(CH3)2) 및 질소 가스가 공급된다. 공간(IS)에 실릴화 가스 및 질소 가스가 일정량 충전되면, 처리 가스 공급부(23)는 공급측 개폐 밸브(23b)에 의해 가스 공급관(23a)을 폐쇄함과 아울러, 처리 가스 배기부(24)는 배기측 개폐 밸브(24b)에 의해 가스 배기관(24a)을 폐쇄한다. 이것에 의해, 공간(IS)은 봉쇄되고, 그 압력은 1.3×103Pa(10Torr) 내지 6.67x103Pa(50Torr)로 유지된다. 공간(IS) 내에서는 실릴화 가스가 상기 화학식 2로 나타내는 구조를 갖는 손상된 Low-k 막과 반응하여, 이 Low-k 막이 수복된다. 또, 실릴화 가스와 손상된 Low-k 막의 반응은 열에 의해 촉진되기 때문에, 인클로저(19) 내의 히터 및 스테이 지 히터(17)의 히터는 웨이퍼(W)를 가열하여 Low-k 막의 수복 처리를 촉진시킨다. 그리고, 일정 시간의 충분한 봉쇄 후, 처리 가스 배기부(24)는 공간(IS) 내의 실릴화 가스 등을 배기한다.
또, 도 2에 도시하는 바와 같이, 기판 처리 장치(10)에서는, 반송 암(12)에 의해 웨이퍼(W)를 반출입할 때, 인클로저 기부(18)에 맞닿아 있던 인클로저(19)는 스테이지 히터(17)로부터 도면 중 상방으로, 반송 암(12)의 가동영역으로부터 퇴출되도록 이간된다. 여기에서의 인클로저(19)의 상방으로의 이동량은 웨이퍼(W)를 반출입하기 위해 인클로저(19) 및 스테이지 히터(17)의 사이에 진입한 반송 암(12)이 흔들려도 인클로저(19)에 접촉하지 않는 이동량, 예컨대 35mm로 설정되어 있다. 또, 이동하지 않는 스테이지 히터(17)는 원래부터 반송 암(12)이 흔들려도 접촉하지 않는 위치에 배치되어 있다. 즉, 기판 처리 장치(10)에서는, 웨이퍼(W)를 반송 암(12)에 의해 반출입할 때에 반송 암(12)과 스테이지 히터(17)나 인클로저(19)가 접촉하지는 않는다.
또, 반송 암(12)으로부터 스테이지 히터(17)로의 웨이퍼(W)의 전달을 쉽게 하기 위하여, 푸셔 핀(21)이 스테이지 히터(17)의 표면으로부터 돌출한다. 또한, 이 때의 푸셔 핀(21)의 돌출량은 인클로저(19)의 상방으로의 이동량보다도 작게 설정되어 있기 때문에, 웨이퍼(W)가 인클로저(19)의 측벽(19a)에 가려지지 않는다.
본 실시형태에 따른 기판 처리 장치에 의하면, 상기한 바와 같이, 웨이퍼(W)를 반송 암(12)에 의해 반출입할 때에 반송 암(12)과 스테이지 히터(17)나 인클로저(19)가 접촉하지 않으므로, 처음부터 스테이지 히터(17)나 인클로저(19)가 공 간(IS)을 구획 형성할 때에 반송 암(12)과 스테이지 히터(17)나 인클로저(19)와의 접촉을 고려할 필요가 없다. 그 결과, 내부 챔버(14)에서 반송 암(12)과 스테이지 히터(17)나 인클로저(19)와의 클리어런스를 확보할 필요가 없어, 공간(IS)의 용량을 저감할 수 있다. 또한, 본 실시형태에서는, 인클로저(19)가 인클로저 기부(18)와 맞닿는 경우에 있어서의 공간(IS)의 용량은 예컨대 0.4L로 설정되어 있다.
상기한 기판 처리 장치(10)에서는, 손상된 Low-k 막을 갖는 웨이퍼(W)가 진공으로 유지되어 있는 로드 록 챔버(11) 내의 공간(LS) 및 거의 진공으로 유지되어 있는 외부 챔버(13) 내의 공간(ES)에서 반송된다. 따라서, 손상된 Low-k 막이 흡습되지 않아, 실릴화 가스를 사용하는 Low-k 막의 수복 처리에서 부반응 생성물이 생성되는 것을 방지할 수 있다.
또, 상기한 기판 처리 장치(10)에서는, 반송 암(12)에 의해 웨이퍼(W)를 반출입할 때, 인클로저(19)가 인클로저 기부(18)(스테이지 히터(17))로부터 이간된다. 인클로저(19)의 이동 기구는 비교적 간소한 구조를 기지므로, 기판 처리 장치(10)의 구성을 간소하게 할 수 있다.
상기한 기판 처리 장치(10)에서는, 인클로저(19) 및 스테이지 히터(17)는 히터를 내장한다. 상기한 Low-k 막의 수복 처리는 열에 의해 촉진된다. 따라서, 손상한 Low-k 막을 신속하게 수복할 수 있다.
또, 상기한 기판 처리 장치(10)에서는, 공간(IS)으로 연통하는 가스 공급관(23a) 및 가스 배기관(24a)에 있어서, 공급측 개폐 밸브(23b) 및 배기측 개폐 밸브(24b)는 외부 챔버(13)에 인접하여 배치된다. 이것에 의해, 공급측 개폐 밸 브(23b) 및 배기측 개폐 밸브(24b)가 가스 공급관(23a) 및 가스 배기관(24a)을 폐쇄했을 때, 실릴화 가스가 충전되는 공간(IS)과 연통하는 부분은 가스 공급구멍(23c) 및 가스 배기구멍(24c)뿐으로 된다. 따라서, 공간(IS)으로 연통하는 부분의 용량을 저감할 수 있고, 그 때문에, 상기한 Low-k 막의 수복 처리에서 실릴화 가스의 사용량을 확실하게 삭감할 수 있다.
다음에, 본 발명의 제 2 실시형태에 따른 기판 처리 장치에 대하여 설명한다.
도 3은 본 실시형태에 따른 기판 처리 장치의 구성을 개략적으로 도시하는 단면도이다.
도 3에서, 기판 처리 장치(30)도 로드 록 챔버(11)에 인접하여 배치되어 있다.
기판 처리 장치(30)는 로드 록 챔버(11)에 접속된 케이싱 모양의 외부 챔버(31)와, 이 외부 챔버(31) 내의 공간(ES')에 수용되어 있는 내부 챔버(32)를 구비한다. 외부 챔버(31) 내의 공간(ES')은 거의 진공으로 유지되어 있다.
내부 챔버(32)는 로드(33)에 의해 지지되는 원판 모양 부재이며, 히터(도시하지 않음)(가열 장치)를 내장하고 또한 웨이퍼(W)를 재치하는 재치대로서 기능하는 스테이지 히터(34)(가동 구성 부재)와, 스테이지 히터(34)를 덮도록 배치되어 있는 원판 덮개 모양 부재인 인클로저(35)(다른 구성 부재)를 갖는다.
스테이지 히터(34)는 로드(33)를 통하여 이동 기구(도시하지 않음)에 접속되고, 도면 중의 흰 화살표 방향을 따라 자유롭게 이동한다. 또, 스테이지 히터(34) 에는 푸셔 핀(21)과 동일한 기능을 갖는 복수의 푸셔 핀(36)이 배치되어 있다.
인클로저(35)는 복수의 로드(39)에 의해 외부 챔버(31)의 천장부에 매달아 지지되어 있다. 또, 인클로저(35)는 그 주연부를 둘러싸도록 설치되고, 스테이지 히터(34)를 향하여 돌출하는 원통 모양의 측벽(35a)을 갖는다. 또한, 인클로저(35)도 히터(도시하지 않음)(가열 장치)를 내장한다.
인클로저(35)가 스테이지 히터(34)와 맞닿는 경우, 스테이지 히터(34) 및 인클로저(35)는 공간(IS')을 구획 형성한다. 스테이지 히터(34) 및 인클로저(35)의 측벽(35a), 및 스테이지 히터(34) 및 푸셔 핀(36) 사이에는 O링(22)이 배치되어 있고, 공간(IS')을 공간(ES')으로부터 밀봉한다.
또, 기판 처리 장치(30)는 공간(IS')에 처리 가스 및 질소 가스를 공급하는 처리 가스 공급부(37)와, 이 공간(IS')의 처리 가스를 배기하는 처리 가스 배기부(38)를 구비한다.
처리 가스 공급부(37)는 외부 챔버(31)의 밖에 설치된 가스 공급관(37a)과, 이 가스 공급관(37a)에 설치되고 또한 이 가스 공급관(37a)을 개폐하는 공급측 개폐 밸브(37b)와, 외부 챔버(31)의 벽부, 로드(39) 및 인클로저(35)를 관통하고, 또한 스테이지 히터(34)에 대향하여 개구하는 가스 공급구멍(37c)을 갖는다. 처리 가스 공급부(37)에서, 공급측 개폐 밸브(37b)는 외부 챔버(31)에 인접하도록 배치되어 있다. 공급측 개폐 밸브(37b)가 가스 공급관(37a)을 폐쇄했을 때, 공간(IS')과 연통하는 부분은 가스 공급구멍(37c)뿐으로 된다.
처리 가스 배기부(38)는 외부 챔버(31)의 밖에 설치된 가스 배기관(38a)과, 이 가스 배기관(38a)에 설치되고 또한 이 가스 배기관(38a)을 개폐하는 배기측 개폐 밸브(38b)와, 외부 챔버(31)의 벽부, 로드(39) 및 인클로저(35)를 관통하고, 또한 스테이지 히터(34)에 대향하여 개구하는 가스 배기구멍(38c)을 갖는다. 처리 가스 배기부(38)에서, 배기측 개폐 밸브(38b)는 외부 챔버(31)에 인접하도록 배치되어 있다. 배기측 개폐 밸브(38b)가 가스 배기관(38a)을 폐쇄했을 때, 공간(IS')과 연통하는 부분은 가스 배기구멍(38c)뿐으로 된다.
스테이지 히터(34)가 인클로저(35)와 맞닿고, 또한 공간(IS')이 손상된 Low-k 막을 갖는 웨이퍼(W)를 수용할 때, 처리 가스 공급부(37)로부터 공간(IS')으로 실릴화 가스 및 질소 가스가 공급된다. 공간(IS')에 실릴화 가스 및 질소 가스가 일정량 충전되면, 공간(IS')은 봉쇄된다. 이 때, 공간(IS') 내에서는 상기한 Low-k 막의 수복 처리가 실행된다. 또, 인클로저(35) 내의 히터 및 스테이지 히터(34)의 히터는 웨이퍼(W)를 가열하여 Low-k 막의 수복 처리를 촉진시킨다. 그리고, 일정 시간의 충분한 봉쇄 후, 처리 가스 배기부(38)는 공간(IS') 내의 실릴화 가스 등을 배기한다.
또, 도 4에 도시하는 바와 같이, 기판 처리 장치(30)에서는, 반송 암(12)에 의해 웨이퍼(W)를 반출입할 때, 인클로저(35)에 맞닿아 있던 스테이지 히터(34)는 인클로저(35)로부터 도면 중 하방으로, 반송 암(12)의 가동영역으로부터 퇴출되도록 이간된다. 여기에서의 스테이지 히터(34)의 하방으로의 이동량은 웨이퍼(W)를 반출입하기 위하여 스테이지 히터(34) 및 인클로저(35) 사이에 진입한 반송 암(12)이 흔들려도 스테이지 히터(34)에 접촉하지 않는 이동량으로 설정되어 있다. 또, 이동하지 않는 인클로저(35)는 원래부터 반송 암(12)이 흔들려도 접촉하지 않는 위치에 배치되어 있다. 즉, 기판 처리 장치(30)에서는, 웨이퍼(W)를 반송 암(12)에 의해 반출입할 때에 반송 암(12)과 스테이지 히터(34)나 인클로저(35)가 접촉하지 않는다.
또, 반송 암(12)으로부터 스테이지 히터(34)로의 웨이퍼(W)의 전달을 용이하게 하기 위하여, 푸셔 핀(36)이 스테이지 히터(34)의 표면으로부터 돌출한다. 또한, 이 때의 푸셔 핀(36)의 돌출량은 인클로저(35)의 하방으로의 이동량보다도 작게 설정되어 있기 때문에, 웨이퍼(W)가 인클로저(35)의 측벽(35a)에 가려지지 않는다.
본 실시형태에 따른 기판 처리 장치에 의하면, 상기한 바와 같이, 웨이퍼(W)를 반송 암(12)에 의해 반출입할 때에 반송 암(12)과 스테이지 히터(34)나 인클로저(35)가 접촉하지 않기 때문에, 내부 챔버(32)에서 반송 암(12)과 스테이지 히터(34)나 인클로저(35)와의 클리어런스를 확보할 필요가 없어, 공간(IS')의 용량을 저감할 수 있다.
또, 기판 처리 장치(30)에서는, 반송 암(12)에 의해 웨이퍼(W)를 반출입할 때, 스테이지 히터(34)가 인클로저(35)로부터 이간된다. 인클로저(35)에는 상기한 Low-k 막의 수복 처리에서 부반응 생성물이 부착되는 경우가 있지만, 이 인클로저(35)는 이동하지 않으므로, 부반응 생성물이 이동의 충격으로 인클로저(35)로부터 박리하는 것을 방지할 수 있고, 그 때문에, 스테이지 히터(34)에 재치된 웨이퍼(W)에 부반응 생성물이 이물로서 부착되는 것을 방지할 수 있다.
상기한 각 실시형태에서는, 내부 챔버(14(32)) 내의 공간(IS(1S'))에 실릴화 가스를 공급하고, 화학반응 처리로서 상기한 Low-k 막의 수복 처리를 실행했는데, 실행되는 화학반응 처리는 이것에 한정되지 않는다. 예컨대 브롬화수소 가스의 플라즈마를 사용하여 폴리실리콘층을 에칭할 때에 발생하는 SiOBr층을 불화수소 가스에 의해 제거하는 처리(HTS 처리)를 실행할 수도 있다. 이 때, 공간(IS(IS'))에는 불화수소 가스가 공급되는데, 불화수소 가스는 부식성 가스로서, 공간(IS(IS'))으로부터 외부로 유출되면 이 외부에 존재하는 것을 부식하는 등의 문제를 일으킬 가능성이 있다. 그렇지만, 기판 처리 장치(10(30))에서는 불화수소 가스가 외부 챔버(13(31)) 내의 공간(ES(ES'))에 수용되어 있는 내부 챔버(14(32))의 공간(IS(IS'))에 공급될 뿐이므로, 가령, 공간(IS(IS'))으로부터 불화수소 가스가 누설되었다고 해도, 공간(ES(ES'))으로 누설되는 것에 지나지 않아, 외부로 누설되지 않는다. 따라서, 가스 누설에 대한 안전성을 확보할 수 있다.
또, 상기한 각 실시형태에서는, 로드 록 챔버(11) 내의 공간(LS)은 진공으로 유지되고, 또한 외부 챔버(13(31)) 내의 공간(ES(ES'))은 거의 진공으로 유지되어 있는데, 공간(LS)이나 공간(ES(ES'))에 불활성 가스가 충전되어 있을 수도 있다. 이 때에도, 반송되는 웨이퍼(W)에서 손상된 Low-k 막은 흡습되지 않고, 그 결과, 부반응 생성물이 생성되는 것을 방지할 수 있다.
또, 공급측 개폐 밸브(23b(37b)) 및 배기측 개폐 밸브(24b(38b))는 내부 챔버(14(32))에 인접하도록 배치되어 있어도 된다.
또한, 상기한 실시형태에서는, 기판이 반도체 웨이퍼(W)이었지만, 기판은 이 것에 한정되지 않고, 예컨대 LCD(Liquid Crystal Display)나 FPD(Flat Panel Dispiay) 등의 유리 기판일 수도 있다.
도 1은 본 발명의 제 1 실시형태에 따른 기판 처리 장치의 구성을 개략적으로 도시하는 단면도.
도 2는 도 1에서의 인클로저가 인클로저 기부(스테이지 히터)로부터 이간된 상태를 도시하는 단면도.
도 3은 본 발명의 제 2 실시형태에 따른 기판 처리 장치의 구성을 개략적으로 도시하는 단면도.
도 4는 도 3에서의 스테이지 히터가 인클로저로부터 이간된 상태를 도시하는 단면도.
(부호의 설명)
W 웨이퍼 ES, ES', LS, IS, IS' 공간
10, 30 기판 처리 장치
12 반송 암 13, 31 외부 챔버
14, 32 내부 챔버 17, 34 스테이지 히터
18 인클로저 기부 19, 35 인클로저
21, 36 푸셔 핀 23, 37 처리 가스 공급부
23a, 37a 가스 공급관 23b, 37b 공급측 개폐 밸브
24, 38 처리 가스 배기부
24a, 38a 가스 배기관
24b, 38b 배기측 개폐 밸브

Claims (5)

  1. 외부 챔버와, 상기 외부 챔버 내의 공간에 수용되는 내부 챔버와, 상기 내부 챔버 내의 공간에 처리 가스를 공급하는 가스 공급부를 구비하고, 상기 외부 챔버 내의 공간은 감압되거나 또는 상기 공간에는 불활성 가스가 충전되는 기판 처리 장치에 있어서,
    상기 내부 챔버는 상기 내부 챔버 내의 공간을 다른 구성 부재와 구획 형성하는 가동 구성 부재를 갖고,
    기판을 반송하는 반송 암에 의해 상기 기판을 반출입할 때, 상기 가동 구성 부재는 상기 다른 구성 부재로부터 이간됨으로써 상기 반송 암의 가동영역으로부터 퇴출되는 것을 특징으로 하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 다른 구성 부재는 상기 기판을 재치하는 재치대이고, 상기 가동 구성 부재는 상기 재치대를 덮는 덮개 모양 부재인 것을 특징으로 하는 기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 가동 구성 부재는 상기 기판을 재치하는 재치대이고, 상기 다른 구성 부재는 상기 재치대를 덮는 덮개 모양 부재인 것을 특징으로 하는 기판 처리 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 가동 구성 부재 및 상기 다른 구성 부재는 가열 장치를 갖는 것을 특징으로 하는 기판 처리 장치.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 가스 공급부는 상기 내부 챔버 내의 공간으로 연통하는 가스 공급관을 갖고, 상기 가스 공급관은 상기 가스 공급관을 개폐하는 개폐 밸브를 가지며, 상기 개폐 밸브는 상기 내부 챔버의 근방에 배치되는 것을 특징으로 하는 기판 처리 장치.
KR1020080009430A 2007-01-31 2008-01-30 기판 처리 장치 KR100961007B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-00022330 2007-01-31
JP2007022330A JP2008192642A (ja) 2007-01-31 2007-01-31 基板処理装置

Publications (2)

Publication Number Publication Date
KR20080071917A KR20080071917A (ko) 2008-08-05
KR100961007B1 true KR100961007B1 (ko) 2010-05-31

Family

ID=39666618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080009430A KR100961007B1 (ko) 2007-01-31 2008-01-30 기판 처리 장치

Country Status (5)

Country Link
US (2) US20100073344A1 (ko)
JP (1) JP2008192642A (ko)
KR (1) KR100961007B1 (ko)
CN (1) CN101236894B (ko)
TW (1) TWI449097B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101942511B1 (ko) * 2018-04-11 2019-01-29 (주)앤피에스 기판 처리 장치 및 기판 처리 방법

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP4824590B2 (ja) * 2007-01-31 2011-11-30 東京エレクトロン株式会社 基板処理装置
KR101056363B1 (ko) * 2009-02-04 2011-08-12 전표만 반도체 기판의 열처리 장치 및 그 방법
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
CN102269940A (zh) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 一种光刻胶烘焙装置
FI20115073A0 (fi) 2011-01-26 2011-01-26 Beneq Oy Laitteisto, menetelmä ja reaktiokammio
JP5750281B2 (ja) * 2011-03-07 2015-07-15 株式会社アルバック 真空一貫基板処理装置及び成膜方法
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US20130101372A1 (en) * 2011-10-19 2013-04-25 Lam Research Ag Method and apparatus for processing wafer-shaped articles
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
CN103295935B (zh) * 2012-02-22 2017-06-20 东京毅力科创株式会社 基板处理装置
JP6029452B2 (ja) * 2012-02-22 2016-11-24 東京エレクトロン株式会社 基板処理装置
CN102708824B (zh) * 2012-05-31 2014-04-02 京东方科技集团股份有限公司 薄膜晶体管阈值电压偏移补偿电路及goa电路、显示器
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
CN102969227B (zh) * 2012-11-15 2015-07-08 上海交通大学 集紫外光化学与化学气相干法表面处理的真空设备
CN103337450B (zh) * 2013-06-18 2016-03-02 上海交通大学 紫外光/臭氧表面清洗与氧化改性真空设备及其使用方法
US9236283B2 (en) * 2013-03-12 2016-01-12 Tokyo Ohka Kogyo Co., Ltd. Chamber apparatus and heating method
JP5535368B2 (ja) * 2013-04-26 2014-07-02 東京エレクトロン株式会社 処理装置
JP6113019B2 (ja) * 2013-08-07 2017-04-12 株式会社ディスコ ウエーハの分割方法
US20150140211A1 (en) * 2013-11-19 2015-05-21 Cvd Equipment Corporation Scalable 2D-Film CVD Synthesis
CN103971643B (zh) * 2014-05-21 2016-01-06 上海天马有机发光显示技术有限公司 一种有机发光二极管像素电路及显示装置
US20160002775A1 (en) * 2014-07-02 2016-01-07 Rolls-Royce Corporation Multilayer liner for chemical vapor deposition furnace
EP3210240A1 (en) * 2014-12-11 2017-08-30 Evatec AG Apparatus and method especially for degassing of substrates
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6634302B2 (ja) * 2016-02-02 2020-01-22 株式会社ジャパンディスプレイ 表示装置
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10418264B2 (en) * 2016-06-08 2019-09-17 Hermes-Epitek Corporation Assembling device used for semiconductor equipment
US10570015B2 (en) 2016-09-02 2020-02-25 International Business Machines Corporation Minimizing tin loss during thermal processing of kesterite films
US10126053B2 (en) * 2016-09-02 2018-11-13 International Business Machines Corporation Precision dual annealing apparatus
US10873396B2 (en) * 2016-12-05 2020-12-22 Rensselaer Polytechnic Institute Methods and devices for transceiving light via a display device
US10535538B2 (en) * 2017-01-26 2020-01-14 Gary Hillman System and method for heat treatment of substrates
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
JP7214021B2 (ja) * 2018-03-29 2023-01-27 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102581681B1 (ko) 2018-09-05 2023-09-22 삼성전자주식회사 플라즈마 증착 방법 및 플라즈마 증착 장치
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
US11600507B2 (en) * 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
CN115762398A (zh) * 2021-09-03 2023-03-07 乐金显示有限公司 像素电路和包括该像素电路的显示装置
KR20230064708A (ko) * 2021-11-03 2023-05-11 삼성디스플레이 주식회사 화소 및 이를 포함하는 표시 장치
KR20230110412A (ko) 2022-01-14 2023-07-24 삼성디스플레이 주식회사 화소 및 이를 포함하는 표시 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61291965A (ja) 1985-06-18 1986-12-22 Fujitsu Ltd 超高真空チヤンバ−
KR20020022563A (ko) * 2000-09-19 2002-03-27 엔도 마코토 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
KR20040048618A (ko) * 2002-12-04 2004-06-10 삼성전자주식회사 원자층 증착 장치
JP2006114461A (ja) * 2004-10-18 2006-04-27 Sekisui Chem Co Ltd 大気圧プラズマ表面処理装置

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH573985A5 (ko) * 1973-11-22 1976-03-31 Balzers Patent Beteilig Ag
US3954191A (en) * 1974-11-18 1976-05-04 Extrion Corporation Isolation lock for workpieces
JP2825510B2 (ja) * 1988-11-15 1998-11-18 三井化学株式会社 熱安定性の良好なポリイミドの製造方法
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5002010A (en) * 1989-10-18 1991-03-26 Varian Associates, Inc. Vacuum vessel
US5169408A (en) * 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
WO1995016800A1 (en) * 1993-12-17 1995-06-22 Brooks Automation, Inc. Apparatus for heating or cooling wafers
JPH07176493A (ja) * 1993-12-17 1995-07-14 Nissin Electric Co Ltd 薄膜形成装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
DE69710655T2 (de) * 1996-08-07 2002-10-31 Concept Systems Design Inc Gaseinleitsystem für CVD Reaktoren
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5820329A (en) * 1997-04-10 1998-10-13 Tokyo Electron Limited Vacuum processing apparatus with low particle generating wafer clamp
JP4251377B2 (ja) * 1997-04-23 2009-04-08 宇東科技股▲ふん▼有限公司 アクティブマトリックス発光ダイオードピクセル構造及び方法
US6229506B1 (en) * 1997-04-23 2001-05-08 Sarnoff Corporation Active matrix light emitting diode pixel structure and concomitant method
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
JP3122061B2 (ja) * 1997-07-22 2001-01-09 株式会社カイジョー 超音波式浮揚搬送機構を備えたクラスターツール型枚葉処理装置
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
US6231289B1 (en) * 1998-08-08 2001-05-15 Brooks Automation, Inc. Dual plate gas assisted heater module
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6221781B1 (en) * 1999-05-27 2001-04-24 Fsi International, Inc. Combined process chamber with multi-positionable pedestal
US7066703B2 (en) * 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2001291655A (ja) * 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
JP3590328B2 (ja) * 2000-05-11 2004-11-17 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US7018504B1 (en) * 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
JP3832415B2 (ja) * 2002-10-11 2006-10-11 ソニー株式会社 アクティブマトリクス型表示装置
WO2004075272A1 (ja) * 2003-02-21 2004-09-02 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体デバイスの製造方法
JP4484451B2 (ja) * 2003-05-16 2010-06-16 奇美電子股▲ふん▼有限公司 画像表示装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
JP2005099715A (ja) * 2003-08-29 2005-04-14 Seiko Epson Corp 電子回路の駆動方法、電子回路、電子装置、電気光学装置、電子機器および電子装置の駆動方法
JP4160032B2 (ja) * 2004-09-01 2008-10-01 シャープ株式会社 表示装置およびその駆動方法
US7663615B2 (en) * 2004-12-13 2010-02-16 Casio Computer Co., Ltd. Light emission drive circuit and its drive control method and display unit and its display drive method
JP2006253517A (ja) 2005-03-14 2006-09-21 Dainippon Screen Mfg Co Ltd 減圧乾燥装置
JP5037795B2 (ja) * 2005-03-17 2012-10-03 グローバル・オーエルイーディー・テクノロジー・リミテッド・ライアビリティ・カンパニー 表示装置
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100645698B1 (ko) * 2005-04-28 2006-11-14 삼성에스디아이 주식회사 화소 및 이를 이용한 발광 표시장치와 그의 구동방법
JP4923505B2 (ja) * 2005-10-07 2012-04-25 ソニー株式会社 画素回路及び表示装置
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61291965A (ja) 1985-06-18 1986-12-22 Fujitsu Ltd 超高真空チヤンバ−
KR20020022563A (ko) * 2000-09-19 2002-03-27 엔도 마코토 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
KR20040048618A (ko) * 2002-12-04 2004-06-10 삼성전자주식회사 원자층 증착 장치
JP2006114461A (ja) * 2004-10-18 2006-04-27 Sekisui Chem Co Ltd 大気圧プラズマ表面処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101942511B1 (ko) * 2018-04-11 2019-01-29 (주)앤피에스 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
US8349085B2 (en) 2013-01-08
US20080179006A1 (en) 2008-07-31
TW200847267A (en) 2008-12-01
CN101236894A (zh) 2008-08-06
KR20080071917A (ko) 2008-08-05
JP2008192642A (ja) 2008-08-21
US20100073344A1 (en) 2010-03-25
TWI449097B (zh) 2014-08-11
CN101236894B (zh) 2011-07-20

Similar Documents

Publication Publication Date Title
KR100961007B1 (ko) 기판 처리 장치
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
JP4916140B2 (ja) 真空処理システム
US9209055B2 (en) Substrate processing apparatus
JP2002530858A (ja) 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US20150267291A1 (en) Purge chamber, and substrate-processing apparatus including same
US8052887B2 (en) Substrate processing apparatus
JP2007073746A (ja) 基板処理装置
KR20180120586A (ko) 기판 처리 장치, 인젝터 내의 파티클 제거 방법 및 기판 처리 방법
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
JP2009054859A (ja) 基板受入装置及び基板受入方法
KR102264573B1 (ko) 기판 처리 장치, 처리 가스 노즐 내의 파티클 코팅 방법 및 기판 처리 방법
JPH07130699A (ja) 基板の表面処理装置
JP3066691B2 (ja) マルチチャンバー処理装置及びそのクリーニング方法
KR20130016359A (ko) 기판 처리 방법 및 기판 처리 시스템
KR20200108467A (ko) 처리 장치, 배기 시스템, 반도체 장치의 제조 방법
JP2009260022A (ja) 基板処理ユニットおよび基板処理装置
JP4476330B2 (ja) 基板処理装置、基板洗浄乾燥装置、基板処理方法、および、基板処理プログラム
JP2003203961A (ja) 基板処理装置
KR20180109725A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JPH07130698A (ja) 基板の表面処理装置
JPH11347509A (ja) 洗浄処理装置及び洗浄処理装置における排気方法
JPH02237015A (ja) 基板の表面処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 10