TWI449097B - Substrate processing device - Google Patents

Substrate processing device Download PDF

Info

Publication number
TWI449097B
TWI449097B TW097103500A TW97103500A TWI449097B TW I449097 B TWI449097 B TW I449097B TW 097103500 A TW097103500 A TW 097103500A TW 97103500 A TW97103500 A TW 97103500A TW I449097 B TWI449097 B TW I449097B
Authority
TW
Taiwan
Prior art keywords
substrate
space
chamber
gas
processing apparatus
Prior art date
Application number
TW097103500A
Other languages
English (en)
Other versions
TW200847267A (en
Inventor
Shigeru Tahara
Seiichi Takayama
Morihiro Takanashi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200847267A publication Critical patent/TW200847267A/zh
Application granted granted Critical
Publication of TWI449097B publication Critical patent/TWI449097B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Indicators Other Than Cathode Ray Tubes (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Description

基板處理裝置
本發明係有關一種基板處理裝置,尤其是具有由外部腔室及收納在外部腔室之內部腔室構成的二層構造之處理室的基板處理裝置。
在由作為基板之晶圓製造半導體裝置之半導體製造過程中,藉由使用處理氣體之化學反應的處理逐漸多用。就利用化學反應的處理(以下,稱為「化學反應處理」。)而言,例如在除去光阻膜之灰化時,藉由甲矽烷基化氣體修復損傷的低介電率絕緣膜(Low-k膜)的處理係屬於該類。
又在半導體製造過程中,習知以來大多採用真空處理,例如蝕刻處理、灰化處理、CVD處理。當在此等真空處理後,將施予該真空處理的晶圓在大氣中進行搬送時,會有引起各種不合宜的可能性。具體而言,在利用CVD處理所形成的金屬層上藉由自然氧化產生氧化膜,而該氧化膜係在半導體裝置中會引起導通不良。或者,使Low-k膜吸濕。甲矽烷基化氣體(例如Si(CH3 )3 -N(CH3)2 )係與水的氫基(OH基)反應,而產生副反應生成物(Si(CH3 )3 -O-Si(CH3 )3 )。該副反應生成物係埋在利用蝕刻所形成的通道(溝)或洞孔(穴)。
因此,尤其是在對施予真空處理的晶圓繼續施予化學反應處理之情況下,使該晶圓以在真空處理後不必暴露在 大氣中,採用在真空中或是惰性氣體環境中進行搬送並搬入至化學反應處理用的處理室(腔室)之搬送方法為佳。
又習知以來,具有由使內部維持為真空的外部腔室、及收納在該外部腔室內的空間之內部腔室構成之二層構造的處理室之基板處理裝置係為既知的(例如參照專利文獻1。)。在該基板處理裝置中,藉由使外部腔室內的空間維持在真空,並且將處理氣體導入內部腔室內的空間,進一步將施予真空處理的晶圓在外部腔室內的空間進行搬送並搬入至內部腔室的空間,而可以實現上述的搬送方法。
[專利文獻1]日本特開昭61-291965號公報
然而,由於處理氣體之甲矽烷基化氣體為高價位,因而希望能夠減低使用量。換言之,要求儘量減少處理氣體的使用量,進一步要求減低處理氣體所導入的內部腔室內的空間容量。
一方面,在上述之具有二層構造的處理室之基板處理裝置中,為了將晶圓搬入內部腔室內的空間,在內部腔室的側壁設置晶圓搬出/入口、或是設置可自由開啟/關閉配設在內部腔室上部的開口部之上蓋。在這樣的內部腔室中,為了搬入晶圓,必須將用以搬送晶圓的機械臂經由晶圓搬出/入口或開口部而進入內部腔室內的空間。此時,為了防止機械臂與內部腔室的構成構件之接觸,而必須確保 該機械臂與構成構件的間距,其結果為造成無法減低內部腔室內的空間容量的問題。
本發明的目的係為提供一種能夠減低內部腔室的空間容量之基板處理裝置。
為了達成上述目的,申請專利範圍第1項記載的基板處理裝置係針對具備外部腔室、收納在該外部腔室內的空間之內部腔室、及將處理氣體供給至該內部腔室內的空間之氣體供給部,並且使前述外部腔室內的空間為減壓或是在該空間內填充惰性氣體之基板處理裝置,其特徵為:前述內部腔室係具有與其他構成構件區畫該內部腔室內的空間之可動構成構件,在利用搬送基板的搬送臂搬出/入前述基板時,前述可動構成構件係由前述搬送臂的可動領域退出。
申請專利範圍第2項記載的基板處理裝置係在申請專利範圍第1項記載的基板處理裝置中,前述其他構成構件為載置前述基板之載置台,前述可動構成構件為覆蓋前述載置台的蓋狀構件,在利用前述搬送基板的搬送臂搬出/入前述基板時,前述蓋狀構件係與前述載置台分離。
申請專利範圍第3項記載的基板處理裝置係在申請專利範圍第1項記載的基板處理裝置中,前述可動構成構件為載置前述基板之載置台,前述其他構成構件為覆蓋前述載置台的蓋狀構件,在利用前述搬送基板的搬送臂搬出/ 入前述基板時,前述載置台係與前述蓋狀構件分離。
申請專利範圍第4項記載的基板處理裝置係在申請專利範圍第1至3項中任一項記載的基板處理裝置中,前述可動構成構件及其他構成構件具有加熱裝置。
申請專利範圍第5項記載的基板處理裝置係在申請專利範圍第1至4項中任一項記載的基板處理裝置中,前述氣體供給部具有連通前述內部腔室內的空間之氣體供給管,該氣體供給管具有開啟/關閉該氣體供給管之開關閥,該開關閥配置在前述內部腔室附近。
若是根據申請專利範圍第1項記載的基板處理裝置的話,在利用搬送基板的搬送臂搬出/入基板時,區畫內部腔室內的空間之可動構成構件係從搬送臂的可動領域退出。因此,不會使搬送臂與可動構成構件相互接觸,在內部腔室中不必確保機械臂與可動構成構件的間距。其結果為能夠減低內部腔室內的空間容量。
若是根據申請專利範圍第2項記載的基板處理裝置的話,在利用搬送臂搬出/入基板時,作為可動構成構件的蓋狀構件係與作為其他構成構件的載置台分離。因為蓋狀構件的移動機構係具有比較簡單的構造,因此能夠使基板處理裝置的構成變得簡單。
若是根據申請專利範圍第3項記載的基板處理裝置的話,在利用搬送臂搬出/入基板時,作為可動構成構件的 載置台係與作為其他構成構件的蓋狀構件分離。雖然在蓋狀構件上會附著反應生成物,但是由於該蓋狀構件為不移動的,因此可以防止反應生成物藉由移動衝擊而從蓋狀構件剝離,進而能夠防止異物附著在載置於載置台的基板。
若是根據申請專利範圍第4項記載的基板處理裝置的話,可動構成構件與其他構成構件係具有加熱裝置。藉由熱能促進基板所具有的低介電率絕緣膜之修復處理。因此能夠迅速地修復低介電率絕緣膜。
若是根據申請專利範圍第5項記載的基板處理裝置的話,在連通內部腔室內的空間之氣體供給管中,將開關閥配置在內部腔室附近。藉此,在使開關閥關閉氣體供給管時,可以減低氣體供給管中之連通內部腔室內的空間之部份的容量,進而能夠確實地減少處理氣體的使用量。
以下,針對本發明之實施形態,一邊參照圖面一邊說明。
首先,針對關於本發明之第1實施形態的基板處理裝置加以說明。
第1圖係為概略顯示關於本實施形態之基板處理裝置的構成之剖面圖。
在第1圖中,基板處理裝置10係鄰接配置在用以搬送半導體晶圓(以下簡稱為「晶圓」。)W之作為搬送室的真空隔絕腔室11。該真空隔絕腔室11係具有載置搬送 晶圓W之後述的搬送臂12,真空隔絕腔室11內的空間LS係維持為真空。
基板處理裝置10係具備連接在真空隔絕腔室11之框體狀外部腔室13、及收納在該外部腔室13內的空間ES之內部腔室14。外部腔室13內的空間ES係利用未圖示的排氣裝置進行減壓,大約維持為真空。又外部腔室13係在其側壁中具有使空間LS與空間ES相互連通的晶圓搬出/入口15。該晶圓搬出/入口15係利用真空隔絕腔室11所具有的滑動閥狀的閘閥16進行開啟/關閉。
內部腔室14係具有配置在空間ES下部之圓板狀構件,並內藏加熱器(未圖示)(加熱裝置)且具有作為載置晶圓W的載置台之機能的平台加熱器17(其他構成構件);由外部腔室13底部直立設置,並配置為包圍平台加熱器17周圍之圓環狀構件的密封構件基部18(其他構成構件);及配置為覆蓋平台加熱器17及密封構件基部18之圓板蓋狀構件的密封構件19(可動構成構件)。
在平台加熱器17中係配設複數個推進銷21,該推進銷21係由平台加熱器17的表面自由突出。又推進銷21係在晶圓內面中支撐該晶圓。即使在使推進銷21位於對平台加熱器17而言為最下方的位置之情況下,因為該推進銷21係只由平台加熱器17的表面稍微突出,因此晶圓W係只與平台加熱器17稍微分離載置。
密封構件19係介由桿20而與移動機構(未圖示)連接,並順著圖中之留白的箭頭方向自由移動。在此之移動機 構係由於形成為只能移動密封構件19為佳,因此能夠以比較簡單的構造加以實現。又密封構件19係具有配置為包圍其周緣部,並朝向密封構件基部18突出的圓筒狀側壁19a。又密封構件19也內藏加熱器(未圖示)(加熱裝置)。
在使密封構件19抵接於密封構件基部18之情況下,平台加熱器17、密封構件基部18、及密封構件19係區畫出空間IS。在平台加熱器17與密封構件基部18、密封構件基部18與密封構件19的側壁19a、以及平台加熱器17與推進銷21之間配設O環22,從空間ES密封空間IS。其中,在使空間IS收納晶圓W時,將推進銷21位在對於平台加熱器17為最下方的位置。因此,能夠減低空間IS的高度,進而能夠減低空間IS的容量。
又基板處理裝置10係具備供給處理氣體及氮氣(N2 氣體)至空間IS的處理氣體供給部23、使該空間IS的處理氣體進行排氣的處理氣體排氣部24。
處理氣體供給部23係具有設置在外部腔室13之外的氣體供給管23a;設置在該氣體供給管23a且能夠開啟/關閉該氣體供給管23a的供給側開關閥23b;及貫通外部腔室13的側壁與密封構件基部18,而且開口與密封構件19對向之氣體供給孔23c。
氣體供給孔23c及氣體供給管23a係與空間IS連通,並使處理氣體介由氣體供給管23a、供給側開關閥23b、及氣體供給孔23c從外部的氣體供給裝置(未圖示)被供 給。在處理氣體供給部23中,供給側開關閥23b係以鄰接在內部腔室14的附近,具體而言就是外部腔室13的方式加以配置。在使供給側開關閥23b關閉氣體供給管23a時,與空間IS連通的部份係只有氣體供給孔23c。
處理氣體排氣部24係具有設置在外部腔室13之外的氣體排氣管24a;設置在該氣體排氣管24a且能夠開啟/關閉該氣體排氣管24a的排氣側開關閥24b;及貫通外部腔室13的側壁與密封構件基部18,而且開口與密封構件19對向之氣體排氣孔24c。
氣體排氣孔24c及氣體排氣管24a係與空間IS連通,並利用外部的排氣裝置(未圖示),使空間IS內的處理氣體介由氣體排氣孔24c、排氣側開關閥24b、及氣體排氣管24a進行排氣。在處理氣體排氣部24中,排氣側開關閥24b係以鄰接在內部腔室14的附近,具體而言就是外部腔室13的方式加以配置。在使排氣側開關閥24b關閉氣體排氣管24a時,與空間IS連通的部份係只有氣體排氣孔24c。
然而通常Low-k膜係具備下述化學式(1)所示之在末端部具有作為有機成分的甲基。
當利用灰化等,對具有該Low-k膜及光阻膜的晶圓W施予光阻膜除去處理時,Low-k膜的構造係變化為下述化學式(2)所示的構造(使Low-k膜損傷)。
在化學式(2)所示的構造中,因為末端部存在氫基,而使有機成分不存在,使得該構造的膜無法具有作為Low-k膜的機能。因此,使用上述之甲矽烷基化氣體,將末端部的氫基置換為有機成分。具體而言,使上述化學式(2)構造的膜與甲矽烷基化氣體反應後而可以得到下述化學式(3)所示的構造。藉此,利用甲矽烷基化氣體修復Low-k膜。
在使密封構件19與密封構造基部18抵接,而且使空間IS收納具有損傷的Low-k膜之晶圓W時,從處理氣體供給部23朝向空間IS供給甲矽烷基化氣體(例如Si(CH3 )3 -N(CH3)2 )及氮氣。當使甲矽烷基化氣體及氮氣在空間IS填充一定量時,處理氣體供給部23係利用供給側開關閥23b關閉氣體供給管23a的同時,處理氣體排氣部24係利用排氣側開關閥24b關閉氣體排氣管24a。藉此,使空間IS被密封,並使其壓力維持在1.3×103 Pa(10 Torr)~6.67×103 Pa(50 Torr)。在空間IS內,使甲矽烷基化氣體與具有上述化學式(2)所示的構造之損傷的Low-k膜反應,而使該Low-k膜得以修復。又由於甲矽烷基化氣體與損傷的Low-k膜的反應係藉由熱能加以促進,因此密封構件19內的加熱器與平台加熱器17的加熱器係加熱晶圓W,而促進Low-k膜的修復處理。再者,在一定時間的密封結束後,處理氣體排氣部24係使空間IS內的甲矽烷基化氣體等進行排氣。
又如第2圖所示,在基板處理裝置10中,在利用搬送臂12搬出/入晶圓W時,與密封構件基部18抵接的密封構件19係以朝圖中上方,由搬送臂12的可動領域退出的方式,而與平台加熱器17分離。在此之密封構件19的朝上方移動量係設定在即使因為搬出/入晶圓W而進入密封構件19與平台加熱器17之間的搬送臂12晃動也不會與密封構件接觸的移動量,例如35 mm。不移動的平台加熱器17係原先就配置在即使搬送臂12晃動也不會與其接觸的位置。換言之,在基板處理裝置10中,在利用搬送臂12搬出/入晶圓W時,不會使搬送臂12與平台加熱器17或密封構件19接觸。
又為了由搬送臂12朝平台加熱器17之晶圓W傳遞變得容易,使推進銷21從平台加熱器17的表面突出。此時之推進銷21的突出量係由於設定在較密封構件19之朝上方的移動量更小,因此不會使晶圓W隱藏於密封構件19的側壁19a。
若是根據有關本實施形態之基板處理裝置的話,如上述所示,在利用搬送臂12搬出/入晶圓W時,因為搬送臂12不會與平台加熱器17或密封構件19接觸,因此在一開始使平台加熱器17或密封構件19區畫空間IS時,就不必考慮搬送臂12與平台加熱器17或密封構件19的接觸。其結果為,在內部腔室14中不必確保搬送臂12與平台加熱器17或密封構件19的間距,而能夠減低空間IS的容量。又在本實施形態中,在使密封構件19抵接於密封 構件基部18之情況的空間IS的容量係設定為例如0.4L。
在上述的基板處理裝置10中,使具有損傷的Low-k膜之晶圓W在維持為真空的真空隔絕腔室11內的空間LS及大約維持為真空的外部腔室13內的空間ES中進行搬送。因此,不會使損傷的Low-k膜吸濕,而在使用甲矽烷基化氣體中,能夠防止副反應生成物的產生。
又在上述的基板處理裝置10中,在利用搬送臂12搬出/入晶圓W時,使密封構件19與密封構件基部18(平台加熱器17)分離。因為密封構件19的移動機構係具有比較簡單的構造,因此能夠使基板處理裝置10的構造變得簡單。
在上述的基板處理裝置10中,密封構件19及平台加熱器17係內藏加熱器。上述之Low-k膜的修復處理係藉由熱能加以促進。因此,能夠迅速修復損傷的Low-k膜。又在上述的基板處理裝置10中,在與空間IS連通的氣體供給管23a及氣體排氣管24a中,供給側開關閥23b及排氣側開關閥24b係鄰接配置在外部腔室13。藉此,在使供給側開關閥23b及排氣側開關閥24b關閉氣體供給管23a及排氣供給管24a時,甲矽烷基化氣體所填充之與空間IS連通的部份係只有氣體供給孔23c及氣體排氣孔24c。因此,能夠減低與空間IS連通的部份之容量,進而在上述的Low-k膜修復處理中,能夠確實減少甲矽烷基化氣體的使用量。
其次,針對有關本發明之第2實施形態的基板處理裝 置加以說明。
第3圖係為概略顯示有關本實施形態之基板處理裝置的構成之剖面圖。
在第3圖中,基板處理裝置30也是鄰接配置在真空隔絕腔室11。
基板處理裝置30係具備連接在真空隔絕腔室11之框體狀外部腔室31、及收納在該外部腔室31內的空間ES'之內部腔室32。外部腔室31內的空間ES'係大約維持為真空。
內部腔室32係具有利用桿33支撐的圓板狀構件,並內藏加熱器(未圖示)(加熱裝置)且具有作為載置晶圓W的載置台之機能的平台加熱器34(可動構成構件);及配置為覆蓋平台加熱器34之圓板蓋狀構件的密封構件35(其他構成構件)。
平台加熱器34係介由桿33而連接在移動機構(未圖示),並順著圖中之留白箭頭方向移動。又在平台加熱器34中係配設具有與推進銷21相同機能的複數個推進銷36。
密封構件35係利用複數個桿39而垂釣在外部腔室31的天井部。又密封構件35係具有設置為包圍其周圍部,並朝向平台加熱器34突出的圓筒狀側壁35a。又密封構件35也內藏加熱器(未圖示)(加熱裝置)。
在使密封構件35抵接平台加熱器34之情況下,平台加熱器34及密封構件35係區畫出空間IS'。在平台加熱 器34與密封構件35的側壁35a、以及平台加熱器34與推進銷36之間係配設O環22,使空間IS'由空間ES'密封。
又基板處理裝置30係具備供給處理氣體及氮氣至空間IS'的處理氣體供給部37、使該空間IS'的處理氣體進行排氣的處理氣體排氣部38。
處理氣體供給部37係具有設置在外部腔室31之外的氣體供給管37a;設置在該氣體供給管37a且能夠開啟/關閉該氣體供給管37a的供給側開關閥37b;及貫通外部腔室31的側壁、桿39、及密封構件35,而且開口與平台加熱器34對向之氣體供給孔37c。在處理氣體供給部37中,供給側開關閥37b係以鄰接外部腔室31的方式加以配置。在使供給側開關閥37b關閉氣體供給管37a時,與空間IS'連通的部份係只有氣體供給孔37c。
處理氣體排氣部38係具有設置在外部腔室31之外的氣體排氣管38a;設置在該氣體排氣管38a且能夠開啟/關閉該氣體排氣管38a的排氣側開關閥38b;及貫通外部腔室31的側壁、桿39、及密封構件35,而且開口與平台加熱器34對向之氣體排氣孔38c。在處理氣體排氣部38中,排氣側開關閥38b係以鄰接外部腔室31的方式加以配置。在使排氣側開關閥38b關閉氣體排氣管38a時,與空間IS'連通的部份係只有氣體排氣孔38c。
在使平台加熱器34與密封構件35抵接,且使空間IS'收納具有損傷的Low-k膜之晶圓W時,從處理氣體供給部37朝向空間IS'供給甲矽烷基化氣體及氮氣。當使甲 矽烷基化氣體及氮氣在空間IS'填充一定量時,使空間IS'被密封。此時,在空間IS'內係執行上述之Low-k膜的修復處理。又密封構件35內的加熱器與平台加熱器34的加熱器係加熱晶圓W,而促進Low-k膜的修復處理。再者,在一定時間的密封結束後,處理氣體排氣部38係使空間IS'內的甲矽烷基化氣體等進行排氣。
又如第4圖所示,在基板處理裝置30中,在利用搬送臂12搬出/入晶圓W時,與密封構件35抵接平台加熱器34係以朝圖中下方,由搬送臂12的可動領域退出的方式,與密封構件35分離。在此之平台加熱器34的朝下方移動量係設定在即使因為搬出/入晶圓W而進入平台加熱器34與密封構件35之間的搬送臂12晃動也不會與平台加熱器34接觸的移動量。又不移動的密封構件35係原先就配置在即使搬送臂12晃動也不會與其接觸的位置。換言之,在基板處理裝置30中,在利用搬送臂12搬出/入晶圓W時,不會使搬送臂12與平台加熱器34或密封構件35接觸。
又為了由搬送臂12朝平台加熱器34之晶圓W傳遞變得容易,使推進銷36從平台加熱器34的表面突出。又此時之推進銷36的突出量係由於設定在較平台加熱器34之朝下方的移動量更小,因此不會使晶圓W隱藏於密封構件35的側壁35a。
若是根據有關本實施形態之基板處理裝置的話,如上述所示,在利用搬送臂12搬出/入晶圓W時,因為搬送臂 12不會與平台加熱器34或密封構件35接觸,因此在內部腔室32中不必確保搬送臂12與平台加熱器34或密封構件35的間距,而能夠減低空間IS'的空量。
又在基板處理裝置30中,在利用搬送臂12搬出/入晶圓W時,使平台加熱器34與密封構件35分離。雖然在密封構件35中於上述的Low-k膜的修復處理中會附著副反應生成物,但是因為該密封構件35為不移動的,因此可以防止副反應生成物藉由移動的衝擊而從密封構件35剝離,進而能夠防止副反應生成物成為異物附著於載置在平台加熱器34的晶圓W上。
在上述的各實施形態中,雖然是將甲矽烷基化氣體供給至內部腔室14(32)內的空間IS(IS'),執行作為化學反應處理之上述的Low-k膜的修復處理,但是被執行的化學反應處理係不限於此。執行例如利用氟化氫氣體除去在使用溴化氫氣體的電漿蝕刻多晶矽層時所產生的SiOBr層之處理(HTS處理)亦可。此時,雖然將氟化氫氣體供給至空間IS(IS'),但是氟化氫氣體係為腐食性氣體,因此當該氣體由空間IS(IS')朝外部流出時,會引起腐食存在於該外部的物品等不合宜的可能性。但是在基板處理裝置10(30)中,因為氟化氫氣體只供給至被收納在外部腔室13(31)內的空間ES(ES')之內部腔室14(32)的空間IS(IS'),因此即使例如使氟化氫氣體由空間IS(IS')洩漏,也不過是洩漏至空間ES(ES'),並不會朝外部洩出。因此,能夠確保對於氣體洩漏的空全性。
又在上述的各實施形態中,雖然使真空隔絕腔室11內空間LS維持為真空,且使外部腔室13(31)內的空間ES(ES')大約維持為真空,但是在空間LS或空間ES(ES')係填充惰性氣體亦可。此時,在搬送的晶圓W中也不會使損傷的Low-k膜吸濕,其結果為能夠防止產生副反應生成物。
又供給側開關閥23b(37b)及排氣側開關閥24b(38b)係鄰接配置於內部腔室14(32)亦可。
又在上述的實施形態中,雖然基板為半導體晶圓W,但是基板不限於此,例如LCD(液晶顯示器)或FPD(平板顯示器)等玻璃基板亦可。
W‧‧‧晶圓
ES、ES'LS、IS、IS'‧‧‧空間
10、30‧‧‧基板處理裝置
12‧‧‧搬送臂
13、31‧‧‧外部腔室
14、32‧‧‧內部腔室
17、34‧‧‧平台加熱器
18‧‧‧密封構件基部
19、35‧‧‧密封構件
21、36‧‧‧推進銷
23、37‧‧‧處理氣體供給部
23a、37a‧‧‧氣體供給管
23b、37b‧‧‧供給側開關閥
24、38‧‧‧處理氣體排氣部
24a、38a‧‧‧氣體排氣管
24b、38b‧‧‧排氣側開關閥
第1圖係為概略顯示關於本發明之第1實施形態的基板處理置之構成的剖面圖。
第2圖係為顯示使第1圖中之密封構件與密封構件基部(平台加熱器)分離狀態的剖面圖。
第3圖係為概略顯示關於本發明之第2實施形態的基板處理置之構成的剖面圖。
第4圖係為顯示使第3圖中之平台加熱器與密封構件分離狀態的剖面圖。
W‧‧‧晶圓
ES、LS‧‧‧空間
10‧‧‧基板處理裝置
11‧‧‧真空隔絕腔室
12‧‧‧搬送臂
13‧‧‧外部腔室
15‧‧‧晶圓搬出/入口
16‧‧‧閘閥
17‧‧‧平台加熱器
18‧‧‧密封構件基部
19‧‧‧密封構件
19a‧‧‧密封構件的側壁
20‧‧‧桿
21‧‧‧推進銷(pusher pin)
22‧‧‧O環
23‧‧‧處理氣體供給部
23a‧‧‧氣體供給管
23b‧‧‧供給側開關閥
23c‧‧‧氣體供管孔
24‧‧‧處理氣體排氣部
24a‧‧‧氣體排氣管
24b‧‧‧排氣側開關閥
24c‧‧‧氣體排氣孔

Claims (6)

  1. 一種基板處理裝置,係針對具備外部腔室、收納在該外部腔室內的空間之內部腔室、及將處理氣體供給至該內部腔室內的空間之氣體供給部,並且使前述外部腔室內的空間為減壓或是在該空間內填充惰性氣體之基板處理裝置,其特徵為:由前述外部腔室密封前述內部腔室,前述內部腔室係具有與其他構成構件區畫該內部腔室內的空間之可動構成構件,前述氣體供給部具有連通前述內部腔室內的空間之氣體供給管,該氣體供給管具有開啟/關閉該氣體供給管之開關閥,該開關閥配置在前述內部腔室附近,在利用搬送基板的搬送臂搬出/入前述基板時,前述可動構成構件係由前述搬送臂的可動領域退出,更具備:複數個插銷構件,由前述載置台的表面突出,於背面支撐基板,前述複數個插銷構件的突出量係設定為比朝向前述蓋狀構件之上方的移動量更小。
  2. 一種基板處理裝置,係針對具備外部腔室、收納在該外部腔室內的空間之內部腔室、及將處理氣體供給至該內部腔室內的空間之氣體供給部,並且使前述外部腔室內的空間為減壓或是在該空間內填充惰性氣體之基板處理裝置,其特徵為:由前述外部腔室密封前述內部腔室, 前述內部腔室係具有與其他構成構件區畫該內部腔室內的空間之可動構成構件,前述氣體供給部具有連通前述內部腔室內的空間之氣體供給管,該氣體供給管具有開啟/關閉該氣體供給管之開關閥,該開關閥配置在前述內部腔室附近,在利用搬送基板的搬送臂搬出/入前述基板時,前述可動構成構件係由前述搬送臂的可動領域退出,更具備:複數個插銷構件,由前述載置台的表面突出,於背面支撐基板,前述複數個插銷構件的突出量係設定為比朝向前述載置台之下方的移動量更小。
  3. 如申請專利範圍第1項之基板處理裝置,其中,前述開關閥係抵接於前述外部腔室之壁部的隔壁而予以配置。
  4. 如申請專利範圍第1項之基板處理裝置,其中,前述其他構成構件係載置前述基板之載置台,前述可動構成構件為覆蓋前述載置台的蓋狀構件,在利用搬送基板的搬送臂搬出/入前述基板時,前述蓋狀構件係與前述載置台分離。
  5. 如申請專利範圍第1項之基板處理裝置,其中,前述可動構成構件為載置前述基板之載置台,前述其他構成構件為覆蓋前述載置台的蓋狀構件,在利用搬送基板的搬送臂搬出/入前述基板時,前述載置台係與前述蓋狀構件分離。
  6. 如申請專利範圍第1至5項中任一項之基板處理裝置,其中,前述可動構成構件及其他構成構件具有加熱裝置。
TW097103500A 2007-01-31 2008-01-30 Substrate processing device TWI449097B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007022330A JP2008192642A (ja) 2007-01-31 2007-01-31 基板処理装置

Publications (2)

Publication Number Publication Date
TW200847267A TW200847267A (en) 2008-12-01
TWI449097B true TWI449097B (zh) 2014-08-11

Family

ID=39666618

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097103500A TWI449097B (zh) 2007-01-31 2008-01-30 Substrate processing device

Country Status (5)

Country Link
US (2) US20100073344A1 (zh)
JP (1) JP2008192642A (zh)
KR (1) KR100961007B1 (zh)
CN (1) CN101236894B (zh)
TW (1) TWI449097B (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP4824590B2 (ja) * 2007-01-31 2011-11-30 東京エレクトロン株式会社 基板処理装置
KR101056363B1 (ko) * 2009-02-04 2011-08-12 전표만 반도체 기판의 열처리 장치 및 그 방법
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
CN102269940A (zh) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 一种光刻胶烘焙装置
FI20115073A0 (fi) 2011-01-26 2011-01-26 Beneq Oy Laitteisto, menetelmä ja reaktiokammio
JP5750281B2 (ja) * 2011-03-07 2015-07-15 株式会社アルバック 真空一貫基板処理装置及び成膜方法
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US20130101372A1 (en) * 2011-10-19 2013-04-25 Lam Research Ag Method and apparatus for processing wafer-shaped articles
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
CN103295935B (zh) * 2012-02-22 2017-06-20 东京毅力科创株式会社 基板处理装置
JP6029452B2 (ja) * 2012-02-22 2016-11-24 東京エレクトロン株式会社 基板処理装置
CN102708824B (zh) * 2012-05-31 2014-04-02 京东方科技集团股份有限公司 薄膜晶体管阈值电压偏移补偿电路及goa电路、显示器
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
CN103337450B (zh) * 2013-06-18 2016-03-02 上海交通大学 紫外光/臭氧表面清洗与氧化改性真空设备及其使用方法
CN102969227B (zh) * 2012-11-15 2015-07-08 上海交通大学 集紫外光化学与化学气相干法表面处理的真空设备
US9236283B2 (en) * 2013-03-12 2016-01-12 Tokyo Ohka Kogyo Co., Ltd. Chamber apparatus and heating method
JP5535368B2 (ja) * 2013-04-26 2014-07-02 東京エレクトロン株式会社 処理装置
JP6113019B2 (ja) * 2013-08-07 2017-04-12 株式会社ディスコ ウエーハの分割方法
US20150140211A1 (en) * 2013-11-19 2015-05-21 Cvd Equipment Corporation Scalable 2D-Film CVD Synthesis
CN103971643B (zh) * 2014-05-21 2016-01-06 上海天马有机发光显示技术有限公司 一种有机发光二极管像素电路及显示装置
US20160002775A1 (en) * 2014-07-02 2016-01-07 Rolls-Royce Corporation Multilayer liner for chemical vapor deposition furnace
EP3210240A1 (en) * 2014-12-11 2017-08-30 Evatec AG Apparatus and method especially for degassing of substrates
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6634302B2 (ja) * 2016-02-02 2020-01-22 株式会社ジャパンディスプレイ 表示装置
CN116978818A (zh) * 2016-06-03 2023-10-31 应用材料公司 扩散腔室内部的气流的设计
US10418264B2 (en) * 2016-06-08 2019-09-17 Hermes-Epitek Corporation Assembling device used for semiconductor equipment
US10570015B2 (en) 2016-09-02 2020-02-25 International Business Machines Corporation Minimizing tin loss during thermal processing of kesterite films
US10126053B2 (en) * 2016-09-02 2018-11-13 International Business Machines Corporation Precision dual annealing apparatus
WO2018106655A1 (en) * 2016-12-05 2018-06-14 Rensselaer Polytechnic Institute Methods and devices for transceiving light via a display device
US10535538B2 (en) * 2017-01-26 2020-01-14 Gary Hillman System and method for heat treatment of substrates
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
JP7214021B2 (ja) * 2018-03-29 2023-01-27 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
KR101942511B1 (ko) * 2018-04-11 2019-01-29 (주)앤피에스 기판 처리 장치 및 기판 처리 방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102581681B1 (ko) 2018-09-05 2023-09-22 삼성전자주식회사 플라즈마 증착 방법 및 플라즈마 증착 장치
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
US11600507B2 (en) * 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
CN115762398A (zh) * 2021-09-03 2023-03-07 乐金显示有限公司 像素电路和包括该像素电路的显示装置
KR20230064708A (ko) * 2021-11-03 2023-05-11 삼성디스플레이 주식회사 화소 및 이를 포함하는 표시 장치
KR20230110412A (ko) 2022-01-14 2023-07-24 삼성디스플레이 주식회사 화소 및 이를 포함하는 표시 장치
KR102445655B1 (ko) 2022-04-14 2022-09-23 주식회사 위드텍 열탈착을 이용한 분석 자동화 시스템 및 이를 이용한 분석 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US6620251B2 (en) * 2000-03-08 2003-09-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20040050496A1 (en) * 2002-07-18 2004-03-18 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus and plasma processing method
US20060213439A1 (en) * 2005-03-25 2006-09-28 Tadahiro Ishizaka Plasma enhanced atomic layer deposition system having reduced contamination

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH573985A5 (zh) * 1973-11-22 1976-03-31 Balzers Patent Beteilig Ag
US3954191A (en) * 1974-11-18 1976-05-04 Extrion Corporation Isolation lock for workpieces
JPS61291965A (ja) 1985-06-18 1986-12-22 Fujitsu Ltd 超高真空チヤンバ−
JP2825510B2 (ja) * 1988-11-15 1998-11-18 三井化学株式会社 熱安定性の良好なポリイミドの製造方法
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5002010A (en) * 1989-10-18 1991-03-26 Varian Associates, Inc. Vacuum vessel
US5169408A (en) * 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
EP0733130A4 (en) * 1993-12-17 1997-04-02 Brooks Automation Inc APPARATUS FOR HEATING OR COOLING TABLETS
JPH07176493A (ja) * 1993-12-17 1995-07-14 Nissin Electric Co Ltd 薄膜形成装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
DE69710655T2 (de) * 1996-08-07 2002-10-31 Concept Systems Design Inc Gaseinleitsystem für CVD Reaktoren
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5820329A (en) * 1997-04-10 1998-10-13 Tokyo Electron Limited Vacuum processing apparatus with low particle generating wafer clamp
KR100559078B1 (ko) * 1997-04-23 2006-03-13 트랜스퍼시픽 아이피 리미티드 능동 매트릭스 발광 다이오드 화소 구조물 및 이를 동작시키는 방법
US6229506B1 (en) * 1997-04-23 2001-05-08 Sarnoff Corporation Active matrix light emitting diode pixel structure and concomitant method
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
JP3122061B2 (ja) * 1997-07-22 2001-01-09 株式会社カイジョー 超音波式浮揚搬送機構を備えたクラスターツール型枚葉処理装置
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
US6231289B1 (en) * 1998-08-08 2001-05-15 Brooks Automation, Inc. Dual plate gas assisted heater module
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6221781B1 (en) * 1999-05-27 2001-04-24 Fsi International, Inc. Combined process chamber with multi-positionable pedestal
US7066703B2 (en) * 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001291655A (ja) * 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
JP3590328B2 (ja) * 2000-05-11 2004-11-17 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US7018504B1 (en) * 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
JP3804913B2 (ja) 2000-09-19 2006-08-02 株式会社日立国際電気 半導体装置の製造方法および半導体装置の製造装置
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
JP3832415B2 (ja) * 2002-10-11 2006-10-11 ソニー株式会社 アクティブマトリクス型表示装置
KR100517550B1 (ko) * 2002-12-04 2005-09-29 삼성전자주식회사 원자층 증착 장치
US8057599B2 (en) * 2003-02-21 2011-11-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing a semiconductor device
JP4484451B2 (ja) * 2003-05-16 2010-06-16 奇美電子股▲ふん▼有限公司 画像表示装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
JP2005099715A (ja) * 2003-08-29 2005-04-14 Seiko Epson Corp 電子回路の駆動方法、電子回路、電子装置、電気光学装置、電子機器および電子装置の駆動方法
JP4160032B2 (ja) * 2004-09-01 2008-10-01 シャープ株式会社 表示装置およびその駆動方法
JP2006114461A (ja) * 2004-10-18 2006-04-27 Sekisui Chem Co Ltd 大気圧プラズマ表面処理装置
US7663615B2 (en) * 2004-12-13 2010-02-16 Casio Computer Co., Ltd. Light emission drive circuit and its drive control method and display unit and its display drive method
JP2006253517A (ja) 2005-03-14 2006-09-21 Dainippon Screen Mfg Co Ltd 減圧乾燥装置
JP5037795B2 (ja) * 2005-03-17 2012-10-03 グローバル・オーエルイーディー・テクノロジー・リミテッド・ライアビリティ・カンパニー 表示装置
KR100645698B1 (ko) * 2005-04-28 2006-11-14 삼성에스디아이 주식회사 화소 및 이를 이용한 발광 표시장치와 그의 구동방법
JP4923505B2 (ja) * 2005-10-07 2012-04-25 ソニー株式会社 画素回路及び表示装置
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US6620251B2 (en) * 2000-03-08 2003-09-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20040050496A1 (en) * 2002-07-18 2004-03-18 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus and plasma processing method
US20060213439A1 (en) * 2005-03-25 2006-09-28 Tadahiro Ishizaka Plasma enhanced atomic layer deposition system having reduced contamination

Also Published As

Publication number Publication date
TW200847267A (en) 2008-12-01
JP2008192642A (ja) 2008-08-21
US8349085B2 (en) 2013-01-08
US20100073344A1 (en) 2010-03-25
US20080179006A1 (en) 2008-07-31
CN101236894B (zh) 2011-07-20
KR20080071917A (ko) 2008-08-05
KR100961007B1 (ko) 2010-05-31
CN101236894A (zh) 2008-08-06

Similar Documents

Publication Publication Date Title
TWI449097B (zh) Substrate processing device
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
TWI544168B (zh) A gate valve device, a substrate processing device, and a substrate processing method
EP3333885B1 (en) Door opening/closing system, and load port equipped with said system
JP5048352B2 (ja) 基板処理方法及び基板処理装置
KR101893360B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US7918251B2 (en) Substrate carrier and facility interface and apparatus including same
US20080298933A1 (en) Substrate carrier, port apparatus and facility interface and apparatus including same
JP5511536B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2012216631A (ja) プラズマ窒化処理方法
JP2002530858A (ja) 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法
KR20180045316A (ko) 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US11501987B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
KR102394115B1 (ko) RuSi막의 형성 방법 및 기판 처리 시스템
US20100116789A1 (en) Substrate processing apparatus
KR20180120586A (ko) 기판 처리 장치, 인젝터 내의 파티클 제거 방법 및 기판 처리 방법
JP2007073746A (ja) 基板処理装置
JP2000150613A (ja) 被処理体の搬送装置
JP2009054859A (ja) 基板受入装置及び基板受入方法
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
KR20220059964A (ko) 반도체 장치의 제조 방법 및 성막 장치
JP2010135505A (ja) 真空装置
JP2009260022A (ja) 基板処理ユニットおよび基板処理装置
TWI848144B (zh) RuSi膜之形成方法及基板處理系統
JP2006140516A (ja) 基板収納容器

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees