JP2002530858A - 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法 - Google Patents

物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法

Info

Publication number
JP2002530858A
JP2002530858A JP2000583071A JP2000583071A JP2002530858A JP 2002530858 A JP2002530858 A JP 2002530858A JP 2000583071 A JP2000583071 A JP 2000583071A JP 2000583071 A JP2000583071 A JP 2000583071A JP 2002530858 A JP2002530858 A JP 2002530858A
Authority
JP
Japan
Prior art keywords
chamber
substrate
processing
buffer
buffer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000583071A
Other languages
English (en)
Other versions
JP3677211B2 (ja
Inventor
レイノルズ、グリン、ジェイ
ヒルマン、ジョセフ、ティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2002530858A publication Critical patent/JP2002530858A/ja
Application granted granted Critical
Publication of JP3677211B2 publication Critical patent/JP3677211B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 マルチ処理室(22)と共通の移送室(26)とを有する処理システムにおいて基板(32)を処理する装置(20)が、基板(32)を受け入れ且つ処理する処理空間(23)を有する処理室(22)と、バッファ空間を画定するバッファ室(24)とを有している。バッファ室(24)は処理室(22)の下方に位置し、処理しようとする基板(32)を受け入れるために、処理システムの移送室(26)とインターフェイス接続するようになっている。処理空間とバッファ空間との間で基板を移動させるために処理室とバッファ室との間に通路(43)が形成され、バッファ空間内の可動的な基板台(30)は、該基板がバッファ空間内に位置する第1位置と基板が処理室(22)の処理空間内に位置する第2位置との間で、通路(43)内を垂直方向に移動することができる。密閉機構(42)は通路を密閉して処理室を隔離することができる。バッファ室にポンプシステム(52)が連結され、処理室(22)から漏れてくる汚染物質をバッファ空間から除去し、バッファ室(24)を介して汚染物質が共通の移送室(26)およびマルチチェンバシステムのその他の処理室へ漏れ出す量を全体的に減少させることができる。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は全般的に半導体処理に関するものであり、特に単一の処理システム中
に物理蒸着(PVD)室と化学蒸着(CVD)室とを共に統合することに関する
ものである。
【0002】 (発明の背景) 集積回路(IC)の形成においては、半導体のウェハーのような基板の表面上
に、金属や金属合金要素からなる薄膜のような薄い材料の層あるいは薄膜を沈着
させることがしばしば必要である。そのような薄膜の1つの目的は、ICのため
の導電接点および抵抗接点を提供し、ICの各種デバイス間の導電層あるいはバ
リア層を作り出すことにある。例えば、絶縁層を横切る電気接続を形成するため
に、望みの薄膜が接点の露出面あるいは基板の絶縁層における孔を介して塗付さ
れ、該絶縁層を貫通する薄膜が導電材料のプラグを提供する。
【0003】 そのような薄膜を沈着させるための1つのよく知られた処理加工(プロセス)
は化学的蒸着(CVD)法であり、この場合、薄膜は、一般的にはプロセスガス
と呼ばれる各種の組成ガスあるいは反応ガスの間の化学反応を用いて、基板上に
沈着される。このCVD法においては、プロセスガスは基板を収容している反応
室の処理空間の中へ注入される。ガスは処理空間の中で基板の表面近くで反応し
、結果として該表面上に1あるいはそれ以上の反応副産物の薄膜が沈着される。
露出された基板表面における望ましい薄膜として寄与しない他の反応副産物は、
反応室に連結された真空システムによって排出あるいは放出される。
【0004】 ICの製造において広範囲に利用されているCVD法の1つの変形は、プラズ
マ強化されたCVD法あるいはPECVD法であり、この場合、1あるいはそれ
以上のプロセスガスがガスプラズマの中でイオン化され、反応プロセスにエネル
ギーを与える。該PECVD法は、標準的なCVD法における適当な反応にとっ
て普通必要な処理温度および熱エネルギーの量を減少させるのに有望である。P
ECVD法においては、電気エネルギーが1つまたは複数のプロセスガスに加え
られてプラズマを形成および保持し、したがって反応のために必要な熱エネルギ
ーはより少なくなる。
【0005】 他のよく知られたICの製造プロセスは、これもまたイオン化されたプラズマ
を用いるスパッタ沈着法であり、これは化学反応より物理的な沈着に依存してい
る。従って、該スパッタ沈着は物理蒸着法あるいはPVD法と呼ばれる。該PV
D法は荷電されたガスプラズマのイオン化粒子を用いて、材料の標的を衝撃し、
標的の表面から材料粒子を除去したり、あるいは「スパッタリング」させる。次
に該材料粒子は処理室の中で標的の近くに位置した基板上に沈着される。スパッ
タ沈着法においては、プラズマガスが真空状態の処理室の中へ導入される。スパ
ッタリングされる標的は処理室の中で電気的にバイアスされたベース上に支持さ
れ、そこで該標的は電荷あるいはバイアスを発展させる。該標的上で電荷を保持
する電力供給によって、また電気エネルギーがプラズマの中へ取り込まれる。該
電気エネルギーはガス粒子をイオン化し、イオン化された粒子のプラズマを形成
し、該イオン化された粒子がバイアスされた標的の表面に引き寄せられて該表面
に衝突し、該標的から材料粒子をスパッタリングする。次に、標的材料の粒子が
基板上に沈着され、材料の層を形成する。
【0006】 ICの製造中において、単一の基板上には、材料層がPVD法とCVD法の両
者によって沈着される。従って、産業界においては、単一の処理システムの中で
、各種の他の処理室に沿って、PVD処理室とCVD処理室とを組み込むことは
非常に一般的なことになってきている。このようにして、処理しようとする基板
は、各種の室間において迅速かつ効率的に移送される。そのような多数の室を有
するシステム(マルチチェンバシステム)は、それらが一緒になって利用される
各種の処理室の集合体(クラスター)あるいはモジュールを具備しているので、
しばしばクラスターツールと呼ばれる。該クラスターツールはまた、各種の処理
室の間を、制御された製造シーケンス(製造順序)に従って、各種の基板を移送
させることのできる共通の移送室あるいはモジュールを有している。該移送室は
普通は、該移送室と該移送室に連結された各種の処理室との間で基板を出し入れ
するために、基板の移送装置あるいは基板のハンドラ(handler)を組み
込んでいるであろう。
【0007】 前記クラスターツールはIC製造に関して効率的でコスト効果のある手段を提
供してきたが、それらは幾つかの固有の欠点を有していた。特に、1つの室から
のプロセスガスとプロセス副産物が他の室に移動することができ、それらはこれ
らの他の室において実行されるプロセスに対して汚染物質として作用することが
ある。例えば、CVD室内のプロセスガスおよび副産物は、基板が各種の処理室
の間で移動される時に、共通のクラスターツールの移送室を介してPVD室の中
へ移動する傾向がある。IC製造のために一般的に用いられるCVDガスはPV
D室の中では実際には汚染物質として作用し、薄膜の中に取り込まれたり、ある
いは沈着された薄膜を望ましくない化学的な腐食環境に露出することによって、
PVDの薄膜の品質を劣化させる。塩酸はある種のCVD法の一般的な副産物で
あり、例えば、PVD法によるアルミニウムの薄膜に対して腐食影響を与えるで
あろう。
【0008】 単一のクラスターツールの中へPVD室とCVD室とを統合するためには、C
VD室からPVD室への残留CVD汚染物質の流れを減少、最少化させることが
必要である。1つの可能性のある解決法は、CVD室に連結された高真空ポンプ
あるいはターボ分子ポンプを用いて、基板をCVD室から外へ移送する前に該室
を約10-6Torrにまで真空引きすることである。しかしながら、CVD室に
対してターボ分子ポンプを連結することは、処理ツールのコストを増加させるだ
けでなく、その構造と保守を複雑なものにする。
【0009】 汚染物質を防ぐための他に提案されている解決法はCVD室を1あるいはそれ
以上の反応ガスを用いて浄化することであり、この場合、前記副産物と残留プロ
セスガスをより揮発性にして、それらはより容易に真空システムから除去される
であろう。しかしながら、CVD室を反応ガスに露出させるという付加的な工程
は、処理システムの処理量を減少させ、従ってIC製造の全体的なコストを増加
させる。
【0010】 さらに他の解決法として、処理室と移送室とを隔離するために、処理室と移送
室との間に分離的に配置された室を用いることもある。例えば、移送室と処理室
との間に水平方向に付加的な室を並べて配置して、その両端部に隔離弁を設ける
とよい。該隔離弁は、中心の室を処理室と移送室から選択的に隔離するであろう
。従って、他の反応ガスを導入したり、あるいは高価なターボ分子ポンプを据付
けたりすることなしに、隔離を行うことができるが、そのような解決法は依然と
して幾つかの欠点を有している。第1に、必要とされた水平方向に直線的になっ
た配置によって、処理システムの底面積が増加するであろう。さらに、中心室の
2つの隔離弁は、処理室と移送室の間に直接的な道筋を設けないと、同時に開放
することができず、従って、中心室の機能は大きく減少する。従って、移送室の
中に既に存在する基板のハンドラに加えて、クラスターツールにおける各々のバ
ッファ室内に余分な基板ハンドラが必要となり、従って、中心室が移送室から隔
離された時に基板は処理室へ移動させることができる。付加的な基板のハンドラ
の余分な機器がクラスターツールのコストを増加させるだけでなく、それはまた
、制御された製造シーケンスの中で各種のモジュールを操作するという複雑さを
増加させ、その信頼性を減少させることにもある。
【0011】 従って、本発明の目的は、クラスターツールの各種の室間で移動する汚染物質
を減少させることにあり、特に、そのようなクラスターツールの中でCVD室か
らPVD室へプロセスガスおよび副産物ガスが移動する量を減少させることであ
る。
【0012】 本発明の他の目的は、処理システムの効率的な製造量を維持しながら、多数の
処理室間で汚染物質を減少させることにある。
【0013】 本発明のさらに他の目的は、クラスターツールの全体的なコスト、寸法、複雑
さを増加させることなしに、汚染物質を減少させることにある。
【0014】 これらの目的およびその他の目的について以下さらに説明し、それらは本発明
によって達成される。
【0015】 (発明の要約) 上述した目的およびその他の目的は本発明によって達成されるが、これは多数
の室と、基板を処理システム内の多数の室間で移動させるために用いられる共通
の移送室とを有する処理システムにおいて用いられる。本発明に係る装置は、特
別な処理室の下方に位置するバッファ室を有している。該バッファ室は、処理し
ようとする基板を受け入れるために、処理システムの移送室とインターフェイス
接続するように形成されている。バッファ室は、基板をバッファ室内のバッファ
位置と処理室内の処理位置との間を垂直方向に移動させるための可動的な基板台
を有している。
【0016】 ゲート弁組立体のような密閉機構が処理室とバッファ室との間を接続している
。密閉機構は、基板が幾つかの他の処理室からバッファ室内に位置している時に
は閉じられる。そのようにして、基板が移送室を介して移動される時には、処理
室は互いに他と隔離される。基板はバッファ室内の所定位置に配置され、該バッ
ファ室が移送室から隔離された後に前記密閉機構が開かれ、バッファ室の上方へ
近接することができる。
【0017】 基板が処理された後は、基板台がバッファ位置へ移動され、ゲート弁組立体が
再び閉じられ、基板が他の処理のために移送室を介して取り出される前に、前記
バッファ室は該バッファ室に連結されたポンプシステムを用いて浄化される。そ
のようにして、処理室から移送室内への、またシステムの各種の他の処理室内へ
の汚染物質の移動は減少される。例えば、CVD室に関して本発明を用いると、
共通の移送モジュールあるいは移送室を共有しているCVD室とPVD室との間
の汚染物質の移動は減少するであろう。
【0018】 より詳しくは、本発明は処理室の下方にバッファ室を組み込んであり、処理室
をバッファ室から選択的に隔離する共通の壁部と密閉機構とを介して処理室に対
して直接的に連結されている。一般的に、PVD室とCVD室の両方を用いてい
る処理システムでは、本発明のバッファ室がCVD室とともに組み込まれ、CV
DガスがPVD室の中へ移動するのを防いでいる。しかしながら、他の形態にお
いては、前記バッファ室がPVD室とともに組み込まれ、真空の付加的な層を提
供し、水のような汚染物質がPVD室の中へ入ることをなくしている。バッファ
空間内に可動的な基板台が位置し、基板を移送室から受け入れる形態になってい
る。
【0019】 密閉機構が開いた時には、基板台は、基板がバッファ空間内に位置している第
1位置あるいはバッファ位置と、基板が処理室の処理空間内に位置している第2
位置あるいは処理位置との間で、前記通路内を垂直方向に移動することができる
。また、基板台は、基板を処理位置へ移動させる時に、前記通路を密閉すること
ができる。そのようにして、基板の処理中、処理室はバッファ室から隔離される
。本発明の好ましい実施例においては、前記基板台は第1および第2の作動機構
を有し、第1作動機構は基板台を共通壁部の方へ移動させ、通路を密閉し、第2
作動機構は該第1作動機構に関してさらに移動可能であり、通路が一旦密閉され
ると続いて処理空間内に基板を位置付ける。そのようにして、通路の密閉が最初
に形成され、次に基板が処理のために位置付けられる。基板が処理された後ある
いは移送室によって取り扱われた後でバッファ位置に配置される時に、ゲート弁
が閉じられ、バッファ室を処理室から隔離する。
【0020】 前記バッファ室は、基板が処理された後にバッファ室から汚染物質を排出する
ために高いポンプ能力と排気能力を有するポンプシステムを有している。1つの
実施例においては、前記ポンプシステムは、バッファ室の壁部に近接して位置し
た1あるいはそれ以上の低温パネルと組み合わせた高真空ポンプを有している。
前記低温パネルはバッファ室からの反応的なガスの粒子を吸収、あるいは低温吸
着することができ、他の処理室へ移動することのある汚染物質を減少させる。前
記低温パネルは該パネルを冷却するための冷媒源に対して熱的に連結されている
。あるいは、ガスを急速に膨張させ、該パネルを冷却するために膨張器ヘッドが
設けられてもよい。CVD室のような処理室と、その中で移動可能な基板台とが
、処理中に高温に対して全体的に露出されるであろう。従って、本発明はバッフ
ァ室内において、前記低温パネルと、前記処理室およびバッファ室間の通路との
間に位置した熱遮蔽体を組み込んでおり、これは前記処理室と基板台とに関する
放射熱エネルギーを吸収する。前記低温パネルに加えて、あるいは該パネルに代
わって、バッファ室から水を含む汚染物質をさらに排出するために用いられる低
温ポンプおよび/あるいは低温ウォーターポンプを用いてもよい。
【0021】 本発明を用いた基板処理の間に、基板はバッファ空間内の基板台へ(密閉機構
は閉じられている)移送される。次に該密閉機構が開かれ、基板台が処理室内の
処理位置へ上昇される。基板が処理され、前記処理室はそれに連結されている真
空システムによって浄化され、汚染物質として作用することのある残留ガスおよ
び副産物ガスの相当な量が除去される。次に、基板台がバッファ位置にまで下降
し、バッファ空間から汚染物質をさらに浄化するポンプシステムに対して露出さ
れる。ゲート弁が閉じられ、処理室を浄化済みのバッファ室から隔離する。バッ
ファ室内において用いられる低温パネルあるいはその他の低温ポンプ要素がさら
に、移送室内へ、従って処理システム内の他の処理室内へ漏れこむ汚染物質を減
少させる。
【0022】 前記バッファ室は移送室とインターフェイス接続するようになっており、該移
送室とバッファ室との間に隔離弁が配置される。該隔離弁は基板を移送室からバ
ッファ室へ移動させるために開かれる。しかしながら、該隔離弁は、基板を処理
してバッファ室を浄化している間に、移送室をバッファ室から隔離するために閉
じれる。
【0023】 本発明の他の観点によれば、バッファ室にガス感知システムが連結され、バッ
ファ室のバッファ空間における汚染物質ガスのレベルを検出することができる。
ガス感知システムは隔離弁に連結されており、バッファ空間が十分浄化され、汚
染物質のレベルが十分低くなるまで該隔離弁は開かないようになっている。従っ
て、ガス感知システムは、バッファ室が適当に浄化されるまでは、移送室がバッ
ファ室に対して露出されることを防いでいる。
【0024】 本発明のバッファ室は、処理システムの中で、1つの処理室に関する汚染物質
を減少させ、それによって該システムの他の処理室の汚染を防ぐのに有用である
。本発明の他の観点によれば、前記バッファ室は1あるいはそれ以上の処理室を
含む処理モジュールを隔離するのに用いてもよい。この目的のために、前記バッ
ファ室は処理システムの移送室に接続するモジュールの処理室と連結されている
【0025】 本明細書の中に組み込まれ、その一部を構成している添付図面は、本発明の実
施例を説明し、以下に示す本発明の全般的な説明と一緒になって本発明の原理を
説明する。
【0026】 (実施例の詳細な説明) 図1Aと図1Bは本発明の実施例を示しており、それぞれバッファ室の中に基
板が存在している場合と、該基板が該バッファ室から出て、処理室の中へ入る場
合とが示されている。この目的のために、装置20は基板32を内部に受け入れ
るための処理空間23を備えた処理室22を有している。該処理室20はCVD
室でも、PVD室でも、あるはマルチチェンバ処理装置の他の室へ移行すること
のある汚染物質を発生させることのある他の処理室であってもよい。本発明に関
して言うと、前記バッファ室24は処理室の直下に取り付けられ、処理しようと
する基板を受け入れるためのマルチチェンバ装置の移送室26と接続する形状に
なっている。該移送室26は適当な基板移送装置27を有し、これは1あるいは
それ以上の基板をバッファ室24の中へ移動させ、該基板は最終的には、以下に
さらに説明するように、処理室22の中へ移動される。該基板移送装置は市販さ
れており、例えば、基板をバッファ室の中へ移動させるためのロボットアームを
有していてもよい。前記移送室26に関してはどのような適当な類似の装置を用
いてもよい。そのような装置の1つは米国特許第4,990,047に示されて
おり、ここでも参照のために完全に取り入れている。一般的には、該移送室26
は、より大きな処理装置内の他の処理室(図1Aおよび図1Bには図示せず)に
対して共通的であり、接続可能であろう。本発明の1つの観点によれば、共通の
移送室26に対応する各種の処理室間での汚染物質の移送が減少される。
【0027】 上述したように、ある種の適当な基板移送装置27は、基板を移送室26から
バッファ室24の中へ移動させ、さらに別の処理ができるように収縮するロボッ
トアームを有していてもよい。該移送室26とバッファ室24との間には隔離弁
28が位置し、これらのそれぞれの室を隔離することができる。該隔離弁28は
基板32をバッファ室24の中へ導入するために基板移送装置がバッファ室24
の中へ移動する時に開き、該装置が引っ込まれると再び閉じるであろう。そのよ
うに、基板が処理のためにバッファ室24の中に位置されると、移送室26のバ
ッファ室24とは隔離される。
【0028】 前記処理室22とバッファ室24とはステンレス鋼の単一ハウジング29の中
に製作されてもよい。共通壁45がこれらの室を分離し、該壁内の開口によって
形成される通路43を有している。該通路43は室22と24との間における物
理的な連絡を容易にし、従って基板32はそれぞれの室における処理空間23と
バッファ空間25との間を移動することができる。
【0029】 処理室22と移送室26との間を隔離するために、前記通路43の近くにゲー
ト弁組立体60のような密閉機構が位置し、該通路43と接続されて選択的にバ
ッファ室を処理室から隔離することができる。基板が処理されて処理室から取り
出された後は、該密閉機構は閉じられる。次にバッファ室から汚染物質が除去さ
れる。該密閉機構組立体60はまた隔離弁28が開いている時に常に通路43を
密閉するために閉じられており、従って、基板32が移送室26とバッファ室2
4との間で取り扱われている時には、処理室22はバッファ室24から密閉され
ている。
【0030】 バッファ室24の中では、基板台30が移送室26を介して基板32をその上
部に受け入れる。該基板台30は基板32を望み通りに、全体的に水平に保持す
る。前記基板移送装置27は基板32を該基板台30の作動機構36の上に配置
する。もっと詳細にいうと、該基板台30は、ウェハーを処理室内に位置決めす
るために、基板台を垂直方向に移動させる第1作動機構34を有している。第2
作動機構36は該第1作動機構34に関連して移動し、基板台30の一部分を移
動させて、基板32を処理室22内でさらに垂直方向の位置決めを行う。該第1
作動機構34はシャフト38によって垂直方向に移動されるプラテン(plat
en)37を有している。該第2作動機構36は、該プラテン37の上方に位置
し、且つ付加的なシャフト40上で垂直方向に移動可能な第2のプラテン39を
有している。該シャフト40は、例えば、前記シャフト38の内側へ嵌め込み式
になっていてもよい。当業者にとっては、前記基板台30が、基板32を処理室
22に関して移動および位置決めするために、他の機構を利用することもあり得
ることは容易に理解されるであろう。図1Aを参照すると、基板台30は第1位
置、あるいはバッファ位置に位置していることが示されており、この場合基板3
2はバッファ室24の中に位置している。バッファ室24内を真空に維持し、該
室24を清浄にするために、望まれる場合には、前記基板台30はプラテン37
と室24の底壁との間にシールを形成するベローズ41を有している。従って該
ベローズ41はシャフト38と、該シャフト38が貫通移動するバッファ室24
内の全ての開口との間をシールする。
【0031】 前記バッファ室が移送室に露出されている時には、汚染物質が処理室22から
バッファ室24を通って移送室26の中へ移動することを防ぐために、前記ゲー
ト弁組立体60は閉じられている。このように、前記バッファ室は処理室か移送
室のいずれかと接続されることになり、同時にそれら両者と接続されることはな
い。基板が基板台30によって処理室へ移動される時には、前記隔離弁28は閉
じられ、処理室へ近接するためにゲート弁組立体60は開かれている。
【0032】 前記プラテン37には、前記通路43の周囲で壁部45と係合するO−リング
のようなシール構造物42が取り付けられている。あるいは、該シール構造物4
2はプラテン37によって係合される壁部45の中へ組み込まれていてもよい。
該シール構造物42は通路43を取り囲み、基板32と基板台30が、処理室に
よって画定される処理空間23の中に基板が位置しているような第2位置、ある
いは処理位置へ移動する時に、処理室22とバッファ室24との間をシールする
。図1Bを参照すると、基板台30と基板32は、基板を処理室22内で処理す
るための第2位置あるいは処理位置内へ移動されたところが示されている。シャ
フト38の垂直運動によって基板台が移動され、両方のプラテン37,39が処
理室22の方へ移動される。基板台30の第1作動機構34のシール機構42が
通路43の周りで壁部45と係合している。従って該通路はプラテン37と壁部
45との間でシール構造物42を圧縮することによってシールされている。基板
台30の第2作動機構36は、通路43の中を貫通し、さらに処理室22および
処理空間23の中へ移動するような形状、寸法になっている。基板台30が通路
43を密閉してしまうと、次にシャフト40が垂直方向に移動され、基板32を
、例えばCVD処理室の中で用いられるシャワーヘッド46に関連して位置決め
する。当業者にとっては、該処理室22がまたPVD処理室であってもよく、前
記シャワーヘッド46が基板32の上にスパッタ沈着されるようになった標的材
料(図示せず)によって置き換えてもいいことは容易に理解されるであろう。前
記基板台30はまた第2作動機構のためのベローズ48を有していて、処理空間
とシャフト40、およびプラテン37あるいはシャフト38における該シャフト
40のための全ての開口との間を密閉している。該ベローズ48はプラテン37
の上面とプラテン39の下面との間に延在する。
【0033】 図1Bにおいては、基板32は処理空間の中にあり、通路43は密閉されて、
処理時間中は処理室22をバッファ室24から効果的に隔離している。上述した
ように、基板移送装置27は移送室26とバッファ室24の間で動作して、ゲー
ト弁組立体60が閉じられている時に基板32を基板台30の上へ位置決めする
。次に隔離弁28が閉じられ、ゲート弁組立体60が開かれる。前記基板台30
が第2位置まで上昇されて、基板を処理空間23の中まで上昇させる。次に処理
室22の運転および各種のプロセスパラメータに応じて処理工程が実行される。
処理の終了後は、基板台30が下降され、基板は移送室26を介して他の処理室
へ移動される準備がなされる。しかしながら、一般的には1あるいはそれ以上の
残留ガスあるいは副次的なガスの形態をした、処理空間22からの汚染物質が存
在し、移送室26から漏れ出したり、また該移送室26に連結された他の処理室
(図示せず)の中へ漏れこむことが生じやすい。この目的のために、本発明はバ
ッファ室を処理室から隔離して、該バッファ室に連結された分離的なポンプ/真
空装置によって該バッファ室から汚染物質を除去することができる。前記ゲート
弁組立体はバッファ室が清浄化されている間、処理室22を該バッファ室24か
ら密閉するために用いられる。該バッファ室を移送室に対して露出させるために
隔離弁28を開く前には、該バッファ室は十分に汚染物質を除去されている。そ
のようにして、処理室から移送室の中へ漏れ出す汚染物質が減少され、また近接
した処理室の汚染もまた減少される。
【0034】 前記処理室22は真空装置50に連結され、また該室内で行われる処理のため
に必要な場合には、適当なガスの供給装置および電力の供給装置(図示せず)が
連結されている。さらに、前記基板台30が、基板32にバイアスをかけるため
に、電力供給装置に連結されていてもよい。例えば、CVD処理の場合には、処
理室24は100ミリTorrから大気圧(1atm)までの圧力を達成するこ
とのできる真空装置に連結されるであろう。しかしながら、PVD処理の場合に
は、1ないし10ミリTorrのようなより低い圧力を達成するような真空装置
が必要となる。そのような処理のためには適当な真空装置50が市販されている
【0035】 他方、前記バッファ室24は、本発明の1つの観点によれば、バッファ空間か
ら汚染物質を除去するために高出力のポンプ性能を備えている。この目的のため
に、ポンプ系統は1あるいはそれ以上の低温パネル52を有し、これらはバッフ
ァ室24の壁部に近接して位置しているか、あるいはバッファ室の壁部の一部分
を形成している。該低温パネル52は、処理室22からバッファ室内へ移行する
多くの反応的な汚染物質のガス粒子に対して、極めて高速のポンプ速度を提供す
る。該パネル52は、好ましくは、室24のバッファ空間25に関して大きな面
積を有している。該パネルは連続的に冷却され、汚染物質ガス粒子を捕獲するこ
とによって空間25から汚染物質を連続的に排出する。したがって、該空間25
に関して高速のポンプ速度が達成される。そのような低温パネル52は一般的に
水、塩酸、アンモニアのような汚染物質を排出するのに適している。該低温パネ
ル52は市販されており、該パネルを低温流体54の供給装置、あるいは膨張器
のヘッド56のいずれかに連結することによって、冷却される。前記低温流体供
給装置54を用いて、該流体はパネル52内を循環され、該パネルによって汚染
物質を連続的に排出する。適当な低温流体は液体窒素および/あるいはフレオン
のような冷媒である。他方、膨張器ヘッド56は液体ヘリウムのような物質を急
速膨張させ、冷却させるであろう。該低温パネル52は一般的に100゜Kない
し150゜Kの温度範囲に維持される。
【0036】 前記パネル52では、基板がバッファ室24から移送される前に、バッファ室
24の中へ漏れ込む汚染物質ガス粒子の全てを捕獲するのには十分ではないかも
しれない。従って、本発明の他の実施例に関していうと、前記バッファ室のポン
プ系統は、パネル52によって収集されなかった全ての付加的な汚染物質を除去
するための高出力真空ポンプ58を有している。従って、バッファ室24は、基
板32が基板移送装置27によってバッファ室から移送室26内へ移動される前
に、汚染物質を除去される。
【0037】 本発明の他の観点によれば、ガス感知装置64がバッファ室24内の汚染物質
ガス粒子レベルを検出するために用いられる。該ガス感知装置64は、図示した
ように、隔離弁28と操作可能に連結され、バッファ室24内に多量の汚染物質
が依然として存在している場合には、隔離弁28が開いて、基板をバッファ室2
4から移送室26の中へ移送するのを防いでいる。該ガス感知装置64は、バッ
ファ空間内に位置した、市販されている残留ガス分析器(RGA)のような適当
なセンサー65を有していてもよい。該ガス感知装置64はまた市販されている
光学センサーおよびプラズマチューブ(図示せず)を利用してもよい。例えば、
該プラズマチューブはバッファ空間内に位置し、塩素イオンあるいはフッ素イオ
ンのような汚染物質ガス粒子を励起し、それらはこれらのガス粒子の励起状態を
検出するように調整された光学センサーによって検出される。該ガスセンサー装
置64として他の適当な感知装置を利用してもよい。
【0038】 汚染物質ガス粒子をバッファ室24から排出、浄化するために用いられる低温
パネル52は、処理が完了した後に、ゲート弁組立体60が開かれて、基板台3
0が第2位置から第1位置へ垂直方向下方に移動された時に、かなりの放射熱を
受け、従ってかなりの熱負荷を受けることになるであろう。例えば、CVD処理
に関しては1000゜Cに近い温度が用いられる。図1Aを参照すると、ゲート
弁組立体60が開かれた時には、低温パネル52の一部分と高温基板台30と、
処理室22との間には直接的な視示線が存在する。低温パネル52にかかる熱負
荷を軽減するために、熱遮蔽体66がバッファ室24の壁部の周りで、低温パネ
ル52と基板台30との間に位置している。該熱遮蔽体66は、例えば、アルミ
ニウム、銅、ハステロイ、あるいはステンレス鋼でできていてもよく、高温の基
板台30と処理室23と冷たい低温パネル52との間の直接的な視示線を防いで
いる。このようにして該パネルにかかる熱負荷が軽減され、バッファ空間25か
らの汚染物質の排出がより効率的になるであろう。
【0039】 本発明による装置20を用いる代表的な処理シーケンスは、処理室22と移送
室26との間の汚染がどのように軽減されるかを示すのに有効である。第1に、
基板は、基板移送装置27によって、外部位置あるいはその他の処理室(図示せ
ず)から移送室内へ移動される。次に基板は処理室22の中で準備できるゲート
弁組立体60が閉じられ、隔離弁28が開かれる。次に、図1Aに示されたよう
に、基板が基板台30の上に装着される。ロボットアームのような基板移送装置
27が隔離弁28を貫通し、その後で該隔離弁が閉じられる。次にゲート弁組立
体60が開かれ、図1Bに示されたように、基板台30が垂直方向に上昇される
。該ゲート弁組立体60は、隔離弁が閉じられるまでは、基板32と基板台30
の一部分が通路43を貫通するために開かれることはない。該基板台30が作動
すると同時に、バッファ室24内の圧力は処理室22内の圧力と合致するように
増減される。もしバッファ室24内圧力を減少させる必要がある場合には、真空
ポンプ58が用いられて、バッファ室内を排気する。次に前記基板台30が、図
1Bに示されたように、処理位置内へ上昇され、シール構造物42が壁部45へ
押し付けられる。該基板台30は作動機構34の動作によって垂直方向に移動さ
れる。そのようにして、前記通路43が密閉され、処理室22をバッファ室24
から隔離する。次に、作動機構36が作動されて、もし望みならプラテン39と
基板32を最終処理位置にまで上昇させる。前記基板台30は第2作動機構36
を有していなくてもよく、従って、該基板台の位置がまた処理室22に対する基
板の位置を画定していてもよい。
【0040】 基板が処理室22内に位置された後に処理が開始される。再びいうと、この処
理はCVD処理あるいはPVD処理であっても、あるいは集積回路を製作するた
めに用いられる他の類似の、あるいは適当な処理であってもよい。処理が実行さ
れた後には、処理空間23が真空ポンプ50によるなどして最初に排気される。
そのような排気によってマルチチェンバシステムを通って入ってくる多量の汚染
物質が除去されるであろう。しかしながら、本発明の原理に応じて、バッファ室
24は他の処理室へ移動していく汚染物質粒子をさらに減少させるであろう。前
記処理空間23が排気されると、基板台30が下降されて、通路43が開かれる
。次にゲート弁組立体60が閉じられ、バッファ空間を処理空間から隔離する。
該バッファ空間25から汚染物質を排気、浄化するために、低温パネル52と真
空ポンプ58が用いられる。該バッファ空間25は処理室25からのガスに露出
され、これらのガスは汚染を減少、防止するために除去しなけれならない。該バ
ッファ空間は、ゲート弁組立体が閉じられているので、処理空間とは独立的に排
気される。前記ガス感知装置64は処理空間25内の汚染レベルが十分に低くな
っていることを確認するために用いられる。もし汚染レベルが十分に低ければ、
隔離弁28が開かれ、基板移送装置27が基板をバッファ室24から取り出し、
それを付加的な処理室へ移動させるか、あるいは処理システムから完全に取り出
してしまう。
【0041】 本発明は特にCVDの処理室をPVDの処理室と隔離するのに有利である。一
般的に、CVD処理室の方が高圧に保持されるであろう。従って、CVD処理室
からの汚染物質ガス粒子は低圧のPVD処理室へ移動しようとするであろう。し
かしながら、本発明のバッファ室24はまたPVD室と、該PVD室と同様な超
高真空(UHV)になっていない移送室との間に、高真空状態を提供するために
も用いられる。そのような筋書きでは、該非超高真空の移送室26はPVD室を
水で汚染させることがある。図1Aを参照すると、もし室22がPVD室である
場合には、基板が移送室26からPVD室22の中へ移動される時に、水が移送
室26からPVD室22の中へ入り込むことがある。バッファ室24を用いると
、水の汚染は減少されるであろう。この目的のために、真空ポンプ58は低温ウ
ォーターポンプ70によって補助される。一般的に、全ての高真空ポンプではな
いが、ポンプ58のような、例えばターボ分子ポンプあるいは拡散ポンプは、あ
る領域から水を除去するのに非常に効率的である。市販されている低温ウォータ
ーポンプが該真空ポンプ58と組み合わせて用いられる。該低温ウォーターポン
プ70は前記真空ポンプ58がPVD処理を汚染する他のガス粒子を除去するの
に対し、バッファ空間25から水を除去する。あるいは、低温ウォーターポンプ
70で真空ポンプ58を補助する変わりに、低温ウォーターポンプ70と真空ポ
ンプ58の代わりに低温ポンプ72が用いられる。これもまた市販されている低
温ポンプ72は、一般的に水およびその他のガスを、圧縮ヘリウムの膨張によっ
て冷却された冷却要素(図示せず)とともに排出するように動作するであろう。
該低温ポンプ72は、上述した低温パネル52に関して用いられる膨張器ヘッド
56に類似した膨張器ヘッドの概念を用いているが、これより低い温度、典型的
には12゜K以下の温度で動作するであろう。水素、ヘリウム、窒素、およびア
ルゴンのようなガスは、バッファ空間25からも水を十分に排出する低温ポンプ
によって排出される。該低温ポンプは、上述した真空ポンプ58と低温ウォータ
ーポンプ70の場合よりも頻繁に再生する必要がある。
【0042】 図1Aと図1Bは、単一の処理室22を隔離あるいは保護するために用いられ
る、本発明による独創的なバッファ室を示している。しかしながら、該バッファ
室24はまた、より大きな処理モジュールの中で互いに隣接して配置された幾つ
かの処理室を隔離するためにも用いられる。処理システムは市販されており、単
一のモジュールの中で多数の処理室を一緒にして用い、ウェハーは該モジュール
から出ることなしに各種の処理室の中を移動する。図2を参照すると、処理シス
テムにおける基板移送装置あるいはハンドラ80が示されている。該ハンドラ8
0は6個の側部を有し、その各々が基板を処理するための各種の処理室と関連し
ている。該ハンドラ80は各種のモジュール間で基板を移動させるためのロボッ
トあるいは他の適当な装置(図示せず)を収容している。本発明とともに作動す
るように修正された1つの適当なハンドラ80は、マテリアル・リサーチ・コー
ポレーション(現在は東京エレクトロン・アリゾナ社)によって過去に販売され
たことのあるフェニックスシステムである。基板はモジュール82,84のよう
なI/Oモジュールを介してハンドラ内へ導入される。該ハンドラは基板を各種
の処理室の間で、望みのプロセスに応じて移動させる。例えば、PVD処理され
た窒化チタンの反射防止コーティングはモジュール86を介して塗布される。モ
ジュール88は沈着工程の間において、基板を冷却するための特別なプロセスの
中で用いられる。
【0043】 モジュール90,92はその上に幾つかの処理室を有している。モジュール9
0はPVDモジュールであり、チタンを沈着させるための処理室94と、アルミ
ニウムを沈着させるための処理室96と、沈着工程の前に基板を加熱するための
予熱処理室とを有している。CVDモジュール92はチタンを沈着させるための
CVD処理室100と、窒化チタンを沈着させるためのCVD処理室102と、
脱気処理室104とを有している。あるいは、1つのモジュール中の全ての室が
同じ処理加工を実行するようになっていてもよい。本発明の1実施例においては
、各種のモジュールが、基板をモジュール中の各種の処理室間で移動させるため
のインデックス・ホイールのようなインデックス機構106を用いている(図3
参照)。例えば、各種の処理室を個々のモジュール内に組み込むための1つの適
当な装置で、本発明とともに用いるように修正された装置は、過去にマテリアル
・リサーチ・コーポレーション(現在は東京エレクトロン・アリゾナ社)によっ
て市販されてきたエクリプスシステムである。基板をモジュール内の処理室間で
移動させるための他の適当なインデックス装置は、米国特許第4,990,04
7に示されている。
【0044】 図3は本発明のバッファシステムを組み込んだCVDモジュール92を示して
いる。バッファ室24は前述したような基板台30を有し、幾つかの処理工程を
基板ハンドラ80に関連した移送室26から隔離するためにモジュール92の処
理室とインターフェイス接続されているであろう。前記バッファ室24とインタ
ーフェイス接続されている処理室の1つ104が、脱ガス室104あるはエッチ
ング室、室98のような予熱室、または室88のような冷却室のような、より汚
染の少ないプロセスのために代りに用いられる。室26からバッファ室24の中
へ基板32を導入した後は、該基板32は、インデックス機構106を用いて、
CVDモジュール92の各種の処理室100,102,104の中を進んでいく
であろう。基板台30は該処理室と接続するために基板を上昇させる。
【0045】 ゲート弁組立体のような密閉機構60が、前記処理室100,102,104
をバッファ室24および移送室から隔離するために、選択的に開閉される。基板
は適用される各種の沈着工程を進んでいく。前記インデックス機構106は基板
をバッファ室24の中で移動させる。処理が完了すると、上述したように前記ゲ
ート弁組立体60が閉められ、バッファ室が浄化され、モジュール92からの汚
染物質が、移送室26およびハンドラ80を介してPVDモジュール90へ移動
することを防いでいる。そのようにして、単一の基板ハンドラ80は、CVD処
理とPVD処理とからなる各種の異なったプロセスを支持し、各種の処理室間の
汚染を減少させるために用いられる。
【0046】 本発明をその実施例を記載することによって説明し、その実施例も相当詳細に
記載してきたが、添付した特許請求の範囲をそのような詳細事項に拘束したり、
あるいはとにかく制限したりすることは、本出願人の意図するところではない。
当業者にとっては付加的な長所や修正点が容易に明らかになるであろう。従って
、より広い観点における本発明は、特定の詳細事項を代表する装置や方法、およ
び図示し説明した例示例に限定されるものではない。従って、本出願人の全体的
な発明概念の精神あるいは範囲から逸脱することなしに、そのような詳細事項か
ら外れてもよい。
【図面の簡単な説明】
【図1A】 基板がバッファ位置にある場合の本発明の装置の概略断面図である。
【図1B】 基板が処理位置にある場合の図1Aの装置の概略断面図である。
【図2】 本発明のバッファ室を用いたマルチチェンバ処理システムの平面図である。
【図3】 本発明のバッファ室を用いた図2のシステムにおける、CVDモジュールの側
断面図である。
【手続補正書】
【提出日】平成12年10月18日(2000.10.18)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヒルマン、ジョセフ、ティ アメリカ合衆国 アリゾナ、スコッツデー ル、 イー、マックルラン ブールバード 8025 Fターム(参考) 4K029 AA06 BA03 BA17 DA01 KA01 KA09 4K030 BA18 BA38 CA04 FA01 GA12 HA01 KA49 LA15 5F045 BB08 BB14 DQ17 EB08 EB09 EB10 EG03 GB07 GB11 HA24 【要約の続き】 ンバシステムのその他の処理室へ漏れ出す量を全体的に 減少させることができる。

Claims (54)

    【特許請求の範囲】
  1. 【請求項1】 多数の処理室と該処理室間で基板を移動させるために用いら
    れる共通の移送室とを有する処理システム内で基板を処理するための装置であっ
    て、 内部に基板を受け入れ且つ処理するための処理空間を有する処理室と、 内部にバッファ空間を画定するバッファ室であって、前記処理室の下方に位置
    し、処理すべき基板を受け入れるために処理システムの移送室とインターフェー
    スで接続するようになっているバッファ室と、 前記処理空間と前記バッファ空間との間で基板を移動させるために前記処理室
    と前記バッファ室との間に形成された通路と、 前記バッファ空間内に位置し、基板を受け入れるようになっている可動的な基
    板台であって、基板がバッファ空間内に位置する第1位置と基板が処理室の処理
    空間内に位置する第2位置との間で前記通路内を垂直に移動するように作動可能
    な基板台と、 前記通路を塞ぐ密閉機構であって、前記基板台が第1位置にある時に通路を密
    閉して処理空間をバッファ空間から隔離するように、さらに前記基板台を第2位
    置へ移動させるために通路を開放するように作動可能な密閉機構と、 処理室から漏れてくる汚染物質をバッファ空間から浄化して、汚染物質がバッ
    ファ室を通して共通の移送室および多数の室を有するシステム内の他の処理室中
    へ漏れ出すことを全体として減少させるための、バッファ室に連結されたポンプ
    システムとを有する基板処理装置。
  2. 【請求項2】 前記基板台が、さらに、第2位置へ移動される時に前記通路
    を密閉して処理室をバッファ室から隔離するように作動可能であり、第1位置へ
    移動される時に前記通路を開放するように作動可能である請求項1に記載の基板
    処理装置。
  3. 【請求項3】 前記密閉機構がゲート弁を含む請求項1に記載の基板処理装
    置。
  4. 【請求項4】 前記ポンプシステムがバッファ室の壁部に近接して配置した
    低温パネルを有し、該低温パネルがバッファ室内の汚染物質を減少させるために
    バッファ室からのガスを捕獲して排出するように作動可能である請求項1に記載
    の基板処理装置。
  5. 【請求項5】 前記低温パネルが、ガスの排出効果を発揮するために該低温
    パネルを冷却する冷媒源に熱的に連結されている請求項4に記載の基板処理装置
  6. 【請求項6】 前記低温パネルが、排出効果を発揮するために該低温パネル
    を冷却する冷却ガスを急速に膨張させることのできる膨張器ヘッドに熱的に連結
    されている請求項4に記載の基板処理装置。
  7. 【請求項7】 バッファ室内の概ね前記低温パネルと前記通路との間に位置
    する熱遮蔽体をさらに有し、該熱遮蔽体が処理室に関連する放射熱エネルギーを
    吸収して低温パネルを放射熱エネルギーから効果的に遮蔽する請求項4に記載の
    基板処理装置。
  8. 【請求項8】 前記熱遮蔽体が前記低温パネルと前記基板台との間に位置し
    、該基板台からの放射熱エネルギーを吸収する請求項7に記載の基板処理装置。
  9. 【請求項9】 望ましくないガスを感知してポンプシステムによってバッフ
    ァ室から除去するするために、バッファ室と作動可能に連結されたガス感知シス
    テムをさらに有する請求項1に記載の基板処理装置。
  10. 【請求項10】 前記ガス感知システムがガス化学粒子を励起するプラズマ
    チューブと、励起された化学粒子を検出する光学センサーとを有する請求項9に
    記載の基板処理装置。
  11. 【請求項11】 前記ガス感知システムが残留ガス分析器を有する請求項9
    に記載の基板処理装置。
  12. 【請求項12】 前記処理室とバッファ室が共通壁を有し、該共通壁の開口
    によって前記通路が形成される請求項1に記載の基板処理装置。
  13. 【請求項13】 前記基板台がシール装置を有し、該基板台が第2位置へ移
    動された時にシール装置が前記壁へ押し付けられて処理室とバッファ室との間に
    気密シールを形成する請求項12に記載の基板処理装置。
  14. 【請求項14】 前記基板台が第1作動機構と該第1作動機構に関して移動
    可能な第2作動機構とを有し、前記第1作動機構が基板台を第2位置へ移動させ
    て前記通路を密閉することができ、前記第2作動機構が、基板台が第2位置にあ
    る時に基板台の一部分をさらに移動させて処理空間内に基板を位置付けることが
    できる請求項1に記載の基板処理装置。
  15. 【請求項15】 前記移送室とバッファ室との間に位置する隔離弁をさらに
    有し、隔離弁は、汚染物質がバッファ室から移送室内へ移動するのを防ぐために
    バッファ室を閉じて密閉することができる請求項1に記載の基板処理装置。
  16. 【請求項16】 前記ポンプシステムが、処理加工からの汚染物質と水を除
    去するための低温ポンプをさらに有する請求項1に記載の基板処理装置。
  17. 【請求項17】 前記ポンプシステムが、水を除去するための低温ウォータ
    ーポンプをさらに有する請求項1に記載の基板処理装置。
  18. 【請求項18】 複数の製造プロセスを用いて基板を処理する処理システム
    であって、 基板を処理するための第1処理室と、 基板を受け入れ且つ処理するための処理空間を内部に有する第2処理室と、 処理する基板を第1処理室および第2処理室へ移送するために第1処理室およ
    び第2処理室に作動可能に連結された移送室と、 内部にバッファ空間を有するバッファ室であって、第2処理室の下方に位置し
    且つ移送室に連結されたバッファ室と、 第2処理室とバッファ室との間に形成され、バッファ室と第2処理室との間で
    基板を移動させるために処理空間とバッファ空間との間を連通する通路と、 バッファ空間内に位置し、移送室から基板を受け入れるようになっている可動
    的な基板台であって、基板がバッファ空間内に位置する第1位置と基板が第2処
    理室の処理空間内に位置する第2位置との間で、前記通路内を垂直に移動可能な
    基板台と、 前記通路を塞ぐ密閉機構であって、基板台が第1位置にある時に通路を密閉し
    て処理空間をバッファ空間から隔離するように、さらに基板台を第2位置へ移動
    させるために通路を開放するよう作動することができる密閉機構と、 前記第2処理室から漏れてくる汚染物質をバッファ空間から浄化して、汚染物
    質がバッファ室を通して共通の移送室および第1処理室中へ漏れ出すことを全体
    的に減少させるための、バッファ室に連結されたポンプシステムとを有する基板
    処理システム。
  19. 【請求項19】 前記基板台が、さらに、第2位置へ移動される時に前記通
    路を密閉して処理室をバッファ室から隔離するように作動することができ、第1
    位置へ移動される時に前記通路を開放するように作動することができる請求項1
    8に記載の基板処理システム。
  20. 【請求項20】 前記密閉機構がゲート弁を含む請求項19に記載の基板処
    理システム。
  21. 【請求項21】 前記ポンプシステムが前記バッファ室の壁部に近接して配
    置した低温パネルを有し、該低温パネルが、バッファ室内の汚染物質を減少させ
    るためにバッファ室からのガスを捕獲して排出するができる請求項19に記載の
    基板処理システム。
  22. 【請求項22】 前記バッファ室内の、概ね前記低温パネルと前記通路との
    間に位置する熱遮蔽体をさらに有し、該熱遮蔽体が前記処理室に関連する放射熱
    エネルギーを吸収して放射熱エネルギーから低温パネルを効果的に遮蔽する請求
    項21に記載の基板処理システム。
  23. 【請求項23】 前記バッファ室から前記ポンプシステムによって除去する
    望ましくないガスを感知して前記バッファ室内の汚染物質を減少させるために、
    前記バッファ室内に位置するガス感知システムをさらに有する請求項19に記載
    の基板処理システム。
  24. 【請求項24】 前記基板台が第1作動機構と該第1作動機構に関して移動
    可能な第2作動機構とを有し、第1作動機構が基板台を第2位置へ移動させて前
    記通路を密閉することができ、基板台が第2位置にある時に第2作動機構が基板
    台の一部分をさらに移動させて処理空間内に基板を位置付けることができる請求
    項19に記載の基板処理装置。
  25. 【請求項25】 前記移送室とバッファ室との間に位置する隔離弁をさらに
    有し、隔離弁は、汚染物質がバッファ室から移送室内へ移動するのを防ぐために
    バッファ室を閉じて密閉することができる請求項19に記載の基板処理装置。
  26. 【請求項26】 前記第1処理室が物理蒸着処理を行う物理蒸着室であり、
    前記第2処理室が化学蒸着処理を行う化学蒸着室である請求項19に記載の基板
    処理システム。
  27. 【請求項27】 前記第2処理室が物理的蒸着処理を行う物理的蒸着室であ
    り、前記第1処理室が化学的蒸着処理を行う化学的蒸着室である、請求項19に
    記載の基板処理システム。
  28. 【請求項28】 複数の製造プロセスを用いて基板を処理する処理システム
    において、 基板を処理するための第1処理室、および基板を受け入れ且つ処理するための
    処理空間を内部に有する第2処理室を含む処理モジュールと、 内部にバッファ空間を有し、第2処理室の下方に配置されるバッファ室であっ
    て、第2処理室とバッファ室との間に通路が形成されてバッファ室と第2処理室
    との間で基板を移動させるためにそれらの間を連通させるようになっているバッ
    ファ室と、 処理しようとする基板をバッファ室に移送するために、該バッファ室に作動可
    能に連結された移送室と、 前記バッファ空間内に位置し、前記移送室から基板を受け入れるようになって
    いる可動的な基板台であって、基板がバッファ空間内に位置する第1位置と基板
    が第2処理室の処理空間内に位置する第2位置との間で、前記通路内を垂直に移
    動可能な基板台と、 前記通路を塞ぐ密閉機構であって、前記基板台が前記第1位置にある時に通路
    を密閉して処理空間をバッファ空間から隔離するように、さらに基板台を前記第
    2位置へ移動させるために通路を開放するように作動することができる密閉機構
    と、 前記第1処理室および第2処理室から漏れてくる汚染物質をバッファ空間から
    浄化して汚染物質が前記処理モジュールから前記移送室中へ漏れ出すことを全体
    として減少させる、前記バッファ室に連結されたポンプシステムとを有する基板
    処理システム。
  29. 【請求項29】 前記バッファ室が第1処理室の下方にも位置し、第1処理
    室とバッファ室の間に通路が形成されてバッファ室と第1処理室との間で基板を
    移動させるためにそれらの間を連通させており、第1処理室と第2処理室との間
    で基板を移動させるために第1処理室と第2処理室とを作動可能に連通させるイ
    ンデックス機構を有する請求項28に記載の基板処理システム。
  30. 【請求項30】 前記基板台が、さらに、前記第2位置の方へ移動される時
    に前記通路を密閉して前記処理室をバッファ室から隔離することができ、また前
    記第1位置へ移動される時に通路を前記開放することができる請求項28に記載
    の基板処理システム。
  31. 【請求項31】 前記ポンプシステムが前記バッファ室の壁部に近接して位
    置する低温パネルを有し、該低温パネルが、バッファ室内の汚染物質を減少させ
    るためにバッファ室からのガスを捕獲してそれを排出することができる請求項2
    8に記載の基板処理システム。
  32. 【請求項32】 前記バッファ室内で概ね前記低温パネルと前記通路との間
    に位置する熱遮蔽体をさらに有し、該熱遮蔽体が前記処理室に関連した放射熱エ
    ネルギーを吸収して放射熱エネルギーから前記低温パネルを効果的に遮蔽するこ
    とができる請求項31に記載の基板処理システム。
  33. 【請求項33】 前記バッファ室内に位置するガス感知システムをさらに有
    し、該バッファ室内の汚染物質を減少させるために望ましくないガスを感知して
    前記ポンプシステムによって前記バッファ室から除去する請求項28に記載の基
    板処理システム。
  34. 【請求項34】 前記基板台が第1作動機構と該第1作動機構に関して移動
    可能な第2作動機構とを有し、第1作動機構が基板台を前記第2位置へ移動させ
    て前記通路を密閉することができ、基板台が前記第2位置にある時に第2作動機
    構が基板台の一部分をさらに移動させて処理空間内に基板を位置付けることがで
    きる請求項28に記載の基板処理システム。
  35. 【請求項35】 前記移送室とバッファ室との間に位置する隔離弁をさらに
    有し、隔離弁は、汚染物質がバッファ室から移送室内へ移動するのを防ぐために
    バッファ室を閉じて密閉可能である請求項28に記載の基板処理システム。
  36. 【請求項36】 前記モジュールの前記第1処理室および第2処理室が物理
    蒸着処理を行う物理蒸着室である請求項28に記載の基板処理システム。
  37. 【請求項37】 前記モジュールの第1処理室および第2処理室が化学蒸着
    処理を行う化学蒸着室である請求項28に記載の基板処理システム。
  38. 【請求項38】 前記モジュールの前記第1処理室および第2処理室のうち
    の1つがエッチング室である請求項28に記載の基板処理システム。
  39. 【請求項39】 前記モジュールの前記第1処理室および第2処理室のうち
    の1つがエッチング室である請求項28に記載の基板処理システム。
  40. 【請求項40】 前記モジュールの前記第1処理室および第2処理室のうち
    の1つがエッチング室である、請求項28に記載の基板処理システム。
  41. 【請求項41】 基板の処理方法であって、 基板を処理するための処理空間を内部に有する処理室を提供する段階と、 該処理室の下方の、バッファ空間を有するバッファ室であって、処理室とバッ
    ファ室との間に通路が形成されたバッファ室を配置する段階と、 基板をバッファ室内、およびバッファ室内の可動基板台上に移送する段階と、 基板台を前記処理室とバッファ室との間に形成された通路を介して垂直的に第
    1方向へ移動させることによって、前記基板を前記処理空間内へ移動させる段階
    と、 基板を処理し、且つ汚染物質を除去するために処理空間を浄化する段階と、 基板台を前記通路を介して垂直的に第2方向へ移動させ、同時に通路を開放す
    ることによって、基板をバッファ室に戻す段階と、 基板をバッファ台へ戻すために基板台が前記第2方向へ移動される時に、処理
    空間をバッファ空間から隔離するために密閉機構で前記通路を密閉する段階と、 前記処理室から漏れてくる汚染物質をバッファ空間から排出して、前記バッフ
    ァ室を介して汚染物質がバッファ室に連結された他の処理室中へ漏れ出すことを
    全体的に防ぐ段階とを含む基板処理方法。
  42. 【請求項42】 基板が処理空間内へ移動される時に前記処理室をバッファ
    室から隔離するために、前記通路を前記基板台で同時に密閉する段階をさらに含
    む請求項41に記載の基板処理方法。
  43. 【請求項43】 前記通路をゲート弁を含む密閉機構によって密閉する段階
    をさらに含む請求項41に記載の基板処理方法。
  44. 【請求項44】 前記バッファ室の壁部に近接して位置する低温パネルによ
    って前記バッファ空間を浄化する段階をさらに含み、前記低温パネルがバッファ
    室からのガスを捕獲および排出してバッファ室内の汚染物質を減少させる請求項
    41に記載の基板処理方法。
  45. 【請求項45】 基板処理が熱エネルギーを発生させており、前記バッファ
    室内で概ね前記低温パネルと前記通路との間に配置された熱遮蔽体によって前記
    熱エネルギーを吸収する段階をさらに含む請求項44に記載の基板処理方法。
  46. 【請求項46】 前記基板台が第1作動機構と該第1作動機構に関して移動
    可能な第2作動機構とを有し、第1作動機構によって前記通路を密閉する段階、
    および第2作動機構によって基板を処理空間内に位置付ける段階をさらに含む請
    求項41に記載の基板処理方法。
  47. 【請求項47】 多数の処理室と該処理室間で基板を移動させるために用い
    る共通の移送室とを有する処理システムにおいて基板を処理する装置であって、 基板を受け入れ且つ処理するための処理空間を内部に有する処理室と、 内部にバッファ空間を有するバッファ室であって、前記処理室の下方に位置し
    、処理する基板を受け入れるために処理システムの移送室とインターフェース接
    続するようになっているバッファ室と、 前記処理空間とバッファ空間との間で基板を移動させるために、前記処理室と
    バッファ室との間に形成された通路と、 前記バッファ空間内に位置し、基板を受け入れるようになっている可動的な基
    板台であって、基板がバッファ空間内に位置する第1位置と基板が処理室の処理
    空間内に位置する第2位置との間で、前記通路内を垂直移動可能な基板台と、 処理室から漏れてくる汚染物質をバッファ空間から浄化して、汚染物質がバッ
    ファ室を通して共通の移送室および多数の室を有するシステム内の他の処理室中
    へ漏れ出すことを全体的に減少させる、バッファ室に連結されたポンプシステム
    と、 前記ポンプシステムによって前記バッファ室から除去すべき望ましくないガス
    を検出するために、該バッファ室に作動可能に連結されたガス感知システムとを
    有する基板処理装置。
  48. 【請求項48】 前記基板台が、前記第2位置へ移動される時に前記通路を
    密閉して処理室をバッファ室から隔離するようにさらに作動することができ、ま
    た前記第1位置へ移動される時に通路を開放するように作動することができる請
    求項47に記載の基板処理装置。
  49. 【請求項49】 処理しようとする基板を前記バッファ室に移送するために
    、バッファ室に作動可能に連結された移送室と、汚染物質がバッファ室から移送
    室内へ移動するのを防ぐためにバッファ室を閉じて密閉することができる隔離弁
    とをさらに有する請求項47に記載の基板処理装置。
  50. 【請求項50】 前記ガス感知システムが前記隔離弁に操作可能に連結され
    て、汚染物質が移送室内へ移動するのを全体的に防ぐために、バッファ空間が浄
    化されている期間中は前記隔離弁を閉じるように維持している請求項47に記載
    の基板処理装置。
  51. 【請求項51】 前記ポンプシステムが前記バッファ室の壁部に近接して位
    置する低温パネルを有し、バッファ室内の汚染物質を減少させるために前記低温
    パネルがバッファ室からのガスを捕獲してそれを排出する請求項47に記載の基
    板処理装置。
  52. 【請求項52】 前記バッファ室と操作可能に連結されたガス感知システム
    をさらに有し、前記ポンプシステムによって前記バッファ室から除去する望まし
    くないガスを感知する請求項47に記載の基板処理装置。
  53. 【請求項53】 前記ポンプシステムが、処理加工からの汚染物質と水とを
    除去するための低温ポンプをさらに有する請求項47に記載の基板処理装置。
  54. 【請求項54】 前記ポンプシステムが、水を除去するための低温ウォータ
    ーポンプをさらに有する請求項47に記載の基板処理装置。
JP2000583071A 1998-11-12 1999-11-10 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法 Expired - Fee Related JP3677211B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/190,870 1998-11-12
US09/190,870 US6183564B1 (en) 1998-11-12 1998-11-12 Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
PCT/IB1999/001805 WO2000030155A1 (en) 1998-11-12 1999-11-10 Buffer chamber and method for integrating physical and chemical vapor deposition chambers together in a processing system

Publications (2)

Publication Number Publication Date
JP2002530858A true JP2002530858A (ja) 2002-09-17
JP3677211B2 JP3677211B2 (ja) 2005-07-27

Family

ID=22703144

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000583071A Expired - Fee Related JP3677211B2 (ja) 1998-11-12 1999-11-10 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法

Country Status (7)

Country Link
US (1) US6183564B1 (ja)
JP (1) JP3677211B2 (ja)
KR (1) KR100392966B1 (ja)
DE (1) DE19982566B4 (ja)
GB (1) GB2349893B (ja)
TW (1) TWI238856B (ja)
WO (1) WO2000030155A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006104575A (ja) * 2004-09-21 2006-04-20 Applied Materials Inc プロセスチャンバのカソードの高周波接地
JP2007270352A (ja) * 2006-03-08 2007-10-18 Tokyo Electron Ltd 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
JP2017517877A (ja) * 2014-04-11 2017-06-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を急速に冷却する方法および装置

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
JP4268303B2 (ja) * 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
US6455098B2 (en) * 2000-03-09 2002-09-24 Semix Incorporated Wafer processing apparatus and method
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6599368B1 (en) 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
KR20020037695A (ko) * 2000-11-14 2002-05-22 히가시 데쓰로 기판 처리장치 및 기판 처리방법
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
KR100621804B1 (ko) * 2004-09-22 2006-09-19 삼성전자주식회사 디퓨저 및 그를 구비한 반도체 제조설비
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR100648166B1 (ko) * 2004-12-28 2006-11-28 동부일렉트로닉스 주식회사 파티클 집진 장치를 갖는 공정 챔버
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687098B1 (en) * 2005-08-26 2010-03-30 Charlie W. Chi Chemical mechanical vapor deposition device for production of bone substitute material
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
KR101281863B1 (ko) * 2006-12-08 2013-07-08 도쿄엘렉트론가부시키가이샤 증착 시스템
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
DE102007022431A1 (de) * 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US8082741B2 (en) 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
TWI401331B (zh) * 2007-12-06 2013-07-11 Intevac Inc 雙面濺射蝕刻基板之系統與方法(二)
CN101768731B (zh) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
WO2010085507A1 (en) * 2009-01-20 2010-07-29 President And Fellows Of Harvard College Electrodes for fuel cells
WO2010091205A2 (en) * 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8801950B2 (en) * 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024372B2 (ja) * 2012-10-12 2016-11-16 Tdk株式会社 基板処理装置および基板処理チャンバモジュール
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9994956B2 (en) 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10030303B2 (en) * 2014-12-19 2018-07-24 Sunpower Corporation Sputter tool
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106282928B (zh) * 2016-08-10 2018-06-08 福建新福兴玻璃有限公司 一种玻璃镀膜生产线
TWI644383B (zh) 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統
WO2018052471A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10879464B2 (en) 2019-01-11 2020-12-29 Microsoft Technology Licensing, Llc Semiconductor and ferromagnetic insulator heterostructure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11637030B2 (en) 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7464692B2 (ja) * 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11512387B2 (en) 2020-04-13 2022-11-29 Applied Materials, Inc. Methods and apparatus for passivating a target
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11738892B2 (en) * 2020-12-28 2023-08-29 Raytheon Company Space seeker motion test method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11898236B2 (en) 2021-10-20 2024-02-13 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024747A (en) 1979-12-21 1991-06-18 Varian Associates, Inc. Wafer coating system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63162854A (ja) 1986-12-25 1988-07-06 Fujitsu Ltd 金属膜形成方法
US5092728A (en) 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
JPH01125821A (ja) * 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd 気相成長装置
EP0343530B1 (de) 1988-05-24 2001-11-14 Unaxis Balzers Aktiengesellschaft Vakuumanlage
JPH02148715A (ja) 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5062758A (en) 1989-03-31 1991-11-05 Wentgate Dynaweld, Inc. Shuttle system for rapidly manipulating a workpiece into and out of an atmospherically controlled chamber for doing work thereon in the chamber
US5110248A (en) 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
JPH0687463B2 (ja) 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
JPH0828205B2 (ja) 1989-10-27 1996-03-21 株式会社日立製作所 ウエハ搬送装置
US4981408A (en) 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5019234A (en) 1990-06-08 1991-05-28 Vlsi Technology, Inc. System and method for depositing tungsten/titanium films
US5231839A (en) * 1991-11-27 1993-08-03 Ebara Technologies Incorporated Methods and apparatus for cryogenic vacuum pumping with reduced contamination
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
DE69531019T2 (de) * 1994-04-08 2004-01-08 Canon K.K. Behandlungsmethode und -vorrichtung für ein resistbeschichtetes Substrat
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5685963A (en) * 1994-10-31 1997-11-11 Saes Pure Gas, Inc. In situ getter pump system and method
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
EP1012478A2 (en) * 1997-04-18 2000-06-28 SAES PURE GAS, Inc. In situ getter pump system and method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006104575A (ja) * 2004-09-21 2006-04-20 Applied Materials Inc プロセスチャンバのカソードの高周波接地
JP2009280913A (ja) * 2004-09-21 2009-12-03 Applied Materials Inc プロセスチャンバのカソードの高周波接地
JP2007270352A (ja) * 2006-03-08 2007-10-18 Tokyo Electron Ltd 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
JP2017517877A (ja) * 2014-04-11 2017-06-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を急速に冷却する方法および装置

Also Published As

Publication number Publication date
GB2349893A (en) 2000-11-15
KR100392966B1 (ko) 2003-07-31
WO2000030155A1 (en) 2000-05-25
GB0016314D0 (en) 2000-08-23
GB2349893B (en) 2003-07-02
DE19982566T1 (de) 2001-02-22
US6183564B1 (en) 2001-02-06
JP3677211B2 (ja) 2005-07-27
TWI238856B (en) 2005-09-01
DE19982566B4 (de) 2009-02-26
KR20010033946A (ko) 2001-04-25

Similar Documents

Publication Publication Date Title
JP3677211B2 (ja) 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法
US6926798B2 (en) Apparatus for supercritical processing of a workpiece
JP3218488B2 (ja) 処理装置
KR100961007B1 (ko) 기판 처리 장치
JP3247270B2 (ja) 処理装置及びドライクリーニング方法
TWI544168B (zh) A gate valve device, a substrate processing device, and a substrate processing method
EP1303449B1 (en) Dual degas/cool loadlock cluster tool
US7521089B2 (en) Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
JP5752238B2 (ja) チャンバにガスを放射状に分配するための装置及びその使用方法
US20030167612A1 (en) Dual wafer load lock
JP4916140B2 (ja) 真空処理システム
US20050045616A1 (en) Substrate heating apparatus and multi-chamber substrate processing system
JP2000195925A (ja) 基板処理装置
WO2000028587A1 (fr) Dispositif de traitement
US6110232A (en) Method for preventing corrosion in load-lock chambers
US7652227B2 (en) Heating and cooling plate for a vacuum chamber
US6595370B2 (en) Apparatus and method for reducing contamination in a wafer transfer chamber
KR20140035832A (ko) 에칭 장치 및 에칭 방법
US7018504B1 (en) Loadlock with integrated pre-clean chamber
KR20020091765A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US20170117169A1 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
US20220223367A1 (en) Reduced substrate process chamber cavity volume
US20040002299A1 (en) Ventilation system and method of using
EP0635875B1 (en) Apparatus for heat treatment
JP2004146508A (ja) 基板処理装置

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040326

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050120

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050506

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110513

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110513

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140513

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees