JP5752238B2 - チャンバにガスを放射状に分配するための装置及びその使用方法 - Google Patents

チャンバにガスを放射状に分配するための装置及びその使用方法 Download PDF

Info

Publication number
JP5752238B2
JP5752238B2 JP2013508098A JP2013508098A JP5752238B2 JP 5752238 B2 JP5752238 B2 JP 5752238B2 JP 2013508098 A JP2013508098 A JP 2013508098A JP 2013508098 A JP2013508098 A JP 2013508098A JP 5752238 B2 JP5752238 B2 JP 5752238B2
Authority
JP
Japan
Prior art keywords
gas distribution
distribution system
flange
opening
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013508098A
Other languages
English (en)
Other versions
JP2013526060A (ja
JP2013526060A5 (ja
Inventor
ジャレド アフマド リー
ジャレド アフマド リー
マーティン ジェフ サリナス
マーティン ジェフ サリナス
アンカー アガーワル
アンカー アガーワル
エズラ ロバート ゴールド
エズラ ロバート ゴールド
ジェームズ ピー クルーズ
ジェームズ ピー クルーズ
アニルドハ パル
アニルドハ パル
アンドリュー ヌグエン
アンドリュー ヌグエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013526060A publication Critical patent/JP2013526060A/ja
Publication of JP2013526060A5 publication Critical patent/JP2013526060A5/ja
Application granted granted Critical
Publication of JP5752238B2 publication Critical patent/JP5752238B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Description

分野
本発明の実施形態は主に基板の処理に関する
背景
大規模集積回路(ULSl)はシリコン(Si)基板等の半導体基板上に形成された100万個以上の電子デバイス(例えば、トランジスタ)を含み、そのデバイス内で様々な機能を実行するのに供する。プラズマエッチングはそのトランジスタや他の電子デバイスの製造によく用いられる。トランジスタ構造を形成するのに用いられるプラズマエッチングプロセスの間、積み重ねられた一層以上の膜(例えば、シリコン、ポリシリコン、二酸化ハフニウム(HfO)、二酸化ケイ素(SiO)、金属材料等)は、例えば、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等のハロゲンを含むエッチャントガス等のエッチャントによく曝される。このようなプロセスは基板上のエッチされた部分あるいはエッチマスクの基板上に形成される残渣物をもたらす。
処理された基板からこの残渣物を除去するために、除害プロセスが実行される。従来、この除害プロセスは、基板表面からの残渣物の排除を促進するために、1つ以上のプロセスガスを提供しながら、所望の温度に処理された基板を加熱することを含む。この除害プロセスを実行するのに用いられる典型的なチャンバにおいて、1つ以上のプロセスガスがチャンバ内に設けられた1つ以上のシャワーヘッドを介して供給される。オーバーヘッド熱源(例えば、チャンバの上部に置かれた放射加熱源)を含むチャンバを用いるとき、1つ以上のシャワーヘッドが熱の伝達に干渉しないような態様で設けられ構成されなければならない。しかしながら、そのような構造において、その1つ以上のシャワーヘッドは基板表面にプロセスガスを放射状に提供することができず、不均一な残渣物の排除となり、これにより基板から均一に残渣物を完全に除去することができなかった。
したがって、プロセスチャンバにガスの分配をするための改善された装置の必要性があった。
概要
チャンバにガスを分配するための装置及びその使用方法が本明細書において提供される。いくつかの実施形態において、プロセスチャンバのためのガス分配システムは、プロセスチャンバの内部表面にボディを結合するよう構成された第1の表面を有するボディであって、このボディを貫通して設けられた開口を有するボディと、このボディの第1の表面の反対側の開口の第1の一端の近傍に設けられたフランジであって、開口の内側に延び、ウィンドウを支持するよう構成されたフランジであり、ボディ内に設けられると共に開口の周りに設けられたチャネルを、フランジ内に設けられた複数の穴に、流動可能に結合する、ボディ内に設けられた複数のガス分配チャネルとを有し、この複数のガス分配チャネルはフランジの周りに放射状に設けられる。
いくつかの実施形態において、ガス分配システムは、基板支持体を含むプロセスチャンバと、基板支持体の支持表面の反対側に設けられた1つ以上の放射加熱要素を含むヒータモジュールと、ヒータモジュールと基板支持体との間でプロセスチャンバに結合されたガス分配システムとを含む。このガス分配システムはプロセスチャンバの内部表面にボディを結合するよう構成された第1の表面を有するボディであって、このボディを貫通して設けられた開口を有し、その開口はヒータモジュールと基板支持体との間に視線ラインを提供する開口をもたらすボディと、そのボディの第1の表面の反対側の開口の第1の端部の近傍に設けられたフランジであって、開口の内部方向に伸び、ウィンドウを支持するよう構成されたフランジと、ボディ内に設けられると共に開口の周りに設けられたチャネルを、フランジに設けられた複数の穴に、流動可能に結合する、ボディ内に設けられた複数のガス分配チャネルとを有し、複数の穴はフランジの周りに放射状に設けられる。
本発明の他のさらなる実施形態は以下に説明される。
本発明の実施形態は、上記に要約され、以下に詳細に説明されるように、添付図面に図示された本発明の説明のための実施形態を参照して理解され得る。しかしながら、それらの添付図面は本発明の典型的な実施形態のみを説明するものであり、本発明の範囲を制限するものと考えられるべきではなく、本発明は他の同等に有効な実施形態をも含み得る。
本発明のいくつかの実施形態によるガス分配システムを有するプロセスチャンバとともに用いられるのに好適な例示的なプロセスシステムの概略を図示する。 本発明のいくつかの実施形態による、ガス分配システムを有するロードロックチャンバの断面図を図示する。 本発明のいくつかの実施形態による、ガス分配リングの斜視図を図示する。 本発明のいくつかの実施形態による、ガス分配リングの平面図を図示する。 本発明のいくつかの実施形態による、図4のガス分配リングをライン5−5で切ったときの断面図を図示する。 本発明のいくつかの実施形態による、ガス分配リングの底面図を図示する。
理解を容易にするために、可能な限り、図面に共通な同じ構成要素を指示するために、同じ参照番号がふられている。図は実寸では描かれておらず、分かりやすくするために簡略化されているかもしれない。一実施形態の要素及び特徴は効果的にさらなる引用なしに他の実施形態に組み込まれると考えられるべきである。
詳細な説明
本発明の実施形態はチャンバへのガスの分配するためのガス分配システムを提供する。本発明の装置は、ガス分配システムが熱源と基板との間に置かれたときに、熱源から基板の表面への熱の伝達に干渉が起きることなく、プロセスチャンバに実質的に均一な放射状のガスの分配を効果的にもたらすものである。
本発明の装置は多くの異なるプロセスシステムにおいて効果的に用いられ得る。例えば、図1を見ると、いくつかの実施形態において、プロセスシステム100は、真空のプロセスプラットホーム104、ファクトリーインターフェイス102、及びシステムコントローラ144を主に含む。本明細書における教示に従い適宜に改変された処理システムの例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されている、Centura(登録商標)集積処理システム、PRODUCER(登録商標)ラインの処理システムのうちの1つ(PRODUCER(登録商標)GT(商標名)等)、ADVANTEDGE(商標名)処理システム、又は他の適宜な処理システムを含む。(他の製造業者からの装置を含む)他の処理システムも本発明の恩恵を受けることができると考えられる。
プラットホーム104はプロセスチャンバ(図では6個)110、111、112、132、128、120、及び、真空の基板搬送チャンバ136に結合される少なくとも1つのロードロックチャンバ(図では2個)122を含む。このファクトリーインターフェイス102はロードロックチャンバ122を介して搬送チャンバ136に結合される。いくつかの実施形態において、例えば、図1に示されるように、プロセスチャンバ110、111、112、132、128、120は、隣り合う位置に位置する各ペアでプロセスチャンバ110、111、112、132、128、120のそれぞれを対にしてグループ化される。本明細書における教示により本発明を組み込まれるよう改変され得るツインチャンバの処理システムの1つの例は、2010年4月30日に出願された、「ツインチャンバ処理システム」と題され、Ming Xuらによる、米国仮特許出願第61/330,156号に記載されている。各ツインチャンバの処理システムは一対の相互に隔絶された独立の処理空間を有する。例えば、各ツインのチャンバの処理システムは第1のプロセスチャンバと第2のプロセスチャンバを含み、それぞれ第1及び第2の処理空間を有する。この第1及び第2の処理空間は相互に隔絶されており各プロセスチャンバ内で実質的に独立した処理を行うことができる。このツインのチャンバの処理システム内でのプロセスチャンバの隔絶されたプロセス空間により、処理の間、プロセス空間が流動的に結合されるような複数枚の基板を扱うプロセスシステムによりもたらされるようなプロセスの問題点を効果的に除去し、又は、少なくすることができる。
いくつかの実施形態において、プロセスチャンバは、プロセスリソース(例えば、プロセスガス供給源、電源等)が一対を為すプロセスチャンバ110、111、112、132、128、120のそれぞれの間で共通化されるように構成されるかもしれない。このように、このツインチャンバのプロセスシステムは、より高く基板の処理効率を向上せしめるとともに、システムのフットプリント、ハードウェアの費用、利用性及びコスト、メンテナンス等の低減を可能ならしめる共通のリソースをさらに効果的に利用するものである。例えば、共通のハードウェア、及び/又は、リソースは、1つ以上のプロセスフォアライン及び粗引きポンプ、交流電源及び直流電源、冷却水の分配、冷却器、マルチチャンネルのサーモコントローラ、ガスパネル、コントローラ等を含む。
いくつかの実施形態において、ファクトリーインターフェイス102は、少なくとも1つのドッキングステーション108及び基板の搬送を行うための少なくとも1つのファクトリーインターフェイスのロボット(図では2個)114を含む。ドッキングステーション108は1つ以上の(図では2個)の全面開口型のユニファイドポッド(FOUPs)106A−Bを受容するよう構成される。いくつかの実施形態において、ファクトリーインターフェイスのロボット114は一般にはロードロックチャンバ102を介して処理するために処理プラットホーム104にファクトリーインターフェイス102から基板を搬送するよう構成されたロボット114の1つの端部に設けられたブレード116を含む。選択的に、1つ以上の計測ステーション118がファクトリーインターフェイス102のターミナル126に接続され、FOUPs106A−Bからの基板の計測を行う。
いくつかの実施形態において、ロードロックチャンバ122の各々は(以下に詳細に説明されるように)、ファクトリーインターフェイス102に結合された第1のポート123と、搬送チャンバ136に結合された第2のポート125とを含む。ロードロックチャンバ122は、ロードロックチャンバ112を排気し、通気する、圧力制御システム(これもまた以下に詳細に説明される)に接続され、搬送チャンバ136の真空環境と、ファクトリーインターフェイス102の実質的に周囲の(例えば、大気圧)環境との間で基板のやりとりを行う。
いくつかの実施形態において、搬送チャンバ136はその中に真空ロボット130を有する。真空ロボット130は、主に、可動アーム131に接続された1つ以上の搬送ブレード(図では2個)134を含む。例えば、図1に示されるように、プロセスチャンバ110、111、112、132、128、120が2つずつにグループ化されて配置されているような、いくつかの実施形態において、真空ロボット130は、その真空ロボット135が、ロードロックチャンバ122とプロセスチャンバ110、111、112、132、128、120との間で2つの基板120を同時に搬送するように構成される2つの平行ブレード134含む。
プロセスチャンバ110、111、112、132、128、120は基板処理に用いられる、いかなるタイプのプロセスチャンバであってもよい。例えば、いくつかの実施形態において、プロセスチャンバ110、111、112、132、128、120のうちの少なくとも1つは、エッチングチャンバ、蒸着チャンバ等であってもよい。例えば、プロセスチャンバ110、111、112、132、128、120のうちの少なくとも1つが、エッチングチャンバである場合の実施形態において、その少なくとも1つのプロセスチャンバ110、111、112、132、128、120は、アプライドマテリアルズ社から市販されているデカップルドプラズマソーズ(DPS)チャンバであってもよい。このDPSエッチングチャンバは誘電性ソースを用い高密度プラズマを生成し、基板をバイアスする高周波(RF)電源を含む。選択的に、又は組み合わせて、いくつかの実施形態において、少なくとも1つの処理チャンバ110、111、112、132、128、120は、アプライドマテリアルズ社から市販されている、HART(商標名)、E−MAX(登録商標)、DPS(登録商標)、DPS II、PRODUCER E、又は、ENABLER(登録商標)エッチングチャンバのうちの1つであるかもしれない。他の製造業者からのシステムを含む、他のエッチングチャンバが用いられるかもしれない。
プロセスチャンバ110、111、112、132、128、120がエッチングチャンバである場合の実施形態において、例えば、プロセスチャンバ110、111、112、132、128、120は、置かれた基板(例えば、基板124)をエッチングするために、ハロゲンを含むガスを使うかもしれない。ハロゲンを含むガスの例は、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等を含む。基板124をエッチングした後、ハロゲンを含む残渣物が基板表面上に残るかもしれない。このハロゲンを含む残渣物は、例えば、以下に説明されるような熱処理プロセス等の、ロードロックチャンバ122内での熱処理プロセスにより除去され得る。
システムコントローラ144は処理システム100に接続される。システムコントローラ144は、プロセスチャンバ110、111、112、132、128、120及びシステム100に対し直接的な制御を行うか、あるいは、プロセスチャンバ110、111、112、132、128、120及びシステム100に関連するコンピュータ(又はコントローラ)を制御することにより、システム100の動作を制御する。動作中、システムコントローラ144はシステム100の動作効率を最適化するために、各チャンバ及びシステムコントローラ144からのデータの収集及びフィードバックを可能ならしめる。
システムコントローラ144は主に、中央処理ユニット(CPU)138、メモリ140、サポート回路142を含む。CPU138は産業分野で用いられ得る汎用用途のコンピュータプロセッサの、いかなるタイプのものであってもよい。サポート回路142はCPU138に接続され、キャッシュ、クロック回路、入出力サブシステム、電源、等を含む。以下に図5を参照して説明されるハロゲンを含む残渣物を除去するための方法500等のソフトウェアルーチンは、CPU138により実行されると、CPU138を特定の用途のコンピュータ(コントローラ)144に変換する。また、ソフトウェアルーチンは本システム100から遠隔に設けられた第2のコントローラ(図示せず)により保存及び/又は実行され得る。
図2を参照すると、いくつかの実施形態において、ロードロックチャンバ122は、主に、チャンバボディ202と、第1の基板ホールダ204と、第2の基板ホールダ206と、温度制御ペデスタル240と、1つ以上の加熱エレメント271を含むヒータモジュール270とを含む。チャンバボディ202はアルミニウム等の単一の本体部材から作製されるかもしれない。このチャンバボディ202は、第1の側壁208と、第2の側壁210と、ラテラルウォール(図示せず)と、上面214と、底面216とを含みチャンバの内部空間218を形成する。ガス分配リング290は上面214に結合され、1つ以上のガス源252からチャンバ空間218内への放射状のガスの分配を行う。ガス分配リング290は、ガス分配リング290とチャンバボディ202との間を真空に密閉するために好適な態様によって、上面214に結合される。例えば、いくつかの実施形態において、ガス分配リング290は、溶接により上面214に結合され、又は、いくつかの実施形態においては、複数の締結具(例えば、スクリュー、ボルト等)により上面214に結合されるかもしれない。
ウィンドウ250がガス分配リング290の上に設けられ、ヒータモジュール270により少なくとも部分的に覆われるかもしれない。いくつかの実施形態において、ウィンドウ250は、少なくとも部分的に光学的に透過性を有しており、加熱エレメント271からチャンバ空間218への熱の伝達を行わしめる。ウィンドウ250はガラス、水晶材料等の少なくとも部分的に光学的に透明な材料を含む。いくつかの実施形態において、ウィンドウ250は例えばクォーツ(SiO)等シリコンベースの材料を含む。選択的にいくつかの実施形態において、ウィンドウ250はサファイアを含むかもしれない。
ガス分配リング290は、主に、ヒートモジュール270によりもたらされるエネルギーが通過し、チャンバ空間218内に入るよう構成された開口を有するボディを含む。複数のガス分配チャネルは、ボディ内の、開口の周りに設けられ、チャンバ空間218内へのガスの放射状の分配を行う。例えば、いくつかの実施形態において、図3に示されるように、ガス分配リング290は(ロードロックチャンバ122の上側表面等)プロセスチャンバの内部表面にボディを結合するよう構成された第1の表面308を有するボディ301を含む。
いくつかの実施形態において、複数の隔絶パッド324がボディ301の上に(又は、以下に説明されるようにキャップ318の上に)設けられ、チャンバボディ202(又は、ヒートモジュールからのもたらされる不要な余分な熱を取り除く、図示されていない、冷却リング等の他のコンポーネント)からガス分配リング290の少なくとも一部分を熱的に隔絶する。いくつかの実施形態において、隔絶パッド324はポリイミド(例えば、KAPTON(登録商標))等の薄い一片の適宜な熱絶縁材料を含む。この一片の材料は円盤状、方形又は正方形等の適宜な形を有しているかもしれない。いくつかの実施形態において、各絶縁パッド324は約0.005インチ(約0.127ミリメートル)の厚さを有し、約0.25インチ(約6.35ミリメートル)の直径を有するポリイミドの円盤であるかもしれない。
ボディ301はボディ301を貫通して設けられた開口304を含む。フランジ320はボディ301の第1の表面308の反対側の開口304の第1の端部の近傍に設けられる。このフランジは開口304を通って内部に延び、ウィンドウ250をその上で支持するよう構成される。複数のガス分配チャネルは、ボディ301内に設けられ、フランジ320に設けられた複数の穴322に、前記ボディ内に形成されたプレナムを流動可能に結合する。この複数の穴322は開口304の周りに放射状に設けられる。いくつかの実施形態において、この複数の穴322は開口304の周りに均等に設けられる。いくつかの実施形態において、この穴322は開口304の周りに実質的に非均一的に設けられるかもしれない。
ボディ301は1つのコンポーネント又は複数のコンポーネントから作製される。例えば、いくつかの実施形態において、そして図3に詳細に説明されるように、ガス分配リング290は側壁312を介して下側の表面310に接続された上側表面308を有するプレート302を含む。この開口304はプレート302を貫通して設けられている。いくつかの実施形態において、シリンダ306はプレート302において開口304を通って延びる。シリンダ306はプレート302の下側表面310を通って延びる。フランジ320はシリンダ306の第1の端部317の近傍に設けられ、開口304の内側に延びる。いくつかの実施形態において、フランジ320は、例えば以下に説明されるように、ウィンドウ250等のウィンドウを支持するよう構成される。
いくつかの実施形態において、フランジ320はそれぞれがフランジ320に形成された複数の穴322に各々、結合された(以下に説明されるように)複数のガス分配チャネルを含む。いくつかの実施形態において、このチャネルはシリンダ306を通って延び、(以下に説明されるように)シリンダ306内に形成されたチャネルにそれぞれ流動可能に結合される。いくつかの実施形態において、シリンダ306はシリンダ306の上に設けられたキャップ318を含む。キャップ318は開口を覆い、複数のガス分配チャネルにガスを供給するための、(以下に説明される)プレナムを形成する。
ガス分配リング290は、例えば、金属、セラミック等の実行される特定のプロセスの環境において用いられる適宜な材料から作製される。いくつかの実施形態において、ガス分散リング290は、基板ホールダ204、206上に位置する基板の熱と実質的に干渉しないように、ヒータモジュール270から生成される熱を伝達するような材料により作製される。例えば、いくつかの実施形態において、ガス分散リング290はアルミニウムから作製される。
いくつかの実施形態において、ガス分散リング290は、例えば、溶接又はろう付け等により相互に結合される分離したパーツ(例えば、シリンダ306、プレート302及びキャップ318)から構成されるかもしれない。いくつかの実施形態において、これらの別個のパーツは、例えば、ボルト、スクリュー等の複数の締結部により相互に結合されるかもしれない。選択的に、ガス分配リング290の1つ以上のパーツは単一の部材から作成されるかもしれない。例えば、いくつかの実施形態において、シリンダ306及びプレートは単一の部材から作製され得る。いくつかの実施形態において、キャップ318は別個のものであり、溶接又はろう付けによりガス分散リング290に結合される。
いくつかの実施形態において、複数の貫通孔314がプレート302に形成され、プロセスチャンバの、例えば、上述のロードロックチャンバ122のチャンバボディ202の、内部表面に、プレート302を結合する。いくつかの実施形態において、複数の絶縁パッド316はプレート302の上に設けられ、ガス分散リング290をチャンバボディ202から熱的に絶縁する。
図4は本発明のいくつかの実施形態によるガス分散リング290の上面図を図示する。図4に示されるように、キャップ318は、プレナム410を形成する、ボディ301内のチャネル、又は(図中破線で示されている)プレート302を覆う。いくつかの実施形態において、キャップ318は、キャップ318が少なくとも部分的にチャネル内に設けられるように、チャネルのショルダ408によりかかる。プレナム410は、各穴の近傍の圧力がより均一になり、それによって、第1の穴322から、ロードロックチャンバ122へ、より均一にガスが流れるように、フランジ320に形成された複数の穴322を流動可能に結合する。いくつかの実施形態において、プレナムは第1の部分412における断面領域がプレナム410の第2の部分414における断面領域より大きくなるように、プレナムは非均一な断面を有するかもしれない。いくつかの実施形態において、ガス源252からのガスは、ガスが第1の部分412のより大きい断面領域を通って、第2の部分414のより小さい断面部分に流れるときの圧力の変化によって、各第2の穴322からロードロックチャンバ122に流れるより均一なガスの流れを促進するために、第1の部分412の近傍に供給される。いくつかの実施形態において、ガス源252からのガスは、ボディ301に形成された穴416を経由して、プレナムに供給される。いくつかの実施形態において、穴416はプレナム410の底の表面に形成される。
ガス分配リング290は所要の処理装置内に好適な寸法を含む。例えば、いくつかの実施形態において、ガス分配リング290は、約17約18インチ(約431.8〜約457.2ミリメートル)の全長402を有し、又はいくつかの実施形態においては、約17.79インチ(約451.866ミリメートル)の全長を有する。いくつかの実施形態において、ガス分配リング290は約15約16インチ(約381〜約406.4ミリメートル)の幅404を有し、又はいくつかの実施形態においては、約15.28インチ(約388.112ミリメートル)の幅を有する。さらに、開口304及びフランジ320は(上述された)プロセスウィンドウを支持するのに好適な大きさを有する。例えば、いくつかの実施形態において、開口304は約12約13インチ(約304.8〜約330.2ミリメートル)の直径406を有し、又はいくつかの実施形態においては、約12.6インチ(約320.04ミリメートル)の直径を有する。いくつかの実施形態において、フランジ320は開口304に延び、約11約12インチ(約279.4〜約304.8ミリメートル)の直径404の開口の直径を小さくし、いくつかの実施形態においては、約11.325インチ(約287.655ミリメートル)の直径を有する。また、プレート302はさらなる特徴を有しており、例えば、プレート302の角は、傾斜を有した側面406を有し、プロセスチャンバ内で適宜な整合性をもたらし、ガス分散リング290の全体の大きさを小さくしている。
図5を参照すると、ボディ301(又はシリンダ306)及びフランジ320に形成された各ガス分配チャネル(図では2個、508と符号が付されている)は、概ね水平方向に方向づけられている第1の部分504と、概ね垂直方向に方向づけられている第2の部分506を有する。さらに、各チャネル508はそれぞれ流動可能に複数の穴324のうちの1つの穴に結合されている。第1の部分504及び第2の部分506は実質的に垂直であるように示されているが、第1の部分504及び第2の部分のそれぞれは、相互に及びガス分散リング290に対し、適宜な角度で設けられ、チャネル504を介して均一なガスの流れとなるようにし、これによりガス分配リング290からの一定のガスの分配が可能となる。
いかなる数のチャネル508がシリンダ206及びフランジ320に形成され得、ガス分配リング290からのガスの分配が可能となる。例えば、いくつかの実施形態において、2つ以上のチャネル508、又はいくつかの実施形態において、約24個のチャネル508、又はいくつかの実施形態において約23個のチャネル508がシリンダ206及びフランジ320に形成されるかもしれない。さらに、ガス分配リング290から所望のパターンでガスを分配できるような適宜な態様により、チャネル508は、シリンダ206及びフランジ320に分散して設けられる。例えば、いくつかの実施形態において、チャネル508は均等な放射状のガス分配を可能ならしめるように均一に間隔を空けて設けられる。例えば、シリンダがチャネル508を含む場合の実施形態において、チャネル508はシリンダ206及びフランジ320の周りで約15度の間隔で設けられるかもしれない。
チャネル508は所望のガスの流れを供給するに好適な大きさを有する。例えば、いくつかの実施形態において、チャネル508は約0.11約0.19インチ(約2.794〜約4.826ミリメートル)の直径を有する。いくつかの実施形態において、穴324は同じ又は異なる大きさを有するチャネル508を含む。
いくつかの実施形態において、ウィンドウ250がフランジ320の上側表面に置かれる場合、オーリング、又は、ガスケット、チャネル510がフランジ320の上側表面に設けられ、ウィンドウ250とフランジ320との間を真空に密閉するために1つ以上のオーリング又はガスケット518(1つが図示されている。)を締結する。
いくつかの実施形態において、各チャネル508の第2の部分506の一端503はプレナム410に結合される。そのような実施形態において、プレナム410はシリンダ306内の連続的な放射状のチャネルを形成し、これにより、チャネル504のそれぞれにガス源(上述したようなガス源252)からのガス供給を同時に行うことができる。プレナム410が存在するような実施形態において、キャップ318がシリンダ306の上に置かれるかもしれない。いくつかの実施形態において、キャップ318はプレナム410内に適合するよう構成されたインサート520を含む。キャップ318は例えば溶接又はろう付け等により、真空に密閉するのに好適ないかなる結合手段によってシリンダ306に結合される。
動作状態において、例えば、ガス分配リング290は(例えば、上述されるようなロードロックチャンバ122のような)プロセスチャンバの内部表面に結合され、ガス分配リング290とプロセスチャンバボディとの間の真空シールを形成する。少なくとも部分的に透明な材料を含むプロセスウィンドウ250は1つ以上のオーリング518の上に設けられる。プロセスガスはガス源(例えば、上述されるようなガス源252)を介してプレナムに供給され、チャネル508を介して複数の穴324から分配される。いくつかの実施形態において、ガスは実質的に均一な放射状パターンにより分配され、例えば、複数の穴324のそれぞれは、それぞれに対し約1%より小さい差分を有する圧力でガスを分配する。さらに、いくつかの実施形態において、プレナムと複数の穴との間のガスの圧力降下は約600トル未満、又はいくつかの実施形態においては、約500ミリトル未満であるかもしれない。
図6を参照すると、いくつかの実施形態において、さらなる特徴がガス分配リング290に組み込まれるかもしれない。例えば、いくつかの実施形態において、ガス分配リング290は、くぼみ、切り欠き604、又は、他の特徴602を有し、プロセスチャンバ(すなわち、ロードロックチャンバ122)内のガス分配リング290内に適宜な整合性をもたらすよう構成される。
図2を参照すると、チャンバ空間218の圧力は、ロードロックチャンバ122が搬送チャンバ126の環境に実質的に適合するよう排気され、ファクトリーインターフェイス102の周囲環境に実質的に適合するまで通気されるように、制御される。いくつかの実施形態において、チャンバ空間218の圧力は、以下に詳細に説明されるように、所定の範囲内で制御され、残渣物の除去プロセスを実行する。このチャンバボディ202は1つ以上の通気通路230及び排気通路232を含む。通気通路230及び排気通路232はチャンバボディ202の反対側の端に位置し、パーティクルのコンタミネーションを最小限にするために、通気又は排気している間に、チャンバボリューム218ヘの層状の流れを誘引するようにしている。いくつかの実施形態において、1つ以上の通気通路230が、1つ以上の(図では2個)ガス源250により供給される放射状のガスの分配をもたらすようにガス分配リング290に結合され、他方、排気通路232がチャンバボディ202の底16を介して設けられる。典型的には、通路230、232はバルブ212に結合され、選択的にチャンバ空間218へガスを流したり、又は、そこからのガスを流したりする。いくつかの実施形態において、ニュージャージー州リバーデールのカミフィルファー社から市販されているような高効率のエアフィルタ236が通気ライン237を介してチャンバボディ202に結合されるかもしれない。
通気通路230はバルブ241を介してガス源252にさらに結合され、ガス分配リングを介してチャンバの空間218へガスの混合物を供給する。ガス源252は実行される特定のプロセスに必要とされるガスを供給する。例えば、いくつかの実施形態において、ガスソース252は、窒素(N)、水素(H)、アルケン、酸素(O)、オゾン(O)、水蒸気(H0)等のうちの少なくとも1つを供給する。
いくつかの実施形態において、リモートプラズマソース(RPS)248が通気通路230に結合され、基板表面から残渣物を除去するのに供する。このリモートプラズマソース248は、ガス源252からロードロックチャンバ122に供給されるガスの混合物から形成されるプラズマを供給する。リモートプラズマソース(RPS)248が存在する実施形態において、ディフューザー(図示せず)が通気通路230の出口のところに設けられ、生成されたプラズマをロードロックチャンバ122ヘ分配するかもしれない。
いくつかの実施形態において、排気通路232は、フランスのパリに本社があるアルカテル社から市販されているようなポイントオブユースのポンプ236に結合される。ポイントオブユースのポンプ236は低い振動を発生し、ロードロックチャンバ122内のホールダ204、206上に位置する基板124の撹乱を最小限とする一方で、ロードロックチャンバ122とポンプ236との間の流体通路を最小限とすることにより排気の効率及び時間を向上せしめる。
第1のローディングポート238はチャンバボディ202の第1の壁208に設けられ、基板124がロードロックチャンバ122とファクトリーインターフェイス102との間で搬送されるようにする。第1のスリットバルブ244は選択的に第1のローディングポート238を密閉し、ロードロックチャンバ122をファクトリーインターフェイス102から隔絶する。第2のローディングポート239はチャンバボディの第2のウォール210に設けられ、基板124がロードロックチャンバ122と搬送チャンバ136との間で搬送されるようにする。第1のスリットバルブ244と実質的に類似する第2のスリットバルブ246が第2のローディングポート239を密閉し、ロードロックチャンバ122を搬送チャンバ136の真空環境から隔絶する。
第1の基板ホールダ204はチャンバの底216の上に設けられている第2の基板ホールダ206に(すなわち、一番上にスタックされて)、同一円心上に結合される。基板ホールダ204、206は、チャンバボディ202の底216から延びるシャフト282に接続されるフープ220に搭載される。シャフト282はチャンバボディ202内で基板ホールダ204及び206の上下動を制御するロードロックチャンバ122の外部に設けられたリフト構造296に結合される。ベローズ284がフープ220とチャンバボディ202の底216との間に結合され、第2の基板ホールダ206とボトム216との間での柔軟性のある密閉構造を提供するためにシャフト282の周りに設けられ、これによりチャンバボディ202からの、又は、チャンバボディ202へのガスの漏れを防ぎ、ロードロックチャンバ122内の圧力変化をもたらすことなく、基板ホールダ204、206の上下動を可能ならしめる。
動作中、例えば、第1の基板ホールダ204はファクトリーインターフェイス102からの処理されてない基板を支持するように、他方、第2の基板ホールダ206は搬送チャンバ136から戻される処理された基板(例えば、エッチングされた基板)を保持するように用いられる。通気及び排気の間、ロードロックチャンバ122内の流れは、実質的に通気通路230及び排気通路232の位置により実質的に層流的な流れであり、パーティクルのコンタミネーションを最小限とするよう構成されている。
いくつかの実施形態において、温度制御ペデスタル240が支持部材278によりチャンバボディ202の底216に結合されている。流体物、電気信号、センサ等がペデスタル240に結合されるように、支持部材278はくぼみ又は通路を有している。選択的に、ペデスタル240は第2のシャフト282及びリフト機構262によりチャンバボディ202に移動可能に結合されるかもしれない。その実施形態において、支持部材278はベローズ284を含むかもしれない。
温度制御ペデスタル240は、主に、例えば、アルミニウムやステンレススチール等の熱伝導部材から作製されるが、選択的に、セラミック等の他の物質からなるかもしれないプラテン280を含む。プラテン280は主に熱伝導エレメント286を有する。熱伝導エレメント286は、プラテン280に設けられた流体通路、又は、プラテン280の下側の表面288に接触して設けられた流体通路であるかもしれない。選択的に、熱伝導エレメント286は、プラテン280の温度を制御するのに用いられるペルチェデバイス、又は、その他の構造等の、循環ウォータージャケット、熱電デバイスであるかもしれない。
いくつかの実施形態において、熱伝導エレメント286はプラテン280の下側表面288に接触して設けられたチューブ291を含む。チューブ291はチューブを通る液体を循環させる液体源294に接続される。液体、例えば、液体源294からの施設の水は、選択的に、熱的に調整を行う。チューブ291は、プラテン280の下側表面288に対して、実質的に円形又は螺旋形のパターンに配置されるかもしれない。典型的に、チューブ291は、下側表面288に対して、ろう付けされるか、又は、締めつけにより取り付けられ、又は、導電性のある接着剤を用いて接着される。選択的に、銅のプレート等の導電性のプレート(図示せず)が選択的にチューブ291とプラテン280との間に設けられ、プラテン280の幅にかけて均一な熱の伝導を促進するようにしてもよい。
結合された基板ホールダ204、206を有するフープ220が、プラテン280の上側表面292が第2の基板ホールダ206によって支持される基板の近く、又は、それに接触する第1の位置まで下げられる。この第1の位置において、プラテン280は、プラテン280の上に設けられた(又はそれに近傍の)基板の温度を調整するのに用いられる。例えば、処理から戻ってきた基板は、プラテン280の上側表面292上でロードロックチャンバ122の排気の間に、その基板を支持することにより、ロードロックチャンバ122内で冷却される。プラテン280を介して、熱エネルギーが基板から熱伝導エレメントへ伝導され、これにより基板を冷却する。基板を冷却した後、基板ホールダ204、206はチャンバボディ202の上部214のほうに持ち上げられ、ロボット130、114が第2の基板支持体206に位置する基板にアクセスできるようにする。選択的に、ホールダ204、206は、下側表面292が第1の基板ホールダ204により支持されている基板に接触するか、又は、基板の近傍にある位置にまで下げられる。この位置において、プラテン280は基板を熱的に調整し、加熱するのに用いられる。
いくつかの実施形態において、動作中、ロードロックチャンバ122は、ファクトリーインターフェイス102の周囲の雰囲気と搬送チャンバ136の真空雰囲気との間で、基板の搬送を行う。ロードロックチャンバ122は一時的に基板を収容し、ロードロックチャンバ122内の雰囲気が、搬送チャンバ136の雰囲気、又は、基板が搬送されるべきファクトリーインターフェイス202の雰囲気に整合するよう調整される。例えば、第1のスリットバルブ244は開放され、ロードロックチャンバ122は、ファクトリーインターフェイス102の雰囲気と整合するように、実質的に周囲の圧力にまで通気される。ファクトリーインターフェイスのロボット120はFOUPs106A−Bのうちの1つから第1の基板ホールダ204ヘ処理されていない基板を搬送する。この基板は、続いてプロセスチャンバ110、111、112、132、128、120に搬送され、エッチのプロセスが実行される。エッチングプロセスが完了した後、ロードロックチャンバ122内の排気通路232は実質的に開放され、ロードロックチャンバ122は搬送チャンバ136の圧力にほぼ等しい圧力にまで排気される。ロードロックチャンバ122及び搬送チャンバ136内の圧力が実質的に等しくなると、第2のスリットバルブ246は開放される。処理された基板はロードロックチャンバ122内の搬送ロボット130により第2の基板ホールダ206上の位置に搬送される。搬送ロボット130のブレードが取り除かれると、第2のスリットバルブ246は閉められる。
いくつかの実施形態において、例えば、エッチングプロセスが行われる場合、残渣物の除去プロセスはロードロックチャンバ122において行われる。そのような実施形態において、残渣物除去プロセスの間、第2の基板ホールダ206は、加熱効率を上げるために、処理された基板をヒータモジュール270のほうに持ち上げ、これによりロードロックチャンバ122内の外に排気されるかもしれない不揮発性の化合物に、残渣物を変換する。この除去プロセスの間、1つ以上のプロセスガスがロードロックチャンバ122内に供給され、残渣物の除去を促進する。処理された基板表面上の残渣物が部分的に又は全体的に基板表面から排除された後、通気通路230はロードロックチャンバ122内において開放され、ロードロックチャンバ122の圧力がファクトリーインターフェイス102の圧力と実質的に同じになるまで上げられ、これにより、処理された基板がFOUPs106A−Bに搬送される。通気の間、ペデスタル240は、第2の基板ホールダ206に置かれている処理された基板に、接触するよう持ち上げられる。このように処理された基板はペデスタル240を介してチューブ291を循環する液体に熱を伝達することにより冷却される。圧力が同じになると、第1のスリットバルブ244は開けられ、ファクトリーインターフェイスのロボット114がロードロックチャンバ122にアクセスし、第2の基板ホールダ206からの処理された基板を取り除き、FOUPs106A−Bのうちの1つに戻す。このように基板冷却プロセス及びロードロックチャンバの通気プロセスが同時に実行され、全体のプロセス期間及びサイクル時間が減少され生産性及びスループットが向上する。ロードロックチャンバ122のスリットバルブ244がオープン状態である間に、処理された基板がファクトリーインターフェイスのロボット114により、第2の基板ホールダ206から除去されるので、FOUPs106A−Bからの新規の処理されていない基板が、第1の基板ホールダ204上のロードロックチャンバ122に、搬送されうる。
基板の搬送が完了すると、スリットバルブ244及び通気通路236は閉じられる。続いて、排気通路232は開けられ、ロードロックチャンバ122は搬送チャンバ136の圧力にほぼ等しい圧力まで排気される。ロードロックチャンバ122及び搬送ロボット136の圧力が実質的に同じになると、第2のスリットバルブ246は開けられ、上述のようなエッチングプロセス及びハロゲンを含む残渣物の除去プロセスを繰り返し連続的に実行するために、搬送チャンバ136を取り囲むプロセスチャンバ110、112、132、128、120のうちの1つ以上においてプロセスを行うために、搬送ロボット130は新規の処理されていない基板を第1の基板ホールダ204内の位置に取り出す。基板搬送が完了すると、第2のスリットバルブ246は閉じられ、上述のように、搬送チャンバ136からロードロックチャンバ122をシールする。
このように本発明はプロセスチャンバへ放射状にガスを分配のための装置を提供する。本発明の装置は効果的に、実質的に均整のとれた、及び/又は、均一な放射状のガスの分配をプロセスチャンバにもたらし、基板の表面への熱源からの熱の伝達に干渉することはない。
本発明の実施形態において説明がなされてきたが他の及びさらなる本発明の実施形態が本発明の基本範囲から逸脱することなく成し得る。

Claims (20)

  1. プロセスチャンバの内部表面に結合されるよう構成された第1の表面を有するボディであって、前記ボディを貫通して設けられた開口を有するボディと、
    前記ボディの前記第1の表面の反対側の前記開口の第1の端部の近傍に設けられたフランジであって、前記開口の内部に延び、その上でウィンドウを支持するよう構成されたフランジと、
    前記フランジ内に設けられた複数の穴に、前記ボディ内かつ前記開口の周りに設けられたチャネルを流体結合する、前記ボディ内に設けられた複数のガス分配チャネルとを含み、前記複数の穴は前記フランジの周りに放射状に設けられているプロセスチャンバのためのガス分配システム。
  2. 前記ボディは、
    前記プロセスチャンバの前記内部表面に、プレートを結合するよう構成された前記第1の表面を有するプレートであって、このプレートを貫通して設けられた前記開口を有するプレートと、
    前記第1の表面の反対側の前記プレートの1つの上で前記プレートから延び、それを貫通する前記開口を有するシリンダとを含み、前記フランジは前記プレートの反対側の前記シリンダの第1の端部の近傍に設けられている請求項1記載のガス分配システム。
  3. 前記ボディはさらにプレナムを形成する前記チャネルの上部に設けられたキャップを含む請求項1記載のガス分配システム。
  4. 前記プレナムはガス分配ソースからのガスを受けるガス入口の近傍の第1の断面領域と、前記開口の反対側に設けられた第2の断面領域とを有し、前記第1の断面領域は前記第2の断面領域より大きい請求項3記載のガス分配システム。
  5. 前記複数のガス分配チャネルは約2.794〜約4.826ミリメートルの直径を含む請求項1記載のガス分配システム。
  6. 前記開口内かつ前記フランジの上に設けられたウィンドウをさらに含み、前記フランジは前記ウィンドウと共に真空シールを形成するよう構成されている請求項1記載のガス分配システム。
  7. 前記ウィンドウはクォーツ又はサファイアの少なくとも1つを含む請求項6記載のガス分配システム。
  8. 前記ボディの前記上部表面は、前記プロセスチャンバの前記内部表面に結合されるときに、前記プロセスチャンバと共に真空シールを形成するよう構成されている請求項1記載のガス分配システム。
  9. 前記ボディがアルミニウム又はステンレススチールの少なくとも1つを含む請求項1記載のガス分配システム。
  10. 複数の穴が、前記ガスの放射状の分配を提供するために、前記フランジの周りに対称的に設けられている請求項1記載のガス分配システム。
  11. 前記複数のガス分配チャネルは約2つ以上のチャネルを含む請求項1記載のガス分配システム。
  12. 基板支持体を有するプロセスチャンバと、
    前記基板支持体の支持表面の反対側に設けられた1つ以上の輻射加熱エレメントを含むヒータモジュールと、
    前記ヒータモジュールと前記基板支持体との間で前記プロセスチャンバに結合されるガス分配システムであって、
    前記プロセスチャンバの内部表面に結合されるよう構成された第1の表面を有するボディであって、前記ボディを貫通して設けられた開口を有するボディと、
    前記ボディの前記第1の表面の反対側の前記開口の第1の端部の近傍に設けられたフランジであって、前記開口の内部に延び、その上でウィンドウを支持するよう構成されたフランジと、
    前記フランジ内に設けられた複数の穴に、前記ボディ内かつ前記開口の周りに設けられたチャネルを流体結合する、前記ボディ内に設けられた複数のガス分配チャネルとを含み、複数の穴が前記フランジの周りに放射状に設けられているガス分配システムとを含むガス分配システム。
  13. 前記ボディは、
    前記プロセスチャンバの前記内部表面に、プレートを結合するよう構成された前記第1の表面を有するプレートであって、このプレートを貫通して設けられた前記開口を有するプレートと、
    前記プレートから前記プロセスチャンバの内側容積へ向かって延び、それを貫通する前記開口を有するシリンダとを含み、前記フランジは前記プレートの反対側の前記シリンダの第1の端部の近傍に設けられている請求項12記載のガス分配システム。
  14. 前記ボディはプレナムを形成する前記チャネルの上側部分に設けられたキャップを含む請求項12記載のガス分配システム。
  15. 前記プレナムはガス分配ソースからのガスを受けるガス入口の近傍の第1の断面領域と、前記開口の反対側に設けられた第2の断面領域とを有し、前記第1の断面領域は前記第2の断面領域より大きい請求項14記載のガス分配システム。
  16. 前記開口内かつ前記フランジの上に設けられたウィンドウをさらに含み、前記フランジは前記ウィンドウと共に真空シールを形成するよう構成されている請求項12記載のガス分配システム。
  17. 前記ボディの前記上部表面は、前記プロセスチャンバの前記内部表面に結合されるときに、前記プロセスチャンバとの間で真空シールを形成するよう構成されている請求項12記載のガス分配システム。
  18. 前記ボディがアルミニウム又はステンレススチールの少なくとも1つを含む請求項12記載のガス分配システム。
  19. 複数のガス分配チャネルが、前記ガスの放射状の分配を提供するために、前記フランジの周りに対称的に設けられている請求項12記載のガス分配システム。
  20. 前記プロセスチャンバはロードロックチャンバである請求項12記載のガス分配システム。
JP2013508098A 2010-04-30 2011-04-20 チャンバにガスを放射状に分配するための装置及びその使用方法 Expired - Fee Related JP5752238B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33004110P 2010-04-30 2010-04-30
US61/330,041 2010-04-30
US12/907,947 2010-10-19
US12/907,947 US8562742B2 (en) 2010-04-30 2010-10-19 Apparatus for radial delivery of gas to a chamber and methods of use thereof
PCT/US2011/033332 WO2011137010A2 (en) 2010-04-30 2011-04-20 Apparatus for radial delivery of gas to a chamber and methods of use thereof

Publications (3)

Publication Number Publication Date
JP2013526060A JP2013526060A (ja) 2013-06-20
JP2013526060A5 JP2013526060A5 (ja) 2014-10-16
JP5752238B2 true JP5752238B2 (ja) 2015-07-22

Family

ID=44857318

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013508098A Expired - Fee Related JP5752238B2 (ja) 2010-04-30 2011-04-20 チャンバにガスを放射状に分配するための装置及びその使用方法

Country Status (6)

Country Link
US (1) US8562742B2 (ja)
JP (1) JP5752238B2 (ja)
KR (1) KR101456894B1 (ja)
CN (1) CN102870200B (ja)
TW (1) TWI489546B (ja)
WO (1) WO2011137010A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10774420B2 (en) 2016-09-12 2020-09-15 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
US10844485B2 (en) 2016-08-10 2020-11-24 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102495515B (zh) * 2011-12-12 2014-01-15 中国科学院长春光学精密机械与物理研究所 一种适用于空间相机热控制的隔热垫
CN102564139B (zh) * 2012-03-16 2015-02-18 中国恩菲工程技术有限公司 用于冶金炉的加料口装置
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN104812939B (zh) * 2012-11-30 2017-02-22 应用材料公司 处理腔室气流设备、系统和方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
JP2015060934A (ja) * 2013-09-18 2015-03-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
CN105097600B (zh) * 2014-04-15 2018-07-06 北京北方华创微电子装备有限公司 一种真空锁定腔室
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN107437521A (zh) * 2016-05-26 2017-12-05 北京北方华创微电子装备有限公司 一种刻蚀机的晶圆传送方法和装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
EP3510849B1 (en) * 2017-02-03 2023-08-30 Hewlett-Packard Development Company, L.P. Thermal control with vapor and isolation chambers
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108538697B (zh) * 2018-05-16 2020-02-21 安徽晟源环保新型材料有限公司 一种等离子刻蚀机
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11779871B2 (en) * 2018-12-21 2023-10-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Exhaust module for wafer baking apparatus and wafer processing system having the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11901198B2 (en) * 2019-07-12 2024-02-13 Axcelis Technologies, Inc. Toxic outgas control post process

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US20020078893A1 (en) 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
KR100523113B1 (ko) * 2000-06-01 2005-10-19 동경 엘렉트론 주식회사 반도체 처리용의 단일기판식 처리 장치
JP2003124206A (ja) * 2001-10-18 2003-04-25 Tokyo Electron Ltd 熱処理装置
WO2004097919A1 (ja) * 2003-05-02 2004-11-11 Tokyo Electron Limited 処理ガス導入機構およびプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7759249B2 (en) 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
CN100527361C (zh) * 2006-12-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
JP5249547B2 (ja) * 2007-09-28 2013-07-31 東京エレクトロン株式会社 プラズマ処理装置及びそのガス排気方法
JP5062057B2 (ja) * 2008-06-25 2012-10-31 東京エレクトロン株式会社 真空処理装置
CN105895517A (zh) 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844485B2 (en) 2016-08-10 2020-11-24 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
US10774420B2 (en) 2016-09-12 2020-09-15 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus

Also Published As

Publication number Publication date
US8562742B2 (en) 2013-10-22
CN102870200B (zh) 2016-04-13
JP2013526060A (ja) 2013-06-20
CN102870200A (zh) 2013-01-09
WO2011137010A2 (en) 2011-11-03
KR20130023193A (ko) 2013-03-07
US20110265887A1 (en) 2011-11-03
TWI489546B (zh) 2015-06-21
KR101456894B1 (ko) 2014-10-31
TW201222659A (en) 2012-06-01
WO2011137010A3 (en) 2012-03-08

Similar Documents

Publication Publication Date Title
JP5752238B2 (ja) チャンバにガスを放射状に分配するための装置及びその使用方法
TWI407521B (zh) 用以從蝕刻基板有效地移除鹵素殘餘物之設備
TWI555058B (zh) 雙負載閘配置之減弱及剝離處理腔室
US7655571B2 (en) Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US10312116B2 (en) Methods and apparatus for rapidly cooling a substrate
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US11424149B2 (en) Substrate transfer mechanism to reduce back-side substrate contact
JP3380652B2 (ja) 処理装置
CN115516615A (zh) 热批处理腔室
US9587789B2 (en) Methods and apparatus for providing a gas mixture to a pair of process chambers
TWI847817B (zh) 減少背側基板接觸的基板傳送機制
KR101342991B1 (ko) 플라즈마 식각 장치 및 이를 포함하는 기판 처리 시스템
KR20230070035A (ko) 챔버 내 저항성 가열 엘리먼트에 대한 챔버 본체 피드스루

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140416

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140829

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20140829

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20140922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150330

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150421

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150519

R150 Certificate of patent or registration of utility model

Ref document number: 5752238

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees