CN102870200A - 用于将气体径向输送至腔室的装置及其使用方法 - Google Patents

用于将气体径向输送至腔室的装置及其使用方法 Download PDF

Info

Publication number
CN102870200A
CN102870200A CN2011800076537A CN201180007653A CN102870200A CN 102870200 A CN102870200 A CN 102870200A CN 2011800076537 A CN2011800076537 A CN 2011800076537A CN 201180007653 A CN201180007653 A CN 201180007653A CN 102870200 A CN102870200 A CN 102870200A
Authority
CN
China
Prior art keywords
gas
main body
chamber
distributing system
flange
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800076537A
Other languages
English (en)
Other versions
CN102870200B (zh
Inventor
杰瑞德·阿哈默德·里
马丁·杰夫·萨里纳斯
安珂斯·阿加沃尔
伊兹拉·罗伯特·高德
詹姆斯·P·克鲁斯
阿尼鲁达·帕尔
安德鲁·源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102870200A publication Critical patent/CN102870200A/zh
Application granted granted Critical
Publication of CN102870200B publication Critical patent/CN102870200B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Abstract

本文提供了将气体输送至腔室的装置及其使用方法。在某些实施例中,用于工艺腔室的气体分配系统可包括:主体,该主体具有第一表面,该第一表面被配置为将主体耦合至工艺腔室的内表面,该主体具有被布置穿过主体的开口;凸缘,该凸缘被布置成邻近开口的第一端,该开口的第一端与主体的第一表面相对,凸缘向内延伸至开口中,并且凸缘被配置为支撑在凸缘上的窗口;以及多个气体分配槽道,多个气体分配槽道布置在主体内,并且多个气体分配槽道将布置在主体内和围绕开口的槽道流体地耦合至布置在凸缘中的多个孔,其中多个孔绕凸缘径向地布置。

Description

用于将气体径向输送至腔室的装置及其使用方法
技术领域
本发明的实施例一般地涉及基板处理。
背景技术
超大型积体(Ultra-large-scale integrated;ULSI)电路可包括超过一百万个电子器件(例如,晶体管),这些电子器件形成在诸如硅(Si)基板等的半导体基板上,并且合作以在设备内执行各种功能。等离子体蚀刻通常用于晶体管及其他电子器件的制造中。在用于形成晶体管结构的等离子体蚀刻工艺期间,通常将一层或多层薄膜堆栈(例如,硅层、多晶硅层、二氧化铪(HfO2)层、二氧化硅(SiO2)层、金属材料层等)曝露于蚀刻剂,例如诸如溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)等的含卤素蚀刻剂气体。这种工艺导致残余物聚积在经蚀刻的特征、蚀刻掩膜及基板上的其他位置的表面上。
为了从经处理的基板去除残余物,可进行减污工艺。传统地,减污工艺包括将经处理的基板加热至期望温度,同时提供一种或多种工艺气体以促进残余物从基板表面释出。释出后的残余物接着可从腔室清除。在用于进行减污工艺的典型腔室中,一种或多种工艺气体经由布置在腔室内的一个或多个喷洒头提供。当使用包括高架热源(例如位于腔室的顶部的辐射热源)的腔室时,必须以不妨碍热传递的方式布置和配置一个或多个喷洒头。然而,在此类设置中,一个或多个喷洒头可能无法对基板表面提供均匀的径向分配的工艺气体,导致残余物的不均匀释出,从而无法均匀地从基板完全去除残余物。
因此,需要用于将气体输送至工艺腔室中的改良的装置。
发明内容
本文提供了将气体输送至腔室的装置及其使用方法。在某些实施例中,用于工艺腔室的气体分配系统可包括:主体,该主体具有第一表面,该第一表面被配置为将主体耦合至工艺腔室的内表面,该主体具有被布置穿过主体的开口;凸缘,该凸缘被布置成邻近开口的第一端,该开口的第一端与主体的第一表面相对,凸缘向内延伸至开口中,并且凸缘被配置为支撑在凸缘上的窗口;以及多个气体分配槽道,该多个气体分配槽道布置在主体内,并且多个气体分配槽道将布置在主体内和围绕开口的槽道流体地耦合至布置在凸缘中的多个孔,其中多个孔绕凸缘径向地布置。
在某些实施例中,气体分配系统可包括:工艺腔室,该工艺腔室具有基板支撑件;加热器模块,该加热器模块包括与基板支撑件的支撑表面相对布置的一个或多个辐射加热元件;以及气体分配系统,该气体分配系统耦合至介于加热器模块与基板支撑件之间的工艺腔室。气体分配系统可包括:主体,该主体具有第一表面,该第一表面被配置为将主体耦合至工艺腔室的内表面,该主体具有被布置成穿过主体的开口,该开口提供在加热器模块与基板支撑件之间的视线;凸缘,该凸缘被布置成邻近开口的第一端,该开口的第一端主体的第一表面相对,凸缘向内延伸至该开口中,并且凸缘被配置为支撑凸缘上的窗口;以及多个气体分配槽道,该多个气体分配槽道布置在主体内,并且多个气体分配槽道将布置在主体内并且围绕开口的槽道流体地耦合至布置在凸缘中的多个孔,其中该多个孔被布置成绕凸缘径向地布置。
以下描述本发明的其他的和另外的实施例。
附图说明
可通过参考附图中所示的本发明的说明性实施例,来理解本发明的实施例,本发明的这些实施例在上文简要概述并且将在下文更加详细地描述。然而,应该注意,附图仅图示了本发明的典型实施例,并且因此这些实施例不认为是本发明的范围的限制,这是因为本发明容许其他等效的实施例。
图1描绘了根据本发明的某些实施例、适合与具有气体分配系统的工艺腔室共同使用的示例性处理系统的示意图。
图2描绘了根据本发明的某些实施例、具有气体分配系统的装载锁定室的剖视图。
图3描绘了根据本发明的某些实施例的气体分配环的透视图。
图4描绘了根据本发明的某些实施例的气体分配环的俯视图。
图5描绘了根据本发明的某些实施例的图4的气体分配环沿线5-5的截面图。
图6描绘了根据本发明的某些实施例的气体分配环的仰视图。
为了便于理解,已经尽可能地使用相同的附图标记来标识附图所共用的相同元件。附图未按尺寸绘制并且为了清晰起见而被简化。可以设想,一个实施例的元件和特征可有利地结合在其他实施例中,而不需要额外陈述。
具体实施方式
本发明的实施例提供了用于将气体传送至腔室的气体分配系统。本发明的装置有利地提供了到工艺腔室的大致均匀的径向气体传送,同时不妨碍当气体分配系统布置子热源与基板之间时自热源至基板表面的热传递。
本发明的装置可有利地用于许多不同的处理系统中。例如,参见图1,在某些实施例中,处理系统100通常可包括真空密封处理平台104、生产界面102及系统控制器144。可根据本文所提供的教导而适当进行修改的处理系统的示例包括从位于美国加州圣大克劳拉市的应用材料公司可获得的Centura集成处理系统、PRODCER
Figure BPA00001595160500032
系列处理系统的其中一者(诸如PRODCER
Figure BPA00001595160500033
GTTM等)、ADVANTEDGETM处理系统或者其他适合的系统。可以设想,其他处理系统(包括来自其他制造商的处理系统)可经调适而受益于本发明。
平台104可包括多个工艺腔室(图示为六个)110、111、112、132、128、120以及耦合至真空基板传递腔室136的至少一个装载锁定室(图示为两个)122。生产界面102经由装载锁定室122耦合至传递腔室136。例如,在某些实施例中,如图1所示,工艺腔室110、111、112、132、128、120可成对分组,其中每对中的工艺腔室110、111、112、132、128、120中的各个彼此相邻放置。在2010年4月30日由Ming Xu等人提交的并且标题为“Twin Chamber Processing System”的美国临时专利申请案第61/330,156号中描述了可以根据本文的教导修改而并入本发明的双腔室处理系统的一个示例。各个双腔室处理系统可包括可彼此隔离的一对独立处理空间。例如,各个双腔室处理系统可包括第一工艺腔室和第二工艺腔室,该第一工艺腔室和第二工艺腔室分别具有第一处理空间和第二处理空间。该第一处理空间和第二处理空间可彼此隔离,以便于在各个各自的工艺腔室中进行基本独立的基板处理。双腔室处理系统内的工艺腔室的经隔离的处理空间有利地减少或消除了,可能由处理空间在处理期间流体地耦合的多基板处理系统产生的处理问题。
在这样的实施例中,工艺腔室可被配置为。使得处理资源(即,诸如工艺气体供应器、电源等)可以在处于一对工艺腔室内的工艺腔室110、111、112、132、128、120的各个之间共享。因此,双腔室处理系统进一步有利地利用共享资源,便于减少系统占地面积、硬件费用、设备使用率及成本、维修费等,同时促进较高的基板产量。例如,共享硬件和/或资源可包括处理前置管道及粗抽泵、AC配电及DC电源、冷却水分配、冷却器、多路热控制器、气体面板、控制器等中的一个或多个。
在某些实施例中,生产界面102包括至少一个机座108和至少一个生产界面机器人(图示为两个)114以便于基板的传递。机座108被配置为接收一个或多个(图示为两个)前开式标准舱(front opening unified pod;FOUP)106A-B。在某些实施例中,生产界面机器人114通常包括布置在机器人114的一端上的叶片116,机器人114被配置为将基板通过装载锁定室122从生产界面102传递至处理平台104,以用于处理。可选地,一个或多个测量站118可连接至生产界面102的终端126,以便于测量来自FOUP 106A-B的基板。
在某些实施例中,装载锁定室122中的各个(下文更详细描述)可包括耦合至生产界面102的第一通口123和耦合至传递腔室136的第二通口125。装载锁定室122可耦合至压力控制系统(也在下文中描述),该系统抽空(pump down)并且排空装载锁定室122的气体,以便于在传递腔室136的真空环境与生产界面102的实质上的周围环境(例如,大气)之间传递基板。
在某些实施例中,传递腔室136具有布置在传递腔室136中的真空机器人130。真空机器人130通常包括耦合至活动臂131的一个或多个传递叶片(图示为两个)134。在某些实施例中,例如在工艺腔室110、111、112、132、128、120以两个为一组布置(如图1所示)的情况下,真空机器人130可包括两个平行叶片134,该两个平行叶片134被配置为使得真空机器人130可在装载锁定室122与工艺腔室110、111、112、132、128、120之间同时传递两个基板124。
工艺腔室110、111、112、132、128、120可以是用于基板处理中的任何类型的工艺腔室。例如,在某些实施例中,工艺腔室110、111、112、132、128、120中的至少一者可以是蚀刻腔室、沈积腔室等。例如,在工艺腔室110、111、112、132、128、120中的至少一者为蚀刻腔室的实施例中,工艺腔室110、111、112、132、128、120中的该至少一者可以是可从Applied Materials,Inc获得的解耦等离子体源(Decoupled PlasmaSource;DPS)腔室。该DPS蚀刻腔室使用感应源以产生高密度等离子体,并且包括射频(radio-frequency;RF)电源以偏压基板。替代地或结合地,在某些实施例中,工艺腔室110、111、112、132、128、120中的至少一者可以是从Applied Materials,Inc.也可获得的HARTTM、E-MAXDPS
Figure BPA00001595160500052
、DPS II、PRODUCER E或ENABLER蚀刻腔室中的一者。也可利用其他蚀刻腔室,包括来自其他制造商的蚀刻腔室。
例如,在工艺腔室110、111、112、132、128、120为蚀刻腔室的实施例中,工艺腔室110、111、112、132、128、120可使用含卤素气体,以蚀刻布置在工艺腔室中的基板(例如,基板124)。含卤素气体的示例包括溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)等等。蚀刻基板124的后,含卤素残余物可遗留在基板表面上。该含卤素残余物可通过诸如下文所讨论的热处理工艺等、装载锁定室122中的热处理工艺来移除。
系统控制器144耦合至处理系统100。系统控制器144利用对系统100的工艺腔室110、111、112、132、128、120的直接控制,或者通过控制与工艺腔室110、111、112、132、128、120及系统100相关联的计算机(或控制器)来控制系统100的操作。在操作中,系统控制器144能够从各个腔室及系统控制器144收集数据并且反馈,以优化系统100的性能。
系统控制器144通常包括中央处理单元(central processing unit;CPU)138、存储器140及支持电路142。CPU 138可以是能够用于工业环境中的任何形式的通用计算机处理机中的一者。支持电路142系耦合至CPU 138,并且可包括高速缓存、时钟电路、输入/输出子系统、电源等等。软件例程(诸如下文参见图5所描述的用于移除含卤素残余物的方法500等)在由CPU 138执行时将CPU 138转换为专用计算机(控制器)144。也可通过与系统100远程布置的第二控制器(未图示)储存和/或执行该软件例程。
参见图2,在某些实施例中,装载锁定室122通常可包括腔室主体202、第一基板支撑器204、第二基板支撑器206、温度控制基座240以及包括一个或多个加热元件271的加热器模块270。腔室主体202可由诸如铝等材料的单一主体制造。腔室主体202包括界定腔室空间218的第一侧壁208、第二侧壁210、侧面壁(lateral wall;未图示)、顶部214及底部216。气体分配环290耦合至顶部214,以便于气体从一个或多个气源252到腔室空间218的径向输送。气体分配环290能够以适合的任何方式耦合至顶部214,以在气体分配环290与腔室主体202之间形成真空密封。例如,在某些实施例中,气体分配环290可经由焊接耦合至顶部214,或在某些实施例中,气体分配环290可经由多个紧固件(例如螺钉、螺栓等)耦合至顶部214。
窗口250布置在气体分配环290的顶上,并且至少部分地被加热器模块270覆盖。在某些实施例中,窗口250至少部分地光学透明,以便于热量从加热组件271到腔室空间218的传递。窗口250可包括任何至少部分光学透明的材料,诸如玻璃、结晶材料等。在某些实施例中,窗口250包括硅基材料,例如石英(SiO2)。或者,在某些实施例中,窗口250可包括蓝宝石(sapphire)。
气体分配环290通常包括具有开口的主体,该开口被配置为允许由加热器模块270提供的能量通过且进入腔室空间218。多个气体分配槽道布置在该主体内,并且布置在该开口周围,以提供进入腔室空间218中的气体的径向分配。例如,在某些实施例中且如图3所示,气体分配环290可包括具有第一表面308的主体301,该第一表面308被配置为将该主体耦合至工艺腔室的内表面(诸如装载锁定室122的上表面等)。
在某些实施例中,可在主体301的顶上(或者如下所讨论的在盖318的顶上)设置多个隔热垫324,以将气体分配环290的至少部分与腔室主体202(或者诸如冷却环(未示出)等的其他组件,其中冷却环移除加热器模块所产生的不良过剩热量)隔热。在某些实施例中,隔热垫324可包括诸如聚酰亚胺(例如KAPTON
Figure BPA00001595160500071
)等适合的隔热材料的薄片。该材料薄片可具有任何适合的形状,诸如圆盘形、正方形、矩形等。在某些实施例中,各隔热垫324可以是具有约0.005英寸的厚度和约0.25英寸的直径的聚酰亚胺圆盘。
主体301包括穿过主体301布置的开口304。凸缘320可布置成紧邻开口304的第一端,其中开口304的第一端与主体301的第一表面308相对。该凸缘向内延伸至开口304中,并且被配置为将窗口250支撑在凸缘上。多个气体分配槽道布置在主体301内,并且将形成在主体301内的气室流体地耦合至布置在凸缘320中的多个孔322。该多个孔322绕开口304径向地布置。在某些实施例中,该多个孔322可以绕开口304对称地布置。在某些实施例中,该多个孔322可以绕开口304大致上均匀地布置。
主体301可由单个组件或多个组件制造。例如,在某些实施例中,且如图3中进一步详细描述的,气体分配环290可包括平板302,该平板302具有上表面308,而该上表面308经由侧壁312耦合至下表面310。开口304布置成穿过平板302。在某些实施例中,气缸306可延伸穿过平板302中的开口304。气缸306可延伸超出平板302的下表面310。凸缘320可经布置邻近气缸306的第一端317,并且凸缘320向内延伸至开口304中。在某些实施例中,凸缘320被配置为支撑窗口,例如上述的窗口250。
在某些实施例中,凸缘320包括多个气体分配槽道(如下文所述),这些槽道分别耦合至形成在凸缘320中的多个孔322。在某些实施例中,这些槽道延伸穿过气缸306,并且分别流体地耦合至形成在气缸306内的槽道(如下文所述)。在某些实施例中,气缸306可包括布置在气缸306的顶上的盖318。盖318覆盖该开口,以界定对多个气体分配槽道提供气体的气室(如下文所述)。
气体分配环290可由适用于所进行的特定工艺的环境中的任何材料制造,例如金属、陶瓷等。在某些实施例中,气体分配环290可由如下材料制造,该材料能够传导由加热器模块270产生的热量,以便基本上不妨碍放置在基板支撑器204、206上的基板的加热。例如,在某些实施例中,气体分配环290可由铝制造。
在某些实施例中,气体分配环290可由通过例如焊接或熔接(brazing)彼此耦合的分离式部件(例如,气缸306、平板302及盖318)来构造。在某些实施例中,分离式部件可经由多个紧固件(例如,螺栓、螺钉等)彼此耦合。或者,气体分配环290的一个或多个部件可由单件材料制造。例如,在某些实施例中,气缸306和平板可由单件材料制造。在这样的实施例中,盖318可以是分离式的,且经由焊接或熔接耦合至气体分配环290。
在某些实施例中,多个通孔314可形成于平板302中,以便于将平板302耦合至工艺腔室(例如,上述装载锁定室122的腔室主体202)的内表面。在某些实施例中,多个隔热垫316可布置在平板302的顶上,以使气体分配环290与腔室主体202隔热。
图4描绘了根据本发明的某些实施例的气体分配环290的俯视图。如图4所示,盖318覆盖主体301中或平板302中界定气室410的槽道(以虚线显示)。在某些实施例中,盖318可静置在该槽道的肩部408上,以使得盖318至少部分布置在该槽道的内。气室410将凸缘320中形成的多个孔322流体地耦合在一起,以使得邻近各孔的压力更为均匀,进而促进更均匀的气流从各个第二孔322流出并且进入装载锁定室122中。在某些实施例中,该气室可具有非均匀的横截面,使得在气室410的第一部分412中的横截面积大于在第二部分414中的横截面积。在某些实施例中,可紧邻第一部分412提供来自气源252的气体,以便促进更均匀的气流从各个第二孔322流出并且进入装载锁定室122中,此气流是由于在气体流经第一部分412的较大横截面积至第二部分414的较小横截面积时的压力变化而造成的。在某些实施例中,来自气源252的气体经由形成在主体301中的孔416供应至气室。在某些实施例中,孔416形成于气室410的底表面中。
气体分配环290可包括适合安装在期望的工艺装置内的任何尺寸。例如,在某些实施例中,气体分配环290可包括约17英寸至约18英寸的总长度402,或者在某些实施例中约17.79英寸的总长度。在某些实施例中,气体分配环290可包括约15英寸至约16英寸的宽度404,或者在某些实施例中约15.28英寸的宽度。此外,开口304和凸缘320可具有适于支撑工艺窗口(如上文所述)的任何尺寸。例如,在某些实施例中,开口304可具有约12英寸至约13英寸的直径406,或者在某些实施例中约12.6英寸的直径。在某些实施例中,凸缘320可延伸至开口304中,以将该开口的直径减小至约11英寸至约12英寸的直径404,或者在某些实施例中约11.325英寸的直径。平板302也可包括附加特征结构,例如,平板302的转角可包括锥形侧面406,以便于工艺腔室内的适当装配,并且减小气体分配环290的总尺寸。
参见图5,形成在主体301(或气缸306)和凸缘320中的气体分配槽道(图示为两个且标记为508)中的每一者通常可包括一般横向定向的第一部分504和一般垂直定向的第二部分506。此外,槽道508中的每一者分别流体地耦合至多个孔324中的孔。尽管第一部分504和第二部分506被示出为大致上垂直,但第一部分504和第二部分中的各个可相对于彼此和气体分配环290以任何适合的角度布置,以便于穿过槽道508的均匀气流,进而便于来自气体分配环290的持续气体输送。
可以在气缸306和凸缘320中形成任何数量的槽道508,以便于来自气体分配环290的气体输送。例如,在某些实施例中,可以在气缸306和凸缘320中形成两个或两个以上槽道508,或在某些实施例中约24个槽道508,或在某些实施例中约23个槽道508。此外,槽道508可以以适合从气体分配环290以期望的图案提供气体输送的任何配置,分布在气缸306和凸缘320。例如,在某些实施例中,槽道508可被均匀地间隔开,以便于均匀的径向气体分配。例如,在气缸包括24个槽道508的实施例中,槽道508可以围绕气缸306和凸缘320以约15度的间隔布置。
槽道508可包括适于提供期望的气流的任何尺寸。例如,在某些实施例中,槽道508可具有约0.11英寸至约0.19英寸的直径。在某些实施例中,孔324可包括与槽道508相同或不同的尺寸。
在某些实施例中,可以在凸缘320的上表面中形成O形环、或垫圈、槽道510,以保证当窗口250被布置在凸缘320的上表面上时,一个或多个O形环或垫圈518(图示为一个)在窗口250与凸缘320之间提供真空密封。
在某些实施例中,各槽道508的第二部分506的一端503可耦合至气室410。在此类实施例中,气室410可在气缸306内形成连续径向槽道,从而提供从气源(即上述气源252)到槽道504中的每一者的同步的气体输送。在气室410存在的实施例中,盖318可布置在气缸306的顶上。在某些实施例中,盖318可包括嵌件520,嵌件520被配置为装配在气室410内。盖318可经由适于形成真空密封的任何耦合方式(例如经由焊接、熔接等)耦合至气缸306。
例如,在操作中,气体分配环290耦合至工艺腔室(例如,上述装载锁定室122)的内表面,从而在气体分配环290与工艺腔室主体之间建立真空密封。包括至少部分透明材料的工艺窗口250布置在一个或多个O形环518的顶上。工艺气体经由气源(例如,上述气源252)供应至气室410,并且经由槽道508从多个孔324分配。在某些实施例中,气体以基本上均匀的径向图案分配,例如,多个孔324中的每一者以相对于彼此低于约1%的差别的压力分配气体。此外,在某些实施例中,气室与多个孔之间的气体的压力降可小于约600毫托,或在某些实施例中可低于约500毫托。
参见图6,在某些实施例中,可以讲附加特征结合到气体分配环290中。例如,在某些实施例中,气体分配环290可包括凹部602、切除部604或被配置为便于将气体分配环290适当装配在工艺腔室(即装载锁定室122)内的其他特征。
返回图2,可以控制腔室空间218的压力,使得装载锁定室122可被抽气以基本上匹配传递腔室136的环境,并且装载锁定室可通气以基本上匹配生产界面102的环境。在某些实施例中,腔室空间218的压力可被控制在便于进行残余物移除工艺的预定范围内,如下文所进一步描述的。腔室主体202包括一个或多个通气通道230和泵送通道232。通气通道230和泵送通道232放置在腔室主体202两端,以在通气和抽气期间在腔室空间218内诱发层流,从而使微粒子污染最小化。在某些实施例中,一个或多个通气通道230耦合至气体分配环290,以提供由一个或多个(图示为两个)气源252所提供的气体的径向分配,同时泵送通道232被布置成穿过腔室主体202的底部216。通道230、232通常耦合至阀212,以选择性地允许气流流入腔室空间218中以及从腔室空间218中流出。在某些实施例中,高效空气过滤器236(诸如从New Jersey的Riverdale的Camfil Farr,Inc.可获得的)可经由通气接线237耦合至腔室主体202。
通气通道230可经由阀241额外地耦合至气源252,以经由气体分配环将混合气体提供至腔室空间218中。气源252可提供所执行的特定工艺所需的任何气体。例如,在某些实施例中,气源252可提供氮气(N2)、氢气(H2)、烷烃、烯烃、氧气(O2)、臭氧(O3)、水蒸汽(H2O)等中的至少一者。
在某些实施例中,远程等离子体源(remote plasma source;RPS)248可耦合至通气通道230,以帮助从基板表面移除残余物。远程等离子体源248向装载锁定室122提供由气源252所提供的混合气体形成的等离子体。在存在远程等离子体源(RPS)248的实施例中,可以在通气通道230的出口处布置扩散器(未图示),以便于将所产生的等离子体输送至装载锁定室122中。
在某些实施例中,泵送通道232耦合至诸如可购自总部设在法国巴黎的Alcatel的点处理泵236(point-of-use pump)。点处理泵236可具有低振动产生,以使得放置在装载锁定室122内的支撑器204、206上的基板124的扰动最小化,同时通过使装载锁定室122与泵236之间的流体路径最小化来提高抽气效率并且缩短抽气时间。
在腔室主体202的第一侧壁208中布置第一装载通口238,以允许基板124在装载锁定室122与生产界面102之间传递。第一流量阀244选择性地密封第一装载通口238,以使装载锁定室122与生产界面102隔离。在腔室主体202的第二侧壁210中布置第二装载通口239,以允许基板124在装载锁定室122与传递腔室136之间传递。与第一流量阀244基本类似的第二流量阀246选择性地密封第二装载通口239,以使装载锁定室122与传递腔室136的真空环境隔离。
第一基板支撑器204同轴地耦合至布置在腔室底部216上方的第二基板支撑器206(即,第一基板支撑器204层叠在第二基板支撑器206顶部上)。基板支撑器204、206通常被安装至环箍220,环箍220耦合至轴282,轴282延伸穿过腔室主体202的底部216。轴282耦合至布置在装载锁定室122外部的升降机构296,该升降机构296控制在腔室主体202内的基板支撑器204和206的高度。风箱284耦合在环箍220与腔室主体202的底部216之间并且布置在轴282周围,以在第二基板支撑器206与底部216之间提供弹性密封,从而防止来自或进入腔室主体202的泄漏,并且帮助基板支撑器204、206在不折衷装载锁定室122内的压力的情况下升高和降低。
例如,在操作中,第一基板支撑器204可用以保持来自生产界面102的未处理的基板,而第二基板支撑器206用以保持从传递腔室136返回的经处理的基板(例如,经蚀刻基板)。在通气及抽气期间,在装载锁定室122内的气流由于通气通道230和泵送通道232的位置而基本上为层状,并且该气流被配置为使得微粒污染最小化。
在某些实施例中,温度控制基座240可经由支撑件278耦合至腔室主体202的底部216。支撑件278可以是空心或包括穿过支撑件278的通道,以允许流体、电信号、传感器等耦合至基座240。或者,基座240可经由第二轴282和升降机构296可移动地耦合至腔室主体202。在该实施例中,支撑件278可包括风箱284。
温度控制基座240通常包括压板280,压板280通常由例如诸如铝或不锈钢等的导热材料制造,但可替代地由诸如陶瓷等其他材料组成。压板280通常具有热传递元件286。热传递元件286可以是布置在压板280中或者布置成与压板280的下表面288接触的流体通道。或者,热传递元件286可以是循环水套、诸如帕耳帖(Peltier)设备等的热电设备、或者可用于控制压板280的温度的其他结构。
在某些实施例中,热传递元件286包括与压板280的下表面288接触布置的管道291。管道291耦合至流体源294,该流体源294使流体经由该管道循环。流体(例如,来自流体源294的设备水)可以可选地进行热调节。管道291可与压板280的下表面288相抵以大致上环形或螺旋形图案布置。通常,管道291被熔接至下表面288或与下表面288相抵夹紧,或使用导电粘合剂粘合。可选地,诸如铜板等导电平板(未图示)可以替代地布置在管道291与压板280之间,以提高横跨压板280的宽度的热传递的均匀性。
环箍220(其中基板支撑器204、206耦合至该环箍220)可被降低至第一位置,其中在第一位置处,压板280的上表面292与由第二基板支撑器206支撑的基板紧密接近或接触。在第一位置处,压板280可用于调节布置在压板280上(或者邻近压板280)的基板的温度。例如,从处理返回的基板可通过在装载锁定室122的抽气期间将基板支撑在压板280的上表面292上而在装载锁定室122中冷却。热能通过压板280从基板传递至热传递元件286,进而冷却基板。在冷却基板之后,基板支撑器204、206可向腔室主体202的顶部214升高,以允许机器人130、114接近固定于第二基板支撑件206中的基板。可选地,支撑器204、206可降低至上表面292与由第一基板支撑器204支撑的基板接触或紧密接近的位置。在该位置处,压板280可用于对基板进行热调节和加热。
在某些实施例中,在操作中,装载锁定室122帮助在生产界面102的周围环境与传递腔室136的真空环境之间传递基板。当装载锁定室122内的环境被调节为与传递腔室136或生产界面102(其中基板将被传递至传递腔室136或生产界面102)的环境相匹配时,装载锁定室122暂时地容纳基板。例如,当装载锁定室122被通气为大致大气压力,以与生产界面102的环境匹配时,第一流量阀244被打开。生产界面机器人120将未处理的基板从FOUP 106A-B中的一者传递至第一基板支撑器204。基板随后被传递至工艺腔室110、111、112、132、128、120以进行蚀刻处理。完成蚀刻处理之后,装载锁定室122中的泵送通道232随后被打开,并且装载锁定室122被抽气至与传递腔室136的压力基本上相等的压力。在装载锁定室122和传递腔室136内的压力基本上相等之后,第二流量阀246被打开。经处理的基板由在装载锁定室122中的传递机器人130传递至第二基板支撑器206上的位置。在传递机器人130的叶片被移除以后,第二流量阀246被关闭。
在例如进行蚀刻处理的某些实施例中,可在装载锁定室122中进行残余物去除工艺。在此类实施例中,在残余物去除工艺期间,第二基板支撑器206可朝向加热器模块270升高经处理的基板以提高加热效率,从而将残余物转化成挥发性化合物,该挥发性化合物可以被从装载锁定室122抽出。在去除工艺期间,可将一种或多种工艺气体供应至装载锁定室122中以促进残余物去除。在经处理的基板表面上的残余物已部分或全部从基板表面释出之后,在装载锁定室122中通气通道230被打开,以允许装载锁定室122中的压力升高以与生产界面102中的压力基本匹配,从而便于将经处理的基板传递至FOUP 106A-B。当通气时,基座240被升高以接触静置在第二基板支撑器206上的经处理的基板。因此,经处理的基板通过将热量经由基座240传递至在管道291中循环的流体来冷却。在压力被匹配以后,第一流量阀244被打开,以允许生产界面机器人114进入装载锁定室122,从第二基板支撑器206移除经处理的基板且返回至FOUP 106A-B中的一者。这样,由于基板冷却工艺及装载锁定室通气工艺同时进行,因此总工艺时间和循环时间减少,并且生产力和产量增加。由于当经处理的基板由生产界面机器人114从第二基板支撑器206移除时装载锁定室122中的流量阀244保持在打开状态,因此来自FOUP 106A-B的新的未处理基板可以在第一基板支撑器204上被传递至装载锁定室122中。
完成传递基板之后,第一流量阀244和通气通道230被关闭。泵送通道232随后被打开,并且装载锁定室122被抽气至与传递腔室136的压力基本上相等的压力。在装载锁定室122与传递腔室136的压力基本上相等以后,第二流量阀246被打开,并且传递机器人130接着取回新的未处理基板而将其放置在第一基板支撑器204中,以用于在工艺腔室110、112、132、128、120中的一个或多个中进行处理,该工艺腔室限制传递腔室136反复且连续地进行如上所述的蚀刻工艺及含卤素残余物去除工艺。完成基板传递之后,第二流量阀246被关闭以如上所述的将传递腔室136与装载锁定室122隔离。
因此,本发明提供了用于将气体径向输送至工艺腔室的装置。本发明的装置有利地提供了到工艺腔室的基本上对称和/或均匀的气体径向分配,同时不妨碍从热源至基板表面的热传递。
虽然前述内容针对本发明的实施例,但在不脱离的本发明的基本范畴的情况下,可设计本发明的其他的和另外的实施例。

Claims (14)

1.一种用于工艺腔室的气体分配系统,所述气体分配系统包括:
主体,所述主体具有第一表面,所述第一表面被配置为将所述主体耦合至工艺腔室的内表面,所述主体具有被布置成穿过所述主体的开口;
凸缘,所述凸缘被布置成邻近所述开口的第一端,所述开口的所述第一端与所述主体的所述第一表面相对,所述凸缘向内延伸至所述开口中,并且所述凸缘被配置为支撑所述凸缘上的窗口;以及
多个气体分配槽道,所述多个气体分配槽道布置在所述主体内,并且所述多个气体分配槽道将布置在所述主体内以及围绕所述开口的槽道流体地耦合至布置在所述凸缘中的多个孔,其中所述多个孔绕所述凸缘径向地布置。
2.如权利要求1所述的气体分配系统,其中所述主体包括:
平板,所述平板具有第一表面,所述第一表面被配置为将所述平板耦合至所述工艺腔室的所述内表面,所述平板还具有被布置成穿过所述平板的开口;以及
气缸,所述气缸在所述平板的与所述第一表面相对的侧面上从所述平板延伸,并且所述气缸具有延伸穿过所述气缸的开口,其中所述凸缘被布置成邻近所述气缸的与所述平板相对的第一端。
3.如权利要求1所述的气体分配系统,其中所述主体还包括:
盖,所述盖布置在所述槽道的上部中,以形成气室。
4.如权利要求3所述的气体分配系统,其中所述气室具有第一横截面积和第二横截面积,其中所述第一横截面积邻近进气口以接收来自气体分配源的气体,并且所述第二横截面积被布置在所述开口的相对侧面上,其中所述第一横截面积大于所述第二横截面积。
5.如权利要求1至4中任一项所述的气体分配系统,其中所述多个气体分配槽道包括约0.055英寸至约0.095英寸的直径。
6.如权利要求1至4中任一项所述的气体分配系统,还包括:
窗口,所述窗口布置在所述开口内和所述凸缘的顶上,其中所述凸缘被配置为与所述窗口形成真空密封。
7.如权利要求6所述的气体分配系统,其中所述窗口包括石英或蓝宝石中的至少一者。
8.如权利要求1至4中任一项所述的气体分配系统,其中所述主体的所述顶表面被配置为在所述主体的顶表面被耦合至所述工艺腔室的所述内表面时,与所述工艺腔室形成真空密封。
9.如权利要求1至4中任一项所述的气体分配系统,其中所述主体包括铝或不锈钢中的至少一者。
10.如权利要求1至4中任一项所述的气体分配系统,其中所述多个第二孔绕所述凸缘对称地布置,以提供所述气体的径向分配。
11.如权利要求1至4中任一项所述的气体分配系统,其中所述多个气体分配槽道包括约两个或两个以上槽道。
12.一种气体分配系统,包括:
工艺腔室,所述工艺腔室具有基板支撑件;
加热器模块,所述加热器模块包括一个或多个辐射加热元件,所述一个或多个辐射加热元件被布置成与所述基板支撑件的支撑表面相对;以及
气体分配系统,所述气体分配系统耦合至介于所述加热器模块与所述基板支撑件之间的所述工艺腔室,所述气体分配系统如权利要求1-11中的任一项所述,其中所述开口提供所述加热器模块与所述基板支撑件之间的视线。
13.根据权利要求12所述的气体分配系统,其中所述主体的所述顶表面被配置成在被耦合至所述工艺腔室的所述内表面时,与所述工艺腔室形成真空密封。
14.根据权利要求12所述的气体分配系统,其中所述工艺腔室是装载锁定腔室。
CN201180007653.7A 2010-04-30 2011-04-20 用于将气体径向输送至腔室的装置及其使用方法 Expired - Fee Related CN102870200B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33004110P 2010-04-30 2010-04-30
US61/330,041 2010-04-30
US12/907,947 US8562742B2 (en) 2010-04-30 2010-10-19 Apparatus for radial delivery of gas to a chamber and methods of use thereof
US12/907,947 2010-10-19
PCT/US2011/033332 WO2011137010A2 (en) 2010-04-30 2011-04-20 Apparatus for radial delivery of gas to a chamber and methods of use thereof

Publications (2)

Publication Number Publication Date
CN102870200A true CN102870200A (zh) 2013-01-09
CN102870200B CN102870200B (zh) 2016-04-13

Family

ID=44857318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180007653.7A Expired - Fee Related CN102870200B (zh) 2010-04-30 2011-04-20 用于将气体径向输送至腔室的装置及其使用方法

Country Status (6)

Country Link
US (1) US8562742B2 (zh)
JP (1) JP5752238B2 (zh)
KR (1) KR101456894B1 (zh)
CN (1) CN102870200B (zh)
TW (1) TWI489546B (zh)
WO (1) WO2011137010A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104377155A (zh) * 2013-08-14 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
CN105097600A (zh) * 2014-04-15 2015-11-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种真空锁定腔室
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
CN107437521A (zh) * 2016-05-26 2017-12-05 北京北方华创微电子装备有限公司 一种刻蚀机的晶圆传送方法和装置
CN108538697A (zh) * 2018-05-16 2018-09-14 汪玉洁 一种等离子刻蚀机

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102495515B (zh) * 2011-12-12 2014-01-15 中国科学院长春光学精密机械与物理研究所 一种适用于空间相机热控制的隔热垫
CN102564139B (zh) * 2012-03-16 2015-02-18 中国恩菲工程技术有限公司 用于冶金炉的加料口装置
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN104812939B (zh) * 2012-11-30 2017-02-22 应用材料公司 处理腔室气流设备、系统和方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014143846A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
JP2015060934A (ja) * 2013-09-18 2015-03-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6352993B2 (ja) 2016-08-10 2018-07-04 株式会社東芝 流路構造及び処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
EP3510849B1 (en) * 2017-02-03 2023-08-30 Hewlett-Packard Development Company, L.P. Thermal control with vapor and isolation chambers
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11779871B2 (en) * 2018-12-21 2023-10-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Exhaust module for wafer baking apparatus and wafer processing system having the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11901198B2 (en) * 2019-07-12 2024-02-13 Axcelis Technologies, Inc. Toxic outgas control post process

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
CN101197270A (zh) * 2006-12-06 2008-06-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
WO2009041499A1 (ja) * 2007-09-28 2009-04-02 Tokyo Electron Limited プラズマ処理装置及びそのガス排気方法
WO2010042410A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US20020078893A1 (en) 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
KR100523113B1 (ko) * 2000-06-01 2005-10-19 동경 엘렉트론 주식회사 반도체 처리용의 단일기판식 처리 장치
JP2003124206A (ja) * 2001-10-18 2003-04-25 Tokyo Electron Ltd 熱処理装置
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7759249B2 (en) 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
JP5062057B2 (ja) * 2008-06-25 2012-10-31 東京エレクトロン株式会社 真空処理装置
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
CN101197270A (zh) * 2006-12-06 2008-06-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
WO2009041499A1 (ja) * 2007-09-28 2009-04-02 Tokyo Electron Limited プラズマ処理装置及びそのガス排気方法
WO2010042410A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104377155A (zh) * 2013-08-14 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
CN105765697B (zh) * 2013-11-26 2020-03-17 应用材料公司 用于批处理的倾斜板及其使用方法
CN105097600A (zh) * 2014-04-15 2015-11-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种真空锁定腔室
CN105097600B (zh) * 2014-04-15 2018-07-06 北京北方华创微电子装备有限公司 一种真空锁定腔室
CN107437521A (zh) * 2016-05-26 2017-12-05 北京北方华创微电子装备有限公司 一种刻蚀机的晶圆传送方法和装置
CN108538697A (zh) * 2018-05-16 2018-09-14 汪玉洁 一种等离子刻蚀机

Also Published As

Publication number Publication date
JP5752238B2 (ja) 2015-07-22
KR20130023193A (ko) 2013-03-07
TWI489546B (zh) 2015-06-21
TW201222659A (en) 2012-06-01
KR101456894B1 (ko) 2014-10-31
CN102870200B (zh) 2016-04-13
US20110265887A1 (en) 2011-11-03
US8562742B2 (en) 2013-10-22
WO2011137010A3 (en) 2012-03-08
WO2011137010A2 (en) 2011-11-03
JP2013526060A (ja) 2013-06-20

Similar Documents

Publication Publication Date Title
CN102870200B (zh) 用于将气体径向输送至腔室的装置及其使用方法
CN112251734B (zh) 衬底基座
US9396909B2 (en) Gas dispersion apparatus
KR101938386B1 (ko) 기판 상에 재료들을 증착하기 위한 장치
KR101965725B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
KR102176181B1 (ko) 효과적인 열 순환을 위한 모듈형 기판 히터
KR20130031236A (ko) 트윈 챔버 프로세싱 시스템
US20160215883A1 (en) Chamber body design architecture for next generation advanced plasma technology
US20070044914A1 (en) Vacuum processing apparatus
KR20100108364A (ko) 이송 모듈 상의 하나의 단일한 면을 사용하는 엇갈린 이중 처리 챔버
JP7451490B2 (ja) プラズマを用いたワーク処理用のシステム
CN111023650B (zh) 冷却装置以及冷却系统
US20230033058A1 (en) Reactor with inductively coupled plasma source
KR102495469B1 (ko) 일괄 처리 챔버
WO2023107091A1 (en) Cooling frame for diffuser
CN115461837A (zh) 高传导性处理套件
JP2592511C (zh)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160413

Termination date: 20190420

CF01 Termination of patent right due to non-payment of annual fee