CN115461837A - 高传导性处理套件 - Google Patents

高传导性处理套件 Download PDF

Info

Publication number
CN115461837A
CN115461837A CN202180030309.3A CN202180030309A CN115461837A CN 115461837 A CN115461837 A CN 115461837A CN 202180030309 A CN202180030309 A CN 202180030309A CN 115461837 A CN115461837 A CN 115461837A
Authority
CN
China
Prior art keywords
spacer
semiconductor processing
processing chamber
pumping liner
annular sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180030309.3A
Other languages
English (en)
Inventor
萨马莎·苏布拉曼亚
德米特里·卢博米尔斯基
穆罕默德·图格鲁利·萨米尔
朱拉拉
马丁·Y·乔伊
松·T·阮
普拉纳夫·戈帕尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115461837A publication Critical patent/CN115461837A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

示例性半导体处理腔室可包括喷头。腔室可包括基座,该基座被配置为支撑半导体基板,其中喷头及基座至少部分地限定半导体腔室内的处理区域。该腔室可包括间隔件,该间隔件的特征为与该喷头接触的第一表面及与该第一表面相对的第二表面。该腔室可包括泵送衬垫,该泵送衬垫的特征为与间隔件接触的第一表面及与该第一表面相对的第二表面。泵送衬垫可以在泵送衬垫的第一表面内限定多个孔隙。

Description

高传导性处理套件
相关申请的交叉引用
本申请主张于2020年9月17日提交的名称为“HIGH CONDUCTANCE PROCESS KIT(高传导性处理套件)”的第17/023,987号美国专利申请的权益及优先权,该美国专利申请以全文引用的方式并入本文。
技术领域
本技术关于半导体处理及设备。具体而言,本技术关于腔室盖堆叠部件及配置。
背景技术
通过在基板表面上产生复杂图案化材料层的处理使得集成电路成为可能。在基板上产生图案化材料需要用于移除暴露材料的受控方法。化学蚀刻用于多种目的,包括将光刻胶中的图案转移到下层、减薄层或减薄已经存在于表面上的特征的侧向尺寸。从基板上移除材料会在处理腔室中产生粒子,必须移除这些粒子以避免副产物在处理腔室及基座的表面上堆积。处理腔室内的紊流会产生额外的副产物堆积。基座的压板表面下侧的堆积可影响基板温度,并导致基板上图案化的材料层的非均匀性。
因此,需要能够用于生产高品质器件和结构的改进的系统和方法。本技术解决了这些和其他需求。
发明内容
示例性半导体处理腔室可包括喷头及基座,该基座被配置为支撑半导体基板,其中喷头及基座至少部分地限定半导体处理腔室内的处理区域。半导体处理腔室也可以包括间隔件,该间隔件的特征在于与喷头接触的第一表面及与第一表面相对的第二表面。半导体处理腔室也可包括泵送衬垫,该泵送衬垫的特征在于与间隔件接触的第一表面及与第一表面相对的第二表面,其中该泵送衬垫在该泵送衬垫的第一表面内限定多个孔隙。
在一些实施方式中,间隔件包括环形物,并且在间隔件的第一表面与间隔件的第二表面之间延伸的间隔件的内部环形侧壁至少部分地限定处理区域。间隔件的内部环形侧壁的特征可至少部分地在于在朝向间隔件的第二表面的方向上远离处理区域延伸的弓形轮廓。位于间隔件的第二表面处的间隔件的内部环形侧壁可被定位于泵送衬垫的第一表面内的多个孔的径向外侧。间隔件的第二表面可以安置于(seat)泵送衬垫上。泵送衬垫可包括环形物,该环形物的特征为内部环形侧壁及外部环形侧壁,并且泵送衬垫可以在内部环形侧壁与外部环形侧壁之间限定气室。多个孔隙可以提供从泵送衬垫的第一表面到气室的流体通路。泵送衬垫的内部环形侧壁可以竖直延伸,以在内部环形侧壁处限定从泵送衬垫的第一表面突出的轮缘(rim)。基座在半导体处理腔室的处理区域内是可竖直平移的,并且基座可包括压板及从压板的背面延伸的杆。当基座处于靠近喷头的升高操作位置时,横跨压板背面的平面被保持为低于从泵送衬垫的第一表面突出的轮缘的上表面。
本技术的一些实施方式可包括半导体处理系统。该系统可包括半导体处理腔室泵送衬垫。泵送衬垫可包括环形构件,该环形构件的特征为第一表面,其中穿过环形构件的第一表面限定有多个孔隙。泵送衬垫也可包括与第一表面相对的第二表面。泵送衬垫也可包括内部环形侧壁及外部环形侧壁。
在一些实施方式中,泵送衬垫的第二表面限定了围绕环形构件的气室,该气室在内部环形侧壁与外部环形侧壁之间朝向第一表面延伸。多个孔隙可以穿过环形构件的第一表面提供通向气室的流体通路。环形构件的内部环形侧壁可以竖直延伸,以在内部环形侧壁处限定从第一表面突出的轮缘。该轮缘可以围绕内部环形侧壁连续地延伸。
本技术的一些实施方式可包括由半导体处理系统执行的方法。该方法可包括使蚀刻剂前驱物流入半导体处理腔室的远程等离子体区域。在半导体处理腔室的远程等离子体区域内,可以产生蚀刻剂前驱物的等离子体流出物。蚀刻剂前驱物的等离子体流出物可以经由喷头流入半导体处理腔室的处理区域中。等离子体流出物可接触安置于基座上的基板。蚀刻副产物可经由泵送衬垫从处理区域排出,该泵送衬垫的特征在于面向喷头的第一表面及与第一表面相对的第二表面,其中泵送衬垫在泵送衬垫的第一表面内限定多个孔隙。
在一些实施方式中,半导体处理腔室进一步包括间隔件,该间隔件的特征在于与喷头接触的第一表面及与第一表面相对并与泵送衬垫接触的第二表面,其中该间隔件包括环形物,并且其中在间隔件的第一表面与间隔件的第二表面之间延伸的间隔件的内部环形侧壁至少部分地限定处理区域。间隔件的内部环形侧壁的特征可至少部分地在于在朝向间隔件的第二表面的方向上远离处理区域延伸的弓形轮廓。间隔件的第二表面处的间隔件的内部环形侧壁可被定位于泵送衬垫的第一表面内的多个孔的径向外侧。泵送衬垫的特征进一步在于内部环形侧壁,并且内部环形侧壁可以竖直延伸,以在内部环形侧壁处限定从泵送衬垫的第一表面突出的轮缘。
与已知系统及技术相比,这种技术可提供许多益处。例如,本技术的实施方式可以减少在处理腔室内的压板下侧上的副产物的堆积,并且可以在处理腔室中提供更多的气体及副产物的层流模式。结合以下描述及附图,更详细地描述了这些及其他实施方式以及它们的许多优点及特征。
附图说明
通过参考说明书的剩余部分和附图,可以实现对所公开技术的本质和优点的进一步理解。
图1图示了根据本技术的一些实施方式的示例性处理系统的俯视图。
图2A图示了根据本技术的一些实施方式的示例性处理腔室的示意性剖视图。
图2B图示了根据本技术的一些实施方式的图2A所示的处理腔室的一部分的详细示意图。
图3图示了根据本技术的一些实施方式的示例性喷头的示意性仰视图。
图4图示了根据本技术的一些实施方式的示例性处理腔室的示意性剖视图。
图5图示了根据本技术的一些实施方式的示例性泵送衬垫的透视图。
图6图示了根据本技术的一些实施方式的用于执行半导体蚀刻处理的方法的示例性流程图。
附图中的一些图作为示意图而被包括。应当理解的是,这些图是为了说明的目的,并且除非特别声明是按比例的,否则不视为按比例的。此外,作为示意图,附图被提供以用于帮助理解,并且与现实表示相比,附图可不包括所有方法或信息,并且可包括用于说明目的的夸大材料。
在附图中,相似的部件和/或特征可以具有相同的附图标记。此外,相同类型的各种部件可以通过在附图标记后面加上字母而在相似的部件之间进行区分的。若说明书中仅使用首要附图标记,则该描述适用于具有相同首要附图标记的类似部件中的任何一个类似部件,而无论字母如何。
具体实施方式
半导体加工通常包括用于许多掩模及中间形成层的形成及移除操作。随着掩模材料越来越多地与多种材料一起使用,可以利用膜的改进特性以及对蚀刻处理的调整来提供改进的蚀刻选择性。例如,一些示例性的硅或碳掩蔽膜(mask film)的特征可在于所添加的材料(如硼)的浓度增加,这可提高对许多材料的蚀刻选择性。另外,掩蔽膜的膜厚度可以增加到几百纳米或更多,以适应各种材料选择性。
在蚀刻、退火及其他处理期间,净化气体可以流入半导体处理腔室,以从腔室中排出处理的副产物。净化气体的流动路径可在半导体处理腔室中产生紊流,这可能导致副产物沉积在基座及腔室内的其他表面上。腔室内的副产物堆积可能导致基板上的温度不均匀性。例如,基座的压板下侧上的堆积可能影响与压板下侧上的堆积相反的基板区域的热特性。
可通过优化参数(诸如处理气体流率、处理腔室内的部件之间的距离等)来减轻流动的不均匀性。利用带有多个加热区的基座来补偿局部不均匀性可减轻热不均匀性。将腔室部件加热到超过副产物的升华温度的清洁处理可用于移除堆积。这些机制可以部分补偿腔室内紊流及副产物堆积的潜在问题,但不能解决该潜在原因。
本技术通过利用腔室部件及配置来产生不会产生紊流及相关副产物堆积的流动路径,从而克服了这些问题。通过调整流动路径及部件的轮廓,紊流受到限制,并且副产物的再次冷凝可以得到控制或限制。此可以提供比已知技术升高的移除速率,与此同时提供用于改进操作及增加基板均匀性的腔室部件及设计。
尽管剩余的公开内容将常规地识别利用所公开的技术在蚀刻腔室中并入特定蚀刻处理及部件,但是将容易理解的是,这些系统及方法同样适用于在所述腔室中可能发生的沉积及清洁处理。此外,所讨论的任何部件都可以结合到可受益于所描述技术的其他腔室中。因此,本技术不应被认为仅局限于用于单独的蚀刻处理或腔室。此外,尽管描述了示例性腔室以提供本技术的基础,但是应当理解,本技术可以与移除任何数量的半导体处理腔室及材料一起应用。
图1图示了根据实施方式的具有沉积腔室、蚀刻腔室、烘烤腔室及固化腔室的处理系统100的一个实施方式的俯视图,并且可以图示根据本技术的实施方式的被配置为支撑腔室及部件的基础。如图所示,一对前开式标准舱102可以供应各种大小的基板,这些基板可以由机器人臂104接收并被放置到低压保持区域106中,随后被放置到位于串联区段109a至109c中的基板处理腔室108a至108f中的一个基板处理腔室中。第二机器人臂110可用于将基板从保持区域106传送到基板处理腔室108a至108f并返回。除了循环层沉积、原子层沉积、化学气相沉积、物理气相沉积、蚀刻、预清洁、脱气、定向及其他基板处理之外,每个基板处理腔室108a至108f可以被被装备为执行包括了贯穿本技术描述的移除处理的多个基板处理操作。
基板处理腔室108a至108f可包括用于在基板上沉积、退火、固化及蚀刻介电膜的一个或多个系统部件。在一种配置中,两对处理腔室,诸如108c至108d及108e至108f,可用于在基板上沉积介电材料,并且第三对处理腔室,诸如108a至108b,可用于蚀刻所沉积的电介质。在另一种配置中,所有三对腔室可被配置为蚀刻基板上的介电膜。所描述的处理中的任何一个或多个处理可以在与不同实施方式中所示的制造系统分离的一个或多个腔室中进行。应当理解的是,系统100考虑了用于介电膜的沉积腔室、蚀刻腔室、退火腔室及固化腔室的额外配置。
图2A图示了示例性处理腔室系统200的剖视图,该处理腔室系统200在处理腔室内具有分区的等离子体产生区域,并且可被配置为执行如下文进一步描述的处理。系统200可以是可与上述平台一起操作的串联腔室的一半。系统200旨在提供对贯穿本公开内容描述的详细系统中的一些详细系统的概述,并且可包括贯穿本公开内容描述的部件及腔室配置中的一些或所有部件及腔室配置。在膜蚀刻,例如介电蚀刻、金属蚀刻、掩模蚀刻或其他移除处理期间,处理气体可以经由气体入口组件205流入第一等离子体区域215。远程等离子体源单元201可视情况包括在系统中,并且可处理第一气体,该第一气体随后穿过气体入口组件205行进。入口组件205可以包括两个或更多个不同的气体供应通道,其中第二通道(若包括的话)可以绕过远程等离子体源单元201。
气体盒203、面板(faceplate)217、离子抑制器223、喷头225、及其上设置有基板255的基板支撑件或基座265被图示,并且可各自根据实施方式而被包括。基座265可具有热交换通道,热交换流体流过该热交换通道以控制基板的温度,该热交换通道可以被操作以在处理操作期间加热和/或冷却基板或晶片。可包含铝、陶瓷或其组合的基座265的晶片支撑压板也可以使用嵌入式电阻加热元件进行电阻加热,以便实现相对较高的温度,例如从高达或约100℃至高于或约1100℃。
面板217可以是锥体、圆锥形或具有扩展到宽底部部分的窄顶部部分的另一类似结构。如图所示,面板217可另外是平坦的,并且包括多个用于分配处理气体的贯通通道。取决于远程等离子体源单元201的使用,等离子体产生气体及等离子体激发物质可以穿过面板217中的如图2B所示的多个孔259,以用于更均匀地输送到第一等离子体区域215中。
示例性配置可包括使气体入口组件205通向由面板217与第一等离子体区域215隔开的气体供应区域258,使得气体/物质流过面板217中的孔进入第一等离子体区域215。结构及操作特征可经选择以防止等离子体从第一等离子体区域215回到供应区域258、气体入口组件205及流体供应系统210中的显著回流。面板217或腔室的导电顶部部分、以及喷头225被图示为具有位于特征之间的绝缘环220,这可允许相对于喷头225及离子抑制器223向面板217施加AC电势。绝缘环220可以位于面板217与喷头225和/或离子抑制器223之间,从而使得能够在第一等离子体区域中形成电容耦合等离子体。挡板(未图示)可以另外位于第一等离子体区域215中,或者以其他方式与气体入口组件205耦接,以影响流体经由气体入口组件205流入该区域。在一些实施方式中,可以利用额外的等离子体源,包括围绕腔室延伸或与腔室流体连通的电感耦合等离子体源,以及额外的等离子体产生系统。
离子抑制器223(其可以是如下面将进一步描述的电容耦合远程等离子体区域的电极)可包括限定贯穿结构的多个孔隙的板或其他几何形状,该多个孔隙被配置为抑制带离子电荷的物质迁移出第一等离子体区域215,同时允许不带电荷的中性或自由基物质穿过离子抑制器223进入抑制器与喷头之间的活化气体输送区域中。在一些实施方式中,离子抑制器223可以是或包括具有各种孔隙配置的多孔板。这些不带电的物质可包括高反应性物质,这些高反应性物质与较低反应性的载气一起传输穿过孔隙。如上所述,离子物质穿过孔的迁移可被减少,并且在一些情况下被完全抑制。控制穿过离子抑制器223的离子物质的量可以有利地提供对与下面的基板接触的气体混合物的增加控制,这继而可增加对气体混合物的沉积和/或蚀刻特性的控制。例如,调整气体混合物的离子浓度可以显著改变该气体混合物的蚀刻选择性,例如SiNx:SiOx蚀刻比、Si:SiOx蚀刻比、或两种暴露材料之间的任何其他蚀刻速率。在执行沉积的一些实施方式中,离子浓度也可使用于介电材料的保形至可流动型(conformal-to-flowable style)沉积的平衡偏移。
离子抑制器223中的多个孔隙可以被配置为控制活化气体穿过离子抑制器223,该活化气体可包括离子、自由基和/或中性物质。例如,可以控制孔的纵横比、或孔的直径与长度之比、和/或孔的几何形状,使得穿过离子抑制器223的活化气体中的带离子电荷物质的流量减少。离子抑制器223中的孔可以包括面向第一等离子体区域215的锥形部分及面向喷头225的圆柱形部分。圆柱形部分的形状及尺寸可以被设计为控制传递到喷头225的离子物质的流动。也可将可调节的电偏压施加到离子抑制器223,以作为用于控制离子物质穿过抑制器的流动的额外手段。
离子抑制器223可用于减少或消除从等离子体产生区域行进到基板的带离子电荷物质的量。不带电荷的中性及自由基物质仍然可以穿过离子抑制器中的开口以与基板反应。应当注意的是,在实施方式中可以不执行基板周围的反应区域中的带离子电荷物质的完全消除。在某些操作中,为了执行蚀刻及沉积处理,离子物质可能旨在到达基板。在这些处理中,离子抑制器可有助于将反应区中的离子物质的浓度控制在有助于该处理的程度。
喷头225与离子抑制器223的组合可允许第一等离子体区域215中存在的等离子体避免直接激发基板处理区域233中的气体,同时仍然允许激发的物质从腔室等离子体区域215(其可以是内部远程等离子体区域)行进到基板处理区域233中。以此方式,腔室可被配置为防止等离子体接触正在被蚀刻的基板255。此可以有利地保护在基板上图案化的各种复杂结构及膜,这些各种复杂结构及膜若直接与产生的等离子体接触则可能被损坏、错位或以其他方式翘曲。此外,当允许等离子体接触基板或接近基板水平时,氧化物物质蚀刻的速率可能增加。因此,若材料的暴露区域是氧化物,则可以通过保持等离子体远离基板来进一步保护该材料。
处理系统可进一步包括与处理腔室电性耦合的电源240,以向面板217、离子抑制器223、喷头225及基座265提供电力,从而在第一等离子体区域215或处理区域233中产生等离子体。电源可被配置为根据所执行的处理向腔室输送可调节的功率量。此类配置可允许在正在执行的处理中使用可调谐等离子体。与通常具有开或关功能性的远程等离子体单元不同,可调谐等离子体可被配置为向等离子体区域215输送特定量的功率。这继而可允许开发特定的等离子体特性,使得前驱物可以以特定的方式解离,以增强由这些前驱物产生的蚀刻轮廓。
等离子体可以在喷头225上方的第一等离子体区域215或喷头225下方的基板处理区域233中被点燃。等离子体可以存在于第一等离子体区域215中,以从例如含氟前驱物或其他前驱物的流入物产生自由基前驱物。典型地在射频(radio frequency;“RF”)范围内的AC电压可以施加在处理腔室的导电顶部部分(诸如面板217)与喷头225和/或离子抑制器223之间,以在沉积期间点燃腔室等离子体区域215中的等离子体。射频电源可以产生13.56MHz的高RF频率,但也可以单独或与13.56MHz的频率结合地产生其他频率。
图2B显示了影响穿过面板217的处理气体分布的特征的详细视图253。如图2A及图2B及所示,面板217、气体盒203及气体入口组件205可以相交以限定气体供应区域258,处理气体可以从气体入口205输送到气体供应区域258中。气体可以填充气体供应区域258,并穿过面板217中的孔隙259流到第一等离子体区域215中。孔隙259可被配置为以基本上单向的方式引导流动,使得处理气体可以流入处理区域233中,但是可被部分或完全地防止处理气体在横穿过面板217之后回流到气体供应区域258中。
用于处理腔室系统200中的气体分配组件,诸如喷头225,可以被称为双通道喷头,并且另外在图3中描述的实施方式中详细描述。双通道喷头可促进蚀刻处理,该蚀刻处理允许在处理区域233外部分离蚀刻剂,以在蚀刻剂输送到处理区域中之前提供与腔室部件以及彼此的有限相互作用。
喷头225可包括上部板214及下部板216,如图1所示。这些板可以彼此耦接以在板之间限定容积218。板的耦接可用于提供穿过上部板及下部板的第一流体通道219,以及穿过下部板216的第二流体通道221。所形成的通道可被配置为提供从容积218单独经由第二流体通道221穿过下部板216的流体通路,并且第一流体通道219可以与在板与第二流体通道221之间的容积218流体隔离。容积218可以经由气体分配组件或喷头225的一侧是可流体进入的。
图3是根据一些实施方式的用于处理腔室的喷头325的仰视图。喷头325可以对应于图2A所示的喷头225。显示了第一流体通道219的视图的通孔365可具有多种形状及配置,以便控制及影响穿过喷头225的前驱物流。显示了第二流体通道221的视图的小孔375可以基本上均匀地分布在喷头的表面上,甚至在通孔365之间,并且可以有助于在前驱物离开喷头时提供比其他配置更均匀的混合。
如前所述,本技术可包括对诸如在系统200中所示的腔室的任何数量的修改,以便执行半导体处理。尽管一些已知的配置可包括间隔件及泵送衬垫,但是它们不包括间隔件的内部环形表面的弓形轮廓,并且它们不包括泵送衬垫面向喷头的表面上的轮缘或孔隙。因此,这些已知设计中的许多已知设计可能必然会产生在压板的下侧上的副产物堆积及在晶片的表面上的紊流,如将在下面进一步描述的。剩余的公开内容将描述各种腔室部件及配置,这些腔室部件及配置可以包括在与上述系统200的一个或多个部件的许多组合中。通过在系统200内包括一个或多个改进的部件,副产物堆积可以被限制,并且处理腔室内的层流得以改进。
图4图示了示例性处理腔室系统400的剖视图,该处理腔室系统具有用于改善层流并减少副产物堆积的配置实施方案。处理腔室系统400可以对应于图2A的处理腔室系统200,并且可包括上述的任何部件或配置。处理腔室系统400可用于半导体生产处理,包括蚀刻、退火、沉积以及任何其他半导体处理。
处理腔室400包括间隔件405。间隔件405设置在喷头450与泵送衬垫415之间并与喷头450及泵送衬垫415接触。间隔件405可以是环形物,使得间隔件405的内表面410可限定处理区域455的一部分。间隔件405的内表面410可以弯曲以形成空隙区域,处理区域455可以延伸到该空隙区域中以限定从基板径向向外的外部流动路径。该空隙区域可改进从处理区域455排出的气体及副产物的流动路径460。换言之,如图所示,间隔件405的内部环形表面410可至少部分地具有在朝向泵送衬垫415的方向上远离处理区域455延伸的弓形轮廓。已知的间隔件及部件配置可包括可能产生流动材料的涡流的凹口及拐角,这可能增加副产物材料在腔室中的停留时间,并且可能增加腔室内的冷却外表面上的有害再次沉积的机会。随后,这些粒子可能在晶片转移或后续处理期间脱落,此可能导致经处理的基板上的缺陷,以及可能的装置故障。通过利用弓形腔室特征形成流动路径460,可以通过限制与部件特征的相互作用来移除材料。该流动也可改进正在执行的处理的均匀性,因为该配置促进处理气体在晶片上的层流,这可通过提供与基板接触的材料的更均匀的停留时间来改进操作。
处理腔室400可包括泵送衬垫415。泵送衬垫415可包括沿着泵送衬垫415的内表面向上延伸的轮缘420。泵送衬垫415可以是环形的并且具有中空结构,使得在泵送衬垫415的表面之间限定气室425。泵送衬垫415限定了孔隙430,这些孔隙提供了通向气室425的流体通路。尽管已知的泵送衬垫可以穿过泵送衬垫的侧壁提供通向泵送气室的通路,但是,通过在面向间隔件并覆盖部件的表面中并入孔隙,可以保持流动路径并且不会产生流动方向的改变,此可能增加腔室内再沉积的可能性。孔隙430设置在泵送衬垫415的面向喷头450的表面内。气体及副产物可以经由孔隙430从处理区域455排放到气室425中。泵送衬垫可与系统前级管线流体耦接,该系统前级管线可与泵送系统耦接。泵送系统可被配置为经由气室425中的孔隙430从处理区域455抽吸气体及副产物,并将气体及副产物从处理腔室系统400中抽出。间隔件405可以安置于泵送衬垫415上,使得间隔件405的内部环形表面410在泵送衬垫415的孔隙430的径向外侧。如此,延伸到由间隔件405的内表面410的弓形轮廓所产生的空隙区域中的处理区域455可以经由孔隙430流体地进入气室425。
处理腔室400可包括喷头450,该喷头可以对应于图2A的喷头225和/或图3的喷头325。如前所述,气体可以从覆盖的气体盒流出,以在等离子体区域中形成等离子体。等离子体流出物可以穿过喷头450中的孔隙进入处理区域455。处理区域455可以对应于图2A的处理区域233。
处理腔室400也可包括基座435,该基座可对应于图2A的基座265。基座435可包括与压板440耦接的杆445。压板可包括上表面475及下表面470。基板可定位或安置在压板440的上表面475上。压板440的下表面470可界定处理腔室400的下部区域480的一部分。惰性气体可以在用于净化的处理期间从压板440下方流出。基座435可被配置为可竖直平移,以适应在处理腔室系统400中执行的不同处理。当基座435升高到腔室内的最远位置,诸如靠近喷头450时,压板440的下表面470可以被保持为低于泵送衬垫415的轮缘420的上表面。换言之,不管基座的竖直位置如何,从压板440的下表面470水平延伸的平面可以被保持为低于泵送衬垫415的轮缘420的上表面或者可以至少与泵送衬垫415的轮缘420的该上表面下方的某一位置相交。将压板440的下表面470保持为低于泵送衬垫的轮缘420有助于阻塞替代的流动路径,这可有助于保持处理区域的流动路径460朝向孔隙430流动。随后,来自处理区域455的气体及副产物可被限制或阻止进入处理腔室的位于压板440下方的下部区域480,或通过干扰来自压板440下方的惰性气体流的流动路径465而限制或阻止产生紊流。如上所述提供额外的惰性气体流可进一步限制或防止任何副产物流进入腔室的下部区域。通过防止来自处理区域455的副产物进入下部区域480,减轻了压板440的下表面470上的堆积。这可以改善处理期间基板的热均匀性,并且可以减少用于清洁的腔室停机时间。
在使用中,放置在压板440的上表面475上的基板可暴露于经由喷头450进入处理区域455的等离子体。来自处理区域455的副产物及气体可以沿着流动路径460排出,该流动路径460是流线型的以更容易沿着自然流动路径进入孔隙430,该自然流动路径具有从跨越基板开始的受控及弯曲的方向变化并经由由间隔件405的内部环形表面410产生的空隙区域延伸到泵送气室中。流动路径可不包括任何更尖锐的成角度的方向变化,诸如进入泵送衬垫的侧壁的大致九十度转弯。进入下部区域480的惰性气体可以沿着流动路径465从下部区域480排出,该流动路径与刚好在轮缘420上方的流动路径460结合以经由孔隙430及气室425离开处理腔室400。
图5图示了用于在半导体处理腔室中的泵送衬垫500。泵送衬垫500可以对应于图4的泵送衬垫415,并且可包括如上所述的泵送衬垫的任何特征、部件或方面。泵送衬垫可为环形的,并且包括内部环形表面505。轮缘510可从内部环形表面505竖直延伸,并且全部沿着泵送衬垫415的内部环形表面505延伸。轮缘510可以对应于图4的轮缘420,并且可以产生延伸超过在升高的操作位置中的基座的底表面的脊。泵送衬垫500可限定孔隙515,这些孔隙可以对应于图4的孔隙430。如上所述,孔隙515可以提供通向如上所示的在泵送衬垫500内限定的气室的流体通路。孔隙515可以沿着正交于内部环形表面505延伸的表面设置。孔隙515可以围绕泵送衬垫等距地或以任何相等或不相等的间隔设置。此外,孔隙的尺寸可以相等,或者可以沿着梯度设计尺寸,以进一步控制穿过气室的流量,从而提高均匀性。例如,系统前级管线可以与腔室周围的某个位置耦接,这可影响相对于更远离前级管线耦接的位置的在该位置处的流出物流动。因此,例如,在更远离前级管道连接的位置处穿过泵送衬垫的孔隙可以形成为大于靠近前级管道连接的孔隙,这可在一些实施方式中平衡从处理区域进入泵送衬垫的流导(flow conductance)。孔隙的位置及尺寸的任何其他变化可以类似地产生,并且也被本技术涵盖。
上述配置及系统可以在处理操作期间利用,以改进气体及处理副产物在基板上的流动,并减轻或限制副产物在腔室部件上的再次沉积或进入基座压板下方的区域。图6图示了根据一些实施方式的示例性处理方法600中的选定操作。方法600可包括在该方法开始之前的一个或多个操作,包括前端处理、沉积、栅极形成、蚀刻、抛光、清洁或可以在所述操作之前执行的任何其他操作。该方法可以包括多个可选操作,这些可选操作可以或可以不与根据本技术的方法的一些实施方式特别相关联。例如,描述许多操作是为了提供正在执行的处理的更宽范围,但是对于技术来说不是关键的,或者可以通过替代的方法来执行,如将在下面进一步论述的。
在方法600的第一操作之前,在将基板放置在可执行方法600的腔室的处理区域内之前,可以通过一种或多种方式处理基板。例如,在基板上形成掩模层之后,可以执行蚀刻操作以相对于掩模选择性地蚀刻一种或多种材料。材料层可包括任何基板材料或半导体结构。这些操作中的一些或全部操作可以在如本公开内容中别处所述的腔室或系统工具中执行,或者可以在同一系统工具上的不同腔室中执行,该系统工具可包括在其中执行方法600的操作的腔室。
方法600可包括在操作605处使一种或多种蚀刻剂前驱物流入半导体处理腔室的远程等离子体区域中。示例性腔室可包括本公开内容中别处描述的部件或配置中的任何部件或配置,这些部件或配置可包括在处理腔室内限定的远程等离子体区域,或在与该腔室流体耦接的单独单元中限定的远程等离子体区域,并且该远程等离子体区域与其中可容纳基板的处理区域分离。示例性前驱物可包括含氟前驱物及含氢前驱物以及一种或多种载气,尽管根据本技术的实施方式可以类似地使用用于蚀刻的其他前驱物。在操作610处,可以在远程等离子体区域内产生等离子体,这可以产生蚀刻剂前驱物的等离子体流出物。在操作615处,等离子体流出物可以流到半导体处理腔室的处理区域中。等离子体流出物可以流过喷头以进入腔室的处理区域中,基板可以容纳在该处理区域中。
在操作620处,等离子体流出物可以接触掩模材料或任何其他要蚀刻的材料,并且可以从基板移除材料。蚀刻操作可产生许多副产物,在本技术的一些实施方式中这些副产物可包括含硼副产物。在操作625处,可以将来自蚀刻操作的副产物从处理区域排出。副产物可以经由泵送衬垫(诸如图4的泵送衬垫415或者图5的泵送衬垫500)排出。泵送衬垫的面向喷头的第一表面可包括孔隙,例如图5的孔隙515或者图4的孔隙430,副产物穿过这些孔隙而被排出。如前所述,泵送衬垫的内部环形表面上的轮缘可进一步促进排出副产物及气体穿过孔隙的流动路径,并防止在基座压板下方流动。如前所述的间隔件也可包括在泵送衬垫与喷头之间,该间隔件可限定沿着内表面的弓形轮廓,该弓形轮廓进一步促进了排出副产物及气体穿过孔隙的流动路径。
在一些实施方式中,如前所述,惰性气体可流入处理腔室的基座下方的下部区域中。惰性气体可以穿过气室的孔隙从腔室中排出,从而进一步限制副产物流进入处理腔室的下部区域中。该腔室可被配置为使得泵送衬垫的轮缘保持在压板的下表面的平面上方,从而鉴于惰性气体在泵送衬垫与压板之间从基座下方向上流动的流动路径,而进一步限制副产物流进入处理腔室的下部区域中。因此,由于多种因素,可以提高从基板移除材料的均匀性。例如,可限制落在再次沉积在腔室表面上的粒子上,并且可限制或防止副产物在压板下表面上的堆积,此可提高基板上的温度均匀性,从而进一步提高基板上的处理均匀性。
在前面的描述中,出于解释的目的,已经阐述了许多细节,以便提供对本技术的各种实施方式的理解。然而,对于本领域技术人员而言将显而易见的是,某些实施方式可以在没有这些细节中的一些细节或者具有额外细节的情况下实践。
已经公开了几个实施方式,本领域技术人员将会认识到,在不脱离实施方式的精神的情况下,可以使用各种修改、替代构造和等同物。此外,为了避免不必要地模糊本技术,没有描述许多众所周知的处理及元件。因此,以上描述不应被视为限制该技术的范围。
在提供数值范围的情况下,应当理解的是,除非上下文另有明确指示,否则该范围的上限与下限之间的直到下限单位的最小分数的每个中间值也被特别公开。在规定范围内的任何规定值或未规定的中间值与该规定范围内的任何其他规定值或中介值之间的任何较窄范围被涵盖。那些较小范围的上限及下限可独立地被包括在该范围中或排除在该范围之外,并且该技术也涵盖其中任一极限值被包括在较小范围中、没有一个极限值被包括在较小范围中或两个极限值都被包括在较小范围中的每个范围,受制于规定范围内的任何特别排除的极限值。当规定范围包括这些极限值中的一者或两者时,也包括排除了那些被包括的极限值中的一者或两者的范围。
如本文和所附权利要求书中所使用的,除非上下文另有明确指示,否则单数形式“一”、“一个”和“该”包括复数引用。因此,例如,对“一个孔隙”的引用包括多个此类孔隙,对“该部件”的应用包括本领域技术人员已知的一个或多个部件及其等同物的引用,等等。
此外,当词语“包括”、“包含”、及“含有”在本说明书及随附权利要求书使用时,旨在指定存在所陈述的特征、整数、部件或操作,但是它们不排除存在或添加一个或多个其他特征、整数、部件、操作、动作或群组。

Claims (20)

1.一种半导体处理腔室,包括:
喷头;
基座,被配置为支撑半导体基板,其中所述喷头及所述基座至少部分地限定所述半导体处理腔室内的处理区域;
间隔件,其特征为与所述喷头接触的第一表面及与所述第一表面相对的第二表面;以及
泵送衬垫,其特征为与所述间隔件接触的第一表面及与所述第一表面相对的第二表面,其中所述泵送衬垫在所述泵送衬垫的所述第一表面内限定多个孔隙。
2.根据权利要求1所述的半导体处理腔室,其中所述间隔件包括环形物,并且其中在所述间隔件的所述第一表面与所述间隔件的所述第二表面之间延伸的所述间隔件的内部环形侧壁至少部分地限定所述处理区域。
3.根据权利要求2所述的半导体处理腔室,其中所述间隔件的所述内部环形侧壁的特征至少部分地在于弓形轮廓,所述弓形轮廓在朝向所述间隔件的所述第二表面的方向上远离所述处理区域延伸。
4.根据权利要求3所述的半导体处理腔室,其中位于所述间隔件的所述第二表面处的所述间隔件的所述内部环形侧壁被定位于所述泵送衬垫的所述第一表面内的所述多个孔的径向外侧。
5.根据权利要求1所述的半导体处理腔室,其中所述间隔件的所述第二表面安置于所述泵送衬垫上。
6.根据权利要求1所述的半导体处理腔室,其中所述泵送衬垫包括环形物,所述环形物的特征为内部环形侧壁及外部环形侧壁,并且其中所述泵送衬垫在所述内部环形侧壁与所述外部环形侧壁之间限定气室。
7.根据权利要求6所述的半导体处理腔室,其中所述多个孔隙提供从所述泵送衬垫的所述第一表面到所述气室的流体通路。
8.根据权利要求6所述的半导体处理腔室,其中所述泵送衬垫的所述内部环形侧壁竖直延伸,以在所述内部环形侧壁处限定从所述泵送衬垫的所述第一表面突出的轮缘。
9.根据权利要求8所述的半导体处理腔室,其中所述基座在所述半导体处理腔室的所述处理区域内是可竖直平移的,并且其中所述基座包括压板及杆,所述杆从所述压板的背面延伸。
10.根据权利要求9所述的半导体处理腔室,其中,当所述基座处于靠近所述喷头的升高操作位置时,横跨所述压板的所述背面的平面被保持为低于从所述泵送衬垫的所述第一表面突出的所述轮缘的上表面。
11.一种半导体处理腔室泵送衬垫,包括:
环形构件,其特征为:
第一表面,其中穿过所述环形构件的所述第一表面限定有多个孔隙,
第二表面,与所述第一表面相对,
内部环形侧壁,以及
外部环形侧壁。
12.根据权利要求11所述的半导体处理腔室泵送衬垫,其中所述第二表面限定了围绕所述环形构件的气室,所述气室在所述内部环形侧壁与所述外部环形侧壁之间朝向所述第一表面延伸。
13.根据权利要求12所述的半导体处理腔室泵送衬垫,其中所述多个孔隙提供穿过所述环形构件的所述第一表面通向所述气室的流体通路。
14.根据权利要求11所述的半导体处理腔室泵送衬垫,其中所述环形构件的所述内部环形侧壁竖直延伸,以在所述内部环形侧壁处限定从所述第一表面突出的轮缘。
15.根据权利要求14所述的半导体处理腔室泵送衬垫,其中所述轮缘围绕所述内部环形侧壁连续地延伸。
16.一种半导体处理方法,所述方法包括以下步骤:
使蚀刻剂前驱物流入半导体处理腔室的远程等离子体区域;
在所述半导体处理腔室的所述远程等离子体区域内产生所述蚀刻剂前驱物的等离子体流出物;
使所述蚀刻剂前驱物的所述等等离子体流出物通过喷头流入所述半导体处理腔室的处理区域;
将基板与所述等等离子体流出物接触,其中所述基板安置于基座上;以及
经由泵送衬垫从所述处理区域排出蚀刻副产物,所述泵送衬垫的特征为面向所述喷头的第一表面及与所述第一表面相对的第二表面,其中所述泵送衬垫在所述泵送衬垫的所述第一表面内限定多个孔隙。
17.根据权利要求16所述的半导体处理方法,其中所述半导体处理腔室进一步包括间隔件,所述间隔件的特征为与所述喷头接触的第一表面及与所述第一表面相对并与所述泵送衬垫接触的第二表面,其中所述间隔件包括环形物,并且其中在所述间隔件的所述第一表面与所述间隔件的所述第二表面之间延伸的所述间隔件的内部环形侧壁至少部分地限定所述处理区域。
18.根据权利要求17所述的半导体处理方法,其中所述间隔件的所述内部环形侧壁的特征至少部分地在于弓形轮廓,所述弓形轮廓在朝向所述间隔件的所述第二表面的方向上远离所述处理区域延伸。
19.根据权利要求18所述的半导体处理方法,其中位于所述间隔件的所述第二表面处的所述间隔件的所述内部环形侧壁被定位于所述泵送衬垫的所述第一表面内的所述多个孔的径向外侧。
20.根据权利要求16所述的半导体处理方法,其中所述泵送衬垫的特征进一步在于内部环形侧壁,并且其中所述内部环形侧壁竖直延伸,以限定在所述内部环形侧壁处从所述泵送衬垫的所述第一表面突出的轮缘。
CN202180030309.3A 2020-09-17 2021-09-13 高传导性处理套件 Pending CN115461837A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/023,987 2020-09-17
US17/023,987 US20220084845A1 (en) 2020-09-17 2020-09-17 High conductance process kit
PCT/US2021/050040 WO2022060665A1 (en) 2020-09-17 2021-09-13 High conductance process kit

Publications (1)

Publication Number Publication Date
CN115461837A true CN115461837A (zh) 2022-12-09

Family

ID=80627071

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180030309.3A Pending CN115461837A (zh) 2020-09-17 2021-09-13 高传导性处理套件

Country Status (6)

Country Link
US (1) US20220084845A1 (zh)
JP (1) JP7551771B2 (zh)
KR (1) KR20220153634A (zh)
CN (1) CN115461837A (zh)
TW (1) TWI809496B (zh)
WO (1) WO2022060665A1 (zh)

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
JP4108465B2 (ja) 2002-12-18 2008-06-25 東京エレクトロン株式会社 処理方法及び処理装置
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
KR100655445B1 (ko) 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
CN101755073B (zh) * 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
WO2010123877A2 (en) * 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US8261660B2 (en) * 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
DE102009043848A1 (de) * 2009-08-25 2011-03-03 Aixtron Ag CVD-Verfahren und CVD-Reaktor
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
FR3002241B1 (fr) * 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US9543125B2 (en) * 2013-03-15 2017-01-10 Taiwan Semiconductor Manufacturing Company Limited Directing plasma distribution in plasma-enhanced chemical vapor deposition
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
KR101552666B1 (ko) * 2013-12-26 2015-09-11 피에스케이 주식회사 기판 처리 장치 및 방법
KR101535155B1 (ko) * 2014-01-09 2015-07-09 주식회사 유진테크 기판 처리장치
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
DE102015101462A1 (de) * 2015-02-02 2016-08-04 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
CN107548515B (zh) * 2015-04-24 2019-10-15 应用材料公司 包含流动隔离环的处理套组
US10748797B2 (en) * 2017-01-18 2020-08-18 Applied Materials, Inc. Plasma parameters and skew characterization by high speed imaging
US10600624B2 (en) * 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) * 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
KR102449621B1 (ko) * 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
TWI838222B (zh) * 2017-10-27 2024-04-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
TWI833954B (zh) * 2019-05-28 2024-03-01 美商應用材料股份有限公司 用於改善處理腔室中的流動控制的設備
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移

Also Published As

Publication number Publication date
US20220084845A1 (en) 2022-03-17
JP2023531124A (ja) 2023-07-21
TW202215907A (zh) 2022-04-16
JP7551771B2 (ja) 2024-09-17
KR20220153634A (ko) 2022-11-18
TWI809496B (zh) 2023-07-21
WO2022060665A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
JP7551720B2 (ja) 複数の前駆体の流れのための半導体処理チャンバ
JP7176860B6 (ja) 前駆体の流れを改善する半導体処理チャンバ
US11239061B2 (en) Methods and systems to enhance process uniformity
TWI757487B (zh) 多區半導體基板材支撐件
CN111712924B (zh) 空气间隙形成工艺
KR102638568B1 (ko) 조정 가능한 원격 해리
US20200234971A1 (en) Systems and methods to form airgaps
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
JP7551771B2 (ja) 高伝導処理キット
US20220165567A1 (en) Systems and methods for deposition residue control
TW202224061A (zh) 處理腔室沉積限制
US11328909B2 (en) Chamber conditioning and removal processes
US20220108872A1 (en) Bevel backside deposition elimination

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination