DE19982566B4 - Einrichtung und Verfahren zum Bearbeiten eines Substrats - Google Patents

Einrichtung und Verfahren zum Bearbeiten eines Substrats Download PDF

Info

Publication number
DE19982566B4
DE19982566B4 DE19982566T DE19982566T DE19982566B4 DE 19982566 B4 DE19982566 B4 DE 19982566B4 DE 19982566 T DE19982566 T DE 19982566T DE 19982566 T DE19982566 T DE 19982566T DE 19982566 B4 DE19982566 B4 DE 19982566B4
Authority
DE
Germany
Prior art keywords
chamber
substrate
buffer chamber
buffer
passage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19982566T
Other languages
English (en)
Other versions
DE19982566T1 (de
Inventor
Joseph T. Scottsdale HILLMAN
Glyn J. Mesa Reynolds
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Arizona Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Arizona Inc filed Critical Tokyo Electron Ltd
Publication of DE19982566T1 publication Critical patent/DE19982566T1/de
Application granted granted Critical
Publication of DE19982566B4 publication Critical patent/DE19982566B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

Einrichtung zum Bearbeiten eines Substrats (32), umfassend
– mehrere Prozesskammern (22; 94, 96, 98, 100, 102, 104) zum Bearbeiten des Substrats,
– eine Pufferkammer (24) zumindest unter einer ersten (22) der Prozesskammern, wobei die Pufferkammer durch einen Durchgang (43) hindurch mit der ersten Prozesskammer in Verbindung steht,
– einen in der Pufferkammer angeordneten, zur Aufnahme des Substrats ausgebildeten Substrattisch (30), welcher vertikal zwischen einer ersten Position, in der sich das Substrat innerhalb des Pufferraums befindet, und einer zweiten Position verstellbar ist, in der das Substrat durch den Durchgang hindurch in die erste Prozesskammer hineinbewegt ist,
– eine mit der Pufferkammer über ein Trennventil (28) gekoppelte Transportkammer (26), der die Prozesskammern zur Bewegung von Substraten zwischen denselben gemeinsam zugeordnet sind, sowie
– ein Pumpsystem (52, 54, 56, 58, 70, 72) zum Entfernen von Verunreinigungen aus der Pufferkammer,
gekennzeichnet durch einen dem Durchgang zugeordneten Verschlussmechanismus...

Description

  • Diese Erfindung bezieht sich allgemein auf eine Halbleiterbearbeitung und konkret auf die gemeinsame Integration von Kammern zur physikalischen (PVD) und chemischen (CVD) Abscheidung aus der Gasphase in einem einzigen Bearbeitungssystem.
  • Bei der Herstellung integrierter Schaltungen (ICs) ist es oft notwendig, dünne Materialschichten oder Filme wie z. B. Metall- und Halbmetallelemente enthaltende Filme auf die Oberfläche eines Substrats wie z. B. eines Halbleiterwafers abzuscheiden. Ein Zweck derartiger dünner Filme besteht darin, leitende und Ohmsche Kontakte für die ICs zu schaffen und leitende oder Sperrschichten zwischen den verschiedenen Vorrichtungen einer IC zu bilden. Zum Beispiel könnte ein erwünschter Film auf die freigelegte Oberfläche eines Kontaktes oder über ein Loch in einer Isolierschicht eines Substrats aufgebracht werden, wobei der Film durch die Isolierschicht durchgeht, um Stecker aus leitendem Material zu schaffen, um über die Isolierschicht hinweg elektrische Verbindungen herzustellen.
  • Ein wohlbekannter Prozeß zum Abscheiden derartiger Filme ist die chemische Abscheidung aus der Gasphase (CVD), bei der ein Film auf einem Substrat unter Verwendung chemischer Reaktion zwischen verschiedenen, allgemein als Prozeßgase bezeichneten Teil- oder Reaktionsgasen abgeschieden wird. Bei der CVD werden Prozeßgase in den Prozeßraum einer ein Substrat enthaltenden Reaktionskammer gepumpt. Die Gase reagieren im Prozeßraum nahe einer Oberfläche des Substrats, was die Abscheidung eines Filmes eines oder mehrerer Reaktionsnebenprodukte auf der Oberfläche zur Folge hat. Andere Reaktionsnebenprodukte, die nicht zum erwünschten Film auf den freigelegten Substratoberflächen beitragen, werden dann durch ein mit der Reaktionskammer gekoppeltes Vakuumsystem abgepumpt oder gereinigt.
  • Eine Variation des CVD-Prozesses, die bei der IC-Fertigung ebenfalls weithin verwendet wird, ist ein plasma-unterstützer CVD-Prozeß oder PECVD-Prozeß, bei dem ein oder mehrere Prozeßgase in einem Gasplasma ionisiert werden, um Energie für den Reaktionsprozeß zu liefern. PECVD ist zum Senken der Bearbeitungstemperaturen und der Menge an thermischer Energie wünschenswert, die gewöhnlich für eine geeignete Reaktion bei einer Standard-CVD notwendig sind. Bei der PECVD wird an das Prozeßgas oder die Gase elektrische Energie abgegeben, um das Plasma zu erzeugen und aufrechtzuerhalten, und daher wird für die Reaktion weniger thermische Energie benötigt.
  • Ein weiterer wohlbekannter IC-Fertigungsprozeß ist Sputterabscheidung, die ebenfalls ein ionisiertes Plasma nutzt, aber auf einer physikalischen Abscheidung statt einer chemischen Reaktion beruht. Die Sputterabscheidung wird daher als physikalisches Aufdampfen bzw. physikalische Abscheidung aus der Gasphase oder PVD-Prozeß bezeichnet. PVD-Prozesse nutzen ionisierte Teilchen eines geladenen Gasplasmas, um ein Materialziel zu beschießen und von der Oberfläche des Ziels Materialteilchen zu entfernen oder "wegzusputtern". Die Materialteilchen scheiden sich dann auf dem Substrat ab, das in der Bearbeitungskammer nahe dem Ziel angeordnet ist. Bei der Sputterabscheidung wird ein Plasmagas in eine Bearbeitungskammer unter Vakuum eingeleitet. Das zu sputternde Ziel wird auf einer elektrisch vorgespannten Basis bzw. Träger innerhalb der Bearbeitungskammer gehalten, woraufhin das Ziel eine elektrische Ladung oder Vorspannung entwickelt. Die Stromversorgung, die die elektrische Ladung auf dem Ziel aufrechterhält, koppelt auch elektrische Energie in das Plasma. Die elektrische Energie ionisiert die Gasteilchen, um das Plasma aus ionisierten Teilchen zu bilden, und die ionisierten Teilchen werden zur vorgespannten Zieloberfläche angezogen, wobei sie die Oberfläche beschießen und die Materialteilchen vom Ziel absputtern. Die Teilchen des Zielmaterials scheiden sich dann auf dem Substrat ab, so daß eine Materialschicht gebildet wird.
  • Materialschichten werden während einer IC-Fertigung durch sowohl PVD- als auch CVD-Verfahren auf einem einzigen Substrat abgeschieden. In der Industrie wurde es daher weithin üblich, innerhalb eines einzigen Bearbeitungssystems zusammen mit einer Reihe anderer Prozeßkammern eine PVD-Prozeßkammer und eine CVD-Prozeßkammer gemeinsam einzubauen. Auf diese Weise können die zu bearbeitenden Substrate zwischen verschiedenen Kammern schnell und effizient transportiert werden. Derartige Mehrkammersysteme werden oft als Cluster-Tools bezeichnet, da sie eine Gruppe bzw. einen Cluster verschiedener Bearbeitungskammern oder Module enthalten, die gemeinsam genutzt werden. Derartige Cluster-Tools enthalten auch eine gemeinsame Transfer- bzw. Transportkammer oder ein Modul, das zum Transportieren der verschiedenen Substrate zwischen den verschiedenen Prozeßkammern in einer kontrollierten Fertigungssequenz betrieben werden kann. Die Transportkammer enthält gewöhnlich eine Substrat-Transportvorrichtung oder Substrat-Fördereinrichtung, um Substrate zwischen der Transportkammer und den verschiedenen, mit der Transportkammer gekoppelten Bearbeitungskammern hin und her zu bewegen.
  • Obgleich Cluster-Tools eine effiziente und kostengünstige Einrichtung zur IC-Fertigung liefern, weisen sie gewisse, ihnen eigene Nachteile auf. Konkret können die Prozeßgase und Nebenprodukte der Prozesse von einer Kammer zu anderen Kammern wandern, wo sie für die in diesen anderen Kammern durchgeführten Prozesse als Verunreinigungen wirken können. Beispielsweise wandern Prozeßgase und Nebenprodukte innerhalb einer CVD-Kammer leicht über die gemeinsame Transportkammer des Cluster-Tools in eine PVD-Kammer, wenn die Substrate zwischen den verschiedenen Prozeßkammern bewegt werden. Die CVD-Gase, die zur IC-Fertigung gewöhnlich verwendet werden, wirken innerhalb der PVD-Kammer als Verunreinigungen und verschlechtern die Qualität der PVD-Filme, indem sie in den Filmen aufgefangen oder die abgeschiedenen Filme einem unerwünschten chemischen Angriff ausgesetzt werden. HCl ist ein übliches Nebenprodukt einiger CVD-Prozesse und hat z. B. eine korrodierende Wirkung auf einen PVD-Aluminiumfilm.
  • Um PVD- und CVD-Kammern in ein einziges Cluster-Tool zu integrieren, ist es notwendig, den Strom restlicher CVD-Verunreinigungen von der CVD-Prozeßkammer zur PVD-Prozeßkammer zu reduzieren und zu minimieren. Eine mögliche Lösung nutzt eine Hochvakuum- oder Turbomolekularpumpe, die mit der CVD-Prozeßkammer zum Entleeren der Kammer bis zu einem Druck von ungefähr 10–6 Torr verbunden ist, bevor das Substrat aus der CVD-Kammer transportiert wird. Eine Kopplung der Turbomolekularpumpe mit der CVD-Kammer erhöht jedoch nicht nur die Kosten des Bearbeitungswerkzeuges, sondern macht auch seinen Aufbau und seine Wartung kompliziert.
  • Eine andere vorgeschlagene Lösung zum Verhindern von Verunreinigungen ist, die CVD-Kammer mit einem oder mehreren reaktiven Gasen zu reinigen, die die Nebenprodukte und die restlichen Prozeßgase flüchtiger machen, so daß sie leichter aus dem Vakuumsystem entfernt werden können. Der zusätzliche Schritt, bei dem die CVD-Kammer den reaktiven Gasen ausgesetzt wird, verlangsamt bzw. senkt den Durchsatz des Bearbeitungssystems und erhöht somit die Gesamtkosten der IC-Fertigung.
  • Noch weitere Lösung könnte darin bestehen, eine separat stehende Kammer zwischen einer Prozeßkammer und einer Systemtransportkammer zu verwenden, um die Prozeßkammer von der Transportkammer zu isolieren bzw. zu trennen. Zum Beispiel könnte eine zusätzliche Kammer in einer Reihe horizontal zwischen der Transportkammer und einer Prozeßkammer mit Trennventilen an jedem Ende angeordnet sein. Die Trennventile isolieren selektiv die mittlere Kammer von der Prozeßkammer und der Transportkammer. Obgleich daher eine Isolierung bzw. Trennung vorgesehen werden könnte, ohne ein anderes reaktives Gas einzuleiten oder eine teure Turbomolekularpumpe zu installieren, weist eine solche Lösung noch mehrere Nachteile auf. Zunächst vergrößert die erforderliche horizontale lineare Anordnung die Aufstandsfläche des Bearbeitungssystems. Ferner können die beiden Trennventile der mittleren Kammer nicht gleichzeitig geöffnet werden, ohne einen direkten Weg zwischen der Prozeßkammer und der Transportkammer zu schaffen und somit die Funktion der mittleren Kammer ernsthaft zu beeinträchtigen. Daher ist in jeder Pufferkammer auf dem Cluster-Tool zusätzlich zu der in der Transportkammer schon existierenden Substrat-Fördereinrichtung eine weitere Substrat-Fördereinrichtung erforderlich, so daß das Substrat zur Prozeßkammer bewegt werden kann, wenn die mittlere Kammer von der Transportkammer isoliert ist. Die zusätzliche Hardware einer weiteren Substrat-Fördereinrichtung erhöht nicht nur die Kosten des Cluster-Tools, sondern reduziert auch seine Zuverlässigkeit, während die Komplexität des Betriebs der verschiedenen Module innerhalb einer kontrollierten Fertigungssequenz erhöht wird.
  • US 4,990,047 offenbart eine Vakuumanlage zur Bearbeitung scheibenförmiger Werkstücke, insbesondere zur Bearbeitung von Silizium-Wafern. Die zu bearbeitenden Werkstücke werden einzelweise mittels eines Roboterarms aus einem Magazin entnommen und durch eine Verteilkammer hindurch in eine Zwischenkammer gebracht, wo sie von einem ähnlichen Transportarm übernommen werden und unter Zugangsöffnungen gebracht werden, durch welche über der Zwischenkammer angeordnete Prozesskammern zugänglich sind. In der Zwischenkammer angeordnete Hebeorgane ermöglichen es, die Werkstücke in die Prozesskammern zu heben. Die Hebeorgane sind mit Ringdichtungen ausgeführt, welche sich im hochgefahrenen Zustand der Hebeorgane dicht um die Zutrittsöffnungen legen und hierdurch eine Abdichtung der Prozesskammern gewährleisten.
  • US 5,695,564 zeigt in seiner 12 eine weitere Anlage zur Vakuumbehandlung von Halbleiter-Wafern. Diese Anlage weist mehrere beidseits längs eines Transfergangs angeordnete Prozesssysteme auf, welche über Trennventile von dem Transfergang zugänglich sind.
  • US 5,231,839 offenbart eine Vakuumpumpanordnung zur Evakuierung einer beispielsweise für die Vakuumbearbeitung eines Werkstücks dienenden Kammer. Die Vakuumpumpanordnung weist eine Kryopumpe sowie zusätzlich eine Ionen- oder Turbomolekularvakuumpumpe auf, mittels welcher solche Gasanteile aus der Kammer entfernbar sind, die mit der Kryopumpe nur schwer entfernbar sind.
  • WO 98/48168 A2 offenbart eine spezielle Pumpanordnung zur Erzeugung eines ultrahohen Vakuums in einer Prozesskammer eines Wafer-Bearbeitungssystems.
  • Aufgabe der Erfindung ist es, in einer Substratbearbeitungseinrichtung das Wandern von Verunreinigungen zwischen verschiedenen Kammern der Einrichtung zu reduzie ren und gleichzeitig einen effizienten Fertigungsdurchsatz für die Bearbeitungseinrichtung zu gewährleisten.
  • Bei der Lösung dieser Aufgabe geht die Erfindung aus von einer Einrichtung zum Bearbeiten eines Substrats, umfassend
    • – mehrere Prozesskammern zum Bearbeiten des Substrats,
    • – eine Pufferkammer zumindest unter einer ersten der Prozesskammern, wobei die Pufferkammer durch einen Durchgang hindurch mit der ersten Prozesskammer in Verbindung steht,
    • – einen in der Pufferkammer angeordneten, zur Aufnahme des Substrats ausgebildeten Substrattisch, welcher vertikal zwischen einer ersten Position, in der sich das Substrat innerhalb des Pufferraums befindet, und einer zweiten Position verstellbar ist, in der das Substrat durch den Durchgang hindurch in die erste Prozesskammer hineinbewegt ist,
    • – eine mit der Pufferkammer über ein Trennventil gekoppelte Transportkammer, der die Prozesskammern zur Bewegung von Substraten zwischen denselben gemeinsam zugeordnet sind, sowie
    • – ein Pumpsystem zum Entfernen von Verunreinigungen aus der Pufferkammer.
  • Erfindungsgemäß ist bei einer solchen Bearbeitungseinrichtung in Übereinstimmung mit Anspruch 1 ein dem Durchgang zugeordneter Verschlussmechanismus vorgesehen, welcher zwischen einem Schließzustand, in dem er den Durchgang verschließt und die erste Prozesskammer von der Pufferkammer trennt, und einem Öffnungszustand verstellbar ist, in dem er den Durchgang für die Hindurchführung des Substrats freigibt.
  • Bevorzugte Weiterbildungen der erfindungsgemäßen Bearbeitungseinrichtung sind in den abhängigen Unteransprüchen 2 bis 22 angegeben.
  • Die Erfindung sieht darüber hinaus in Übereinstimmung mit Anspruch 23 ein Verfahren zum Bearbeiten eines Substrats vor, umfassend die Schritte:
    • – Transportieren eines Substrats auf einen vertikal beweglichen Substrattisch in einer unter einer Prozesskammer angeordneten Pufferkammer,
    • – Hochfahren des Substrattisches, bis das Substrat durch einen zwischen der Pufferkammer und der Prozesskammer gebildeten Durchgang hindurch in die Prozesskammer eingefahren ist,
    • – Bearbeiten des Substrats und anschließendes Reinigen der Prozesskammer,
    • – Herunterfahren des Substrattisches, bis das Substrat in die Pufferkammer zurückbewegt ist, wobei dieses Verfahren erfindungsgemäß durch die Schritte gekennzeichnet ist:
    • – Verschließen des Durchgangs, nachdem das Substrat aus der Prozesskammer in die Pufferkammer zurückgekehrt ist, und
    • – Reinigen der so von der Prozesskammer getrennten Pufferkammer von Verunreinigungen.
  • Bei der erfindungsgemäßen Lösung ist also zwischen die Prozesskammer und die Pufferkammer ein Verschlussmechanismus wie z. B. ein Schieberventilaufbau geschaltet. Der Verschlußmechanismus ist geschlossen, wenn in der Pufferkammer von irgendeiner anderen Prozeßkammer ein Substrat angeordnet wird. Auf diese Weise sind die Prozeßkammern voneinander isoliert, wenn Substrate durch die Transportkammer bewegt werden. Nachdem das Substrat in der Pufferkammer an Ort und Stelle ist und die Pufferkammer von der Transportkammer isoliert ist, wird der Verschlußmechanismus geöffnet, um einen Zugang zur Prozeßkammer oberhalb der Pufferkammer zu ermöglichen.
  • Nachdem das Substrat bearbeitet ist, wird der Substrattisch zur Pufferposition bewegt, wird das Schieberventil wieder geschlossen, und die Pufferkammer wird mit einem mit der Pufferkammer gekoppelten Pumpsystem gereinigt, bevor das Substrat aus der Transportkammer zur weiteren Bearbeitung entfernt wird. Auf diese Weise wird eine Wanderung von Verunreinigungen von der Prozeßkammer in eine Transportkammer und dann in verschiedene andere Prozeßkammern eines Systems verringert. Die Verwendung der Erfindung mit einer CVD-Kammer kann z. B. eine Wanderung von Verunreinigungen zwischen der CVD-Kammer und einer PVD- Kammer reduzieren, die sich ein gemeinsames Transportmodul oder eine gemeinsame Transportkammer teilen.
  • Die Erfindung enthält im einzelnen eine unterhalb einer Prozeßkammer angeordnete und durch eine gemeinsame Wand mit der Prozeßkammer direkt gekoppelte Pufferkammer und einen Verschlußmechanismus, der die Prozeßkammer von der Pufferkammer selektiv trennt. In einem sowohl PVD- als auch CVD-Kammern nutzenden Bearbeitungssystem ist im allgemeinen die Pufferkammer der Erfindung mit der CVD-Kammer eingebaut, um eine Wanderung von CVD-Gasen in die PVD-Kammer zu verhindern. In anderen Konfigurationen könnte jedoch die Pufferkammer mit einer PVD-Kammer genutzt werden, um eine zusätzliche Vakuumschicht vorzusehen und somit Verunreinigungen wie Wasser am Eintritt in die PVD-Kammer zu hindern. Im Pufferraum ist ein beweglicher Substrattisch angeordnet und zum Empfangen eines Substrats von einer Transportkammer konfiguriert.
  • Wenn der Verschlußmechanismus offen ist, kann der Substrattisch betätigt werden, um ihn im Durchgang zwischen einer ersten Position oder Pufferposition, bei der sich das Substrat im Pufferraum befindet, und einer zweiten Position oder Prozeßposition, bei der das Substrat sich innerhalb des Prozeßraums der Prozeßkammer befindet, vertikal zu bewegen. Der Substrattisch kann ferner betätigt werden, um den Durchgang zu verschließen bzw. abzudichten, wenn er das Substrat zur Prozeßposition bewegt. Auf diese Weise ist die Prozeßkammer während einer Bearbeitung des Substrates von der Pufferkammer isoliert. In einer bevorzugten Ausführungsform der Erfindung enthält der Substrattisch erste und zweite Betätigungsmechanismen, wobei der erste Betätigungsmechanismus betätigt werden kann, um den Tisch gegen die gemeinsame Wand zu bewegen, um einen Verschluß des Durchgangs zu schaffen, und der zweite Betätigungsmechanismus ist ferner beweglich bezüglich des ersten Betätigungsmechanismus, um das Substrat anschließend im Prozeßraum anzuordnen, wenn der Durchgang einmal verschlossen ist. Auf diese Weise wird ein Verschluß des Durchgangs hergestellt, und das Substrat wird weiter zur Bearbeitung anordnet. Wenn das Substrat in der Pufferposition ist, nachdem es bearbeitet oder durch die Transportkammer befördert wurde, wird das Schieberventil geschlossen, um die Pufferkammer von der Prozeßkammer zu isolieren.
  • Die Pufferkammer enthält ein zum Hochlastpumpen und Reinigen taugliches Pumpsystem zum Reinigen der Pufferkammer von Verunreinigungen, nachdem das Substrat bearbeitet worden ist. In einer Ausführungsform umfaßt das Pumpsystem eine Hochlast-Vakuumpumpe in Kombination mit einer oder mehreren Kryoplatten, die einer Wand der Pufferkammer benachbart angeordnet sind. Die Kryoplatten können zum Adsorbieren und Kryo-Sorbieren und dadurch Abpumpen eines reaktiven Gases aus der Pufferkammer betrieben werden, um Verunreinigungen zu reduzieren, die zu anderen Prozeßkammern wandern können. Die Kryoplatten sind mit einer Kältemittelquelle zum Kühlen der Platten thermisch gekoppelt. Alternativ dazu könnte ein Expanderkopf zum schnellen Expandieren eines Gases und Kühlen der Platten vorgesehen sein. Die Prozeßkammer wie z. B. eine CVD-Kammer und der Substrattisch, der darin beweglich ist, sind während einer Bearbeitung im allgemeinen hohen Temperaturen ausgesetzt. Dementsprechend enthält die Erfindung eine thermische Abschirmung, die in der Pufferkammer zwischen den Kryoplatten und dem Durchgang zwischen den Prozeß- und Pufferkammern angeordnet ist, um abgestrahlte thermische Energie zu absorbieren, die mit der Prozeßkammer und dem Substrattisch verbunden ist. Zusätzlich oder alternativ zu den Kryoplatten kann eine Kryopumpe und/oder eine Kryowasserpumpe zum weiteren Reinigen der Pufferkammer von Verunreinigungen einschließlich Wasser genutzt werden.
  • Während einer Bearbeitung des Substrats unter Ausnutzung der vorliegenden Erfindung wird das Substrat zum Substrattisch innerhalb des Pufferraumes (Verschlußmechanismus geschlossen) transportiert. Der Verschlußmechanismus wird dann geöffnet, und der Substrattisch wird zur Prozeßposition in der Prozeßkammer angehoben, wobei ein Verschluß zwischen der Prozeßkammer und der Pufferkammer vorgesehen ist. Das Substrat wird bearbeitet, und die Bearbeitungskammer wird durch das damit gekoppelte Vakuumsystem gereinigt, um eine beträchtliche Menge der restlichen Gase und Nebenproduktgase zu entfernen, die als Verunreinigungen wirken können. Als nächstes wird der Substrattisch zur Pufferposition abgesenkt und mit einem Pumpsystem verbunden, das den Pufferraum weiter von Verunreinigungen reinigt. Das Schieberventil ist geschlossen, um die Prozeßkammer von der Pufferkammer, die gerade gereinigt wird, zu isolieren. Die Kryoplatten oder anderen Kryopumpelemente, die im Pufferraum genutzt werden, verringern die Verunreinigungen weiter, die in die Transportkammer somit in andere Bearbeitungskammern im Bearbeitungssystem lecken können.
  • Die Pufferkammer ist zum Verbinden mit einer Transportkammer konfiguriert, und zwischen der Transportkammer und der Pufferkammer ist ein Trennventil angeordnet. Das Trennventil ist offen, um ein Substrat von der Transportkammer zur Pufferkammer zu bewegen. Das Trennventil wird jedoch während einer Bearbeitung eines Substrats und eines Reinigens der Pufferkammer geschlossen, um die Transportkammer von der Pufferkammer zu isolieren bzw. zu trennen.
  • Vorzugsweise ist ein Gasfühlsystem mit der Pufferkammer gekoppelt, um den Pegel von Verunreinigungsgasen im Pufferraum der Pufferkammer festzustellen. Das Gasfühlsystem ist mit dem Trennventil geeignet gekoppelt, um zu verhindern, daß das Ventil öffnet, bis der Pufferraum ausreichend gereinigt wurde und der Verunreinigungspegel ausreichend niedrig ist. Das Gasfühlsystem verhindert somit, daß die Transportkammer mit der Pufferkammer verbunden wird, bis sie zufriedenstellend gereinigt ist.
  • Die Pufferkammer der vorliegenden Erfindung ist in einem Bearbeitungssystem nützlich, um mit einer Prozeßkammer verbundene Verunreinigungen zu reduzieren, um dadurch eine Verunreinigung anderer Prozeßkammern des Systems zu verhindern. Gemäß einem anderen Gesichtspunkt der Erfindung kann die Pufferkammer genutzt werden, um ein Prozeßmodul zu isolieren, das eine oder mehrere Prozeßkammern enthält. Zu diesem Zweck ist die Pufferkammer mit der Prozeßkammer des Moduls gekoppelt, die mit der Transportkammer des Bearbeitungssystems verbunden ist.
  • Die beiliegenden Zeichnungen, die mit einbezogen sind und einen Teil dieser Beschreibung bilden, veranschaulichen Ausführungsformen der Erfindung und dienen zusammen mit einer im folgenden dargelegten allgemeinen Beschreibung dazu, die Grundlagen der Erfindung zu erläutern.
  • 1A ist ein schematischer Querschnitt einer Vorrichtung gemäß der vorliegenden Erfindung mit einem Substrat in einer Pufferposition;
  • 1B ist ein schematischer Querschnitt einer Vorrichtung wie in 1A mit einem Substrat in einer Prozeßposition;
  • 2 ist eine Draufsicht eines Bearbeitungssystems mit mehreren Kammern, das eine Pufferkammer gemäß der vorliegenden Erfindung verwendet; und
  • 3 ist ein seitlicher Querschnitt eines CVD-Moduls des Systems von 2, das eine Pufferkammer gemäß der vorliegenden Erfindung nutzt.
  • 1A und 1B offenbaren eine Ausführungsform der Erfindung mit einem in einer Pufferkammer ruhenden bzw. aus der Pufferkammer und in eine Prozeßkammer bewegten Substrat. Zu diesem Zweck enthält das Gerät 20 eine Prozeßkammer 22 mit einem Prozeßraum 23 zum Empfangen eines Substrats 32. Die Prozeßkammer 20 könnte eine CVD-Kammer, eine PVD-Kammer oder irgendeine andere Prozeßkammer sein, die Verunreinigungen erzeugen kann, welche zu anderen Kammern eines Bearbeitungssystems mit mehreren Kammern wandern könnten. Gemäß der Erfindung ist direkt unter der Prozeßkammer eine Pufferkammer 24 angebracht und zum Verbinden mit einer Transportkammer 26 eines Bearbeitungssystems mit mehreren Kammern zum Empfangen von zu bearbeitenden Substraten konfiguriert. Die Transportkammer 26 enthält eine geeignete Substrat-Transportvorrichtung 27, die ein oder mehrere Substrate in die Pufferkammer 24 bewegt, um schließlich wie im folgenden weiter erläutert in die Prozeßkammer 22 bewegt zu werden. Substrat-Transportvorrichtungen sind handelsüblich erhältlich und können z. B. einen Roboterarm einschließen, der die Substrate in die Pufferkammer bewegt. Jede geeignete derartige Vorrichtung kann mit der Transportkammer 26 genutzt werden. In dem US-Patent Nr. 4 990 047 , das hierin vollständig durch Bezugnahme mit einbezogen ist, ist eine derartige Vorrichtung dargestellt. Im allgemeinen ist die Transportkammer 26 anderen (in den 1A, 1B nicht dargestellten) Prozeßkammern innerhalb eines größeren Bearbeitungssystems gemein und wird mit diesen verbunden. Gemäß einem Gesichtspunkt der vorliegenden Erfindung wird die Übertragung bzw. der Transport von Verunreinigungen zwischen verschiedenen Prozeßkammern reduziert, die sich eine gemeinsame Transportkammer 26 teilen.
  • Wie erwähnt wurde, könnte eine geeignete Substrat-Transportvorrichtung 27 einen Roboterarm einschließen, der ein Substrat von der Transportkammer 26 in die Pufferkammer 24 bewegt und sich dann zurückzieht, so daß eine weitere Bearbeitung stattfinden kann. Zwischen der Transportkammer 26 und der Pufferkammer 24 ist ein Trennventil 28 angeordnet, um eine Trennung zwischen diesen jeweiligen Kammern zu schaffen. Das Trennventil 28 öffnet, wenn sich die Substrat-Transportvorrichtung in die Pufferkammer 24 bewegt, um ein Substrat 32 in die Pufferkammer 24 einzuführen, und schließt dann wieder, wenn die Vorrichtung einmal zurückgezogen ist. Auf diese Weise sind die Transportkammer 26 und Pufferkammer 24 isoliert bzw. getrennt, wenn das Substrat einmal in der Pufferkammer 24 zur Bearbeitung angeordnet ist.
  • Die Prozeßkammer 22 und Pufferkammer 24 können in einem einzigen Gehäuse 29 aus rostfreiem Stahl hergestellt werden. Eine gemeinsame Wand 45 trennt die Kammern und enthält einen Durchgang 43, der durch eine Öffnung in der Wand gebildet wird. Der Durchgang 43 ermöglicht eine körperliche Verbindung zwischen den Kammern 22, 24, so daß zwischen dem Prozeßraum 23 und dem Pufferraum 25 der jeweiligen Kammern ein Substrat 32 bewegt werden kann.
  • Um eine Trennung zwischen der Prozeßkammer 22 und der Transportkammer 26 zu schaffen, ist ein Verschlußmechanismus wie z. B. ein Schieberventilaufbau 60 nahe dem Durchgang 43 angeordnet und ist mit dem Durchgang 43 verbunden, um die Pufferkammer von der Prozeßkammer selektiv zu isolieren. Nachdem das Substrat bearbeitet und aus der Prozeßkammer entnommen ist, wird der Verschlußmechanismus geschlossen. Die Pufferkammer kann dann von Verunreinigungen gereinigt werden. Der Verschlußmechanismusaufbau 60 ist auch geschlossen, um den Durchgang 43 zu verschließen bzw. abzudichten, wann immer das Trennventil 28 offen ist, so daß die Prozeßkammer 22 von der Pufferkammer 24 abgeschlossen ist, wenn das Substrat 32 gerade zwischen der Transportkammer 26 und der Pufferkammer 24 befördert wird.
  • In der Pufferkammer 24 empfängt über die Transportkammer 26 ein Substrattisch 30 ein Substrat 32. Der Substrattisch 30 hält nach Wunsch das Substrat 32 im wesentlichen horizontal. Die Substrat-Transportvorrichtung 27 plaziert das Substrat 32 auf einem Betätigungsmechanismus 36 des Tisches 30. Konkreter enthält der Substrattisch 30 einen ersten Betätigungsmechanismus 34, der den Substrattisch vertikal bewegt, um den Wafer in der Prozeßkammer anzuordnen. Ein zweiter Betätigungsmechanismus 36 bewegt sich bezüglich des ersten Betätigungsmechanismus 34 und bewegt einen Teil des Tisches 30, um das Substrat 32 innerhalb der Prozeßkammer 22 weiter vertikal anzuordnen. Der erste Betätigungsmechanismus 34 ent hält eine Platte 37, die durch einen Schaft 38 vertikal bewegt wird. Der zweite Betätigungsmechanismus 36 enthält eine zweite Platte 39, die oberhalb der Platte 37 angeordnet und auf einem zusätzlichen Schaft 40 vertikal beweglich ist. Der Schaft 40 könnte z. B. im Innern des Schaftes 38 teleskopartig angeordnet sein bzw. ausfahren. Der Fachmann erkennt, daß der Substrattisch 30 auch andere Mechanismen zum Bewegen und Anordnen des Substrats 32 bezüglich der Prozeßkammer 22 nutzen könnte. In 1A ist der Substrattisch 30 in einer ersten oder Pufferposition dargestellt, in der das Substrat 32 in der Pufferkammer 24 ruht. Um innerhalb der Pufferkammer 24 ein Vakuum aufrechtzuerhalten und die Kammer 24 nach Wunsch zu reinigen, enthält der Tisch 30 Bälge 41 zum Bilden eines Verschlusses zwischen der Platte 37 und der Bodenwand der Kammer 24. Die Bälge 41 dichten dadurch den Schaft 38 und etwaige Öffnungen in der Pufferkammer 24 ab, durch die sich der Schaft 38 bewegt.
  • Ist die Pufferkammer mit der Transportkammer verbunden, ist der Schieberventilaufbau 60 geschlossen, um zu verhindern, daß Verunreinigungen von der Prozeßkammer 22 durch die Pufferkammer 24 und in die Transportkammer 26 wandern. Auf diese Weise ist die Pufferkammer mit entweder der Prozeßkammer oder der Transportkammer, nicht aber gleichzeitig mit beiden verbunden. Wenn das Substrat durch den Tisch 30 zur Prozeßkammer bewegt wird, ist das Trennventil 28 geschlossen, und der Schieberventilaufbau 60 ist geöffnet, um einen Zugang zur Prozeßkammer zu schaffen.
  • Mit der Platte 37 ist ein Dichtungsaufbau 42 wie z. B. eine O-Ringdichtung so gekoppelt, daß er um den Durchgang 43 an der Wand 45 anliegt bzw. in Eingriff steht. Alternativ dazu kann der Dichtungsaufbau 42 in die Wand 45 eingebaut sein, so daß er durch die Platte 37 in Eingriff kommt. Der Dichtungsaufbau 42 umgibt den Durchgang 43 und schafft einen Verschluß zwischen der Prozeßkammer 22 und der Pufferkammer 24, wenn das Substrat 32 und der Tisch 30 zu einer zweiten Position oder Prozeßposition bewegt werden, bei der das Substrat innerhalb eines in der Prozeßkammer definierten Prozeßraumes 23 angeordnet ist. In der Darstellung in 1B sind der Tisch 30 und das Substrat 32 in die zweite oder Prozeßposition zum Bearbeiten des Substrats innerhalb der Prozeßkammer 22 bewegt. Eine vertikale Bewegung des Schaftes 38 bewegt den Tisch und bewegt beide Platten 37 und 39 in Richtung auf die Prozeßkammer 22. Der Dichtungsaufbau 42 des ersten Betätigungsmechanismus 34 des Tisches 30 steht um den Durchgang 43 mit der Wand 45 in Eingriff. Der Durchgang wird dadurch durch Kompression bzw. Zusammendrücken des Dichtungsaufbaus 42 zwischen der Platte 37 und der Wand 45 abgedichtet bzw. verschlossen. Die zweite Betätigungsvorrichtung 36 des Tisches 30 ist so aufgebaut und bemessen, daß sie durch den Durchgang 43 verläuft und sich weiter in die Prozeßkammer 22 und den Raum 23 bewegt. Hat der Tisch 30 den Durchgang 43 einmal verschlossen, wird dann der Schaft 40 vertikal bewegt, um das Substrat 32 wie z. B. bezüglich eines Sprüh- bzw. Duschkopfes 46 weiter anzuordnen, der in einer CVD-Prozeßkammer verwendet werden kann. Der Fachmann versteht ohne weiteres, daß die Prozeßkammer 22 auch eine PVD-Prozeßkammer sein könnte und der Duschkopf 46 durch ein (nicht dargestelltes) Ziel aus einem Material ersetzt werden könnte, das durch Sputtern auf ein Substrat 32 aufgebracht werden soll. Der Tisch 30 enthält auch einen Balg 48 für den zweiten Betätigungsmechanismus, um zwischen der Prozeßkammer und dem Schaft 40 und etwaigen Öffnungen in der Platte 37 oder dem Schaft 38 für den Schaft 40 einen Verschluß zu schaffen. Der Balg 48 erstreckt sich zwischen der Oberseite der Platte 37 und der Unterseite der Platte 39.
  • In 1B ist das Substrat 32 im Prozeßraum, und der Durchgang 43 ist abgedichtet, um die Prozeßkammer 22 während einer Bearbeitung von der Pufferkammer 24 wirksam zu trennen. Wie oben bemerkt wurde, arbeitet eine Substrat-Transportvorrichtung 27 zwischen der Transportkammer 26 und der Pufferkammer 24, um ein Substrat 32 auf dem Substrattisch 30 anzuordnen, wenn der Schieberventilaufbau 60 geschlossen ist. Das Trennventil 28 wird dann geschlossen, und der Schieberventilaufbau 60 wird geöffnet. Der Substrattisch 30 wird zur zweiten Position angehoben, um das Substrat in den Prozeßraum 23 anzuheben. Den Prozeß läßt man dann in Abhängigkeit von dem Betrieb der Kammer 22 und verschiedenen Prozeßparametern ablaufen. Nachdem der Prozeß abgelaufen ist, wird der Substrattisch 30 abgesenkt, und das Substrat kann über die Transportkammer 26 zu einer anderen Prozeßkammer bewegt werden. Jedoch werden Verunreinigungen aus dem Prozeßraum 22 im allgemeinen in Form eines oder mehrerer restlicher oder Nebenproduktgase vorhanden sein und somit leicht durch die Prozeßkammer 26 und in eine andere (nicht dargestellte) Prozeßkammer lecken, die mit der Transportkammer 26 gekoppelt ist.
  • Zu diesem Zweck kann die vorliegende Erfindung betätigt werden, um die Pufferkammer von der Prozeßkammer zu trennen und Verunreinigungen aus der Pufferkammer mit einem mit der Pufferkammer gekoppelten separaten Pump/Vakuumsystem zu entfernen. Der Schieberventilaufbau wird verwendet, um die Prozeßkammer 22 von der Pufferkammer 24 zu isolieren, während die Pufferkammer gereinigt wird. Bevor das Trennventil 28 geöffnet wird, um die Pufferkammer mit der Transportkammer zu verbinden, wird die Pufferkammer von Verunreinigungen ausreichend gereinigt. Auf diese Weise werden von der Prozeßkammer in die Transportkammer leckende Verunreinigungen reduziert, und die Verunreinigung benachbarter Kammern wird ebenfalls verringert.
  • Die Prozeßkammer 22 ist mit einem Vakuumsystem 50 und (nicht dargestellten) geeigneten Gasversorgungen und Stromversorgungen gekoppelt, wie sie notwendig sind, um den Prozeß darin durchzuführen. Der Tisch 30 könnte ferner zum Vorspannen des Substrates 32 mit einer Stromversorgung gekoppelt sein. Für einen CVD-Prozeß ist z. B. die Prozeßkammer 24 mit einem Vakuumsystem gekoppelt, das Drücke von 100 Millitorr bis zu einer Atmosphäre (1 atm) erreichen kann. Ein PVD-Prozeß kann jedoch ein Vakuumsystem benötigen, das einen niedrigeren Druck wie z. B. 1–10 Millitorr erreicht. Für derartige Prozesse sind geeignete Vakuumsysteme 50 im Handel erhältlich.
  • Andererseits weist die Pufferkammer 24 gemäß einem Gesichtspunkt der Erfindung Hochlast-Pumpeinrichtungen zum Reinigen des Pufferraums von Verunreinigungen auf. Zu diesem Zweck umfaßt ein Pumpsystem eine oder mehrere Kryoplatten 52, die einem Teil der Wände der Pufferkammer 24 benachbart oder einen Teil bildend angeordnet sind. Die Kryoplatten 52 liefern extrem hohe Pumpgeschwindigkeiten für viele reaktive Verunreinigungsgase, die von der Prozeßkammer 22 in die Pufferkammer wandern können. Die Platten 52 weisen vorzugsweise eine große Fläche bezüglich des Pufferraums 25 der Kammer 24 auf. Die Platten werden ständig gekühlt und pumpen kontinuierlich Verunreinigungen aus dem Raum 25, indem die Gaspartikel der Verunreinigungen darauf aufgefangen werden. Daher werden bezüglich des Raums 25 hohe Pumpgeschwindigkeiten erzielt. Solche Kryoplatten 52 sind im allgemeinen zum Pumpen von Verunreinigungen wie z. B. Wasser HCl und NH3 geeignet. Die Kryoplatten 52 sind im Handel erhältlich und können entweder durch Koppeln der Platten mit einer Zufuhr von Kryofluiden 54 oder einem Expanderkopf 56 gekühlt werden. Bei einer Kryo-Fluidversorgung 54 werden die Fluide durch die Platten 52 umgewälzt, um ständig Verunreinigungen durch die Platten zu pumpen. Geeignete Kryofluide sind flüssiger Stickstoff und/oder Kühlmittel wie z. B. Freon. Ein Expanderkopf 56 basiert demgegenüber auf einer schnellen Expansion einer Substanz wie z. B. von flüssigem Helium, um eine Kühlung zu erzeugen. Die Kryoplatten 52 werden im allgemeinen in einem Temperaturbereich zwischen 100° und 150° Kelvin gehalten.
  • Die Platten 52 können unzureichend sein, um alle Verunreinigungsgase aufzufangen, die in die Pufferkammer 24 lecken können, bevor das Substrat aus der Pufferkammer 24 transportiert werden soll. Gemäß einer anderen Ausführungsform der vorliegenden Erfindung enthält daher das Pufferkammer-Pumpsystem eine Hochvakuumpumpe 58 zum Entfernen etwaiger zusätzlicher Verunreinigungen, die durch die Platten 52 nicht gesammelt wurden. Die Pufferkammer 24 wird somit von Verunreinigungen gereinigt, bevor das Substrat 32 durch die Substrat-Transportvorrichtung 27 daraus und in die Transportkammer 26 bewegt wird.
  • Gemäß einem anderen Gesichtspunkt der vorliegenden Erfindung wird ein Gasabfühlsystem 64 genutzt, um die Pegel von Verunreinigungsgasen in der Pufferkammer 24 festzustellen. Das Gasabfühlsystem 64 ist wie in den Figuren dargestellt mit einem Trennventil 28 geeignet gekoppelt, um ein Öffnen des Trennventils 28 und Transportieren des Substrats aus der Pufferkammer 24 und in die Transportkammer 26 zu verhindern, falls in der Pufferkammer 24 noch eine große Menge an Verunreinigungen vorhanden ist. Das Gasabfühlsystem 64 kann einen geeigneten Sensor 65 enthalten, der im Pufferraum angeordnet ist, wie z. B. einen im Handel erhältlichen Restgasanalysierer (RGA). Das Gasabfühlsystem 64 könnte auch im Handel erhältliche optische Sensoren und eine (nicht dargestellte) Plasmaröhre nutzen. Eine Plasmaröhre würde man z. B. im Pufferraum anordnen, und diese würde Gasteilchen der Verunreinigungen wie z. B. Chlor- oder Fluorionen anregen, die dann durch die optischen Sensoren festgestellt werden, die darauf abgestimmt sind, die angeregten Zustände dieser Gase festzustellen. Für das Gasabfühlsystem 64 können auch andere Abfühlsysteme genutzt werden.
  • Die Kryoplatten 52, die genutzt werden, um Verunreinigungsgase aus der Pufferkammer 24 zu pumpen und zu reinigen, sind einer beträchtlichen abgestrahlten Wärme und somit einer beträchtlichen thermischen Belastung von der Prozeßkammer ausgesetzt, wenn der Schieberventilaufbau 60 geöffnet und der Substrattisch 30 vertikal abwärts von der zweiten Position zur ersten Position bewegt wird, nachdem der Prozeß abgeschlossen ist. Für CVD-Prozesse können z. B. Temperaturen nahe 1000°C verwendet werden. Nach 1A existiert eine direkte Sichtverbindung zwischen Teilen der Kryoplatten 52 und dem heißen Substrattisch 30 und der Prozeßkammer 32, wenn der Schieberventilaufbau 60 geöffnet ist. Um die thermische Belastung auf die Kryoplatten 52 zu reduzieren, ist um die Wände der Pufferkammer 24 zwischen den Kryoplatten 52 und dem Substrattisch 30 eine thermische Abschirmung 66 angeordnet. Die thermische Abschirmung 66, die beispielsweise aus Aluminium, Kupfer, Hastelloy oder rostfreiem Stahl bestehen kann, verhindert eine direkte Sichtverbindung zwischen dem heißen Substrattisch 30 und der Prozeßkammer 22 und den kalten Kryoplatten 52. Die thermische Belastung der Platten ist somit reduziert, und diese werden Verunreinigungen effektiver aus dem Pufferraum 24 pumpen.
  • Eine typische Bearbeitungssequenz, die das Gerät 20 der Erfindung nutzt, ist hilfreich, um darzulegen, wie eine Verunreinigung zwischen der Prozeßkammer 22 und der Transportkammer 26 reduziert wird. Zuerst wird ein Substrat durch die Substrat-Transportvorrichtung 27 von außen oder einer (nicht dargestellten) anderen Prozeßkammer in die Transportkammer bewegt. Das Substrat ist dann bereit, in der Prozeßkammer 22 bearbeitet zu werden. Der Schieberventilaufbau 60 wird geschlossen, und das Trennventil 28 wird geöffnet. Das Substrat wird dann auf den Substrattisch 30 wie in 1A gezeigt geladen. Die Substrat-Transportvorrichtung 27 wie z. B. ein Roboterarm zieht sich dann durch das Trennventil 28 zurück, und das Trennventil wird geschlossen. Als nächstes wird der Schieberventilaufbau 60 geöffnet, und der Substrattisch 30 wird wie in 1B veranschaulicht vertikal angehoben. Der Schieberventilaufbau 60 wird zum Bewegen des Substrats 32 und eines Teils des Tisches 30 durch den Durchgang 43 nicht geöffnet, bis das Trennventil geschlossen ist. Gleichzeitig mit der Bewegung des Substrattisches 30 wird der Druck in der Pufferkammer 24 erhöht oder gesenkt, um den Druck in der Prozeßkammer 22 anzuglei chen. Falls der Druck innerhalb der Pufferkammer 24 gesenkt werden muß, wird die Vakuumpumpe 58 genutzt, um die Pufferkammer zu reinigen. Der Substrattisch 30 wird dann, wie in 1B gezeigt ist, in die Prozeßposition angehoben, in der der Dichtungsaufbau 42 gegen die Wand 45 zusammengedrückt wird. Durch die Tätigkeit des Betätigungsmechanismus 34 wird der Tisch 30 vertikal bewegt. Auf diese Weise wird der Durchgang 43 abgedichtet bzw. verschlossen, um die Prozeßkammer 22 von der Pufferkammer 24 zu trennen. Als nächstes wird der Betätigungsmechanismus 36 aktiviert, um die Platte 39 und das Substrat 32, falls erwünscht, zu einer endgültigen Prozeßposition anzuheben. Der Tisch 30 muß keinen zweiten Betätigungsmechanismus 36 enthalten, und daher kann die Verschlußposition des Tisches auch die Position des Substrates bezüglich der Prozeßkammer 22 festlegen.
  • Nachdem das Substrat in der Prozeßkammer 22 angeordnet wurde, läßt man den Prozeß ablaufen. Der Prozeß könnte wieder ein CVD-Prozeß oder ein PVD-Prozeß oder irgendein anderer ähnlicher oder geeigneter Prozeß sein, der bei der Herstellung integrierter Schaltungen genutzt wird. Nachdem der Prozeß abgelaufen ist, wird der Prozeßraum 23 z. B. durch eine Vakuumpumpe 50 zu Anfang gereinigt. Ein solches Reinigen entfernt eine große Menge der Verunreinigungen, die durch das Mehrkammersystem wandern können. Die Pufferkammer 24 wird jedoch gemäß den Grundlagen der vorliegenden Erfindung weiter die Verunreinigungen reduzieren, die zu anderen Prozeßkammern wandern können. Nachdem der Prozeßraum 23 gereinigt ist, wird der Tisch 30 abgesenkt, wobei folglich der Durchgang 43 geöffnet wird. Der Schieberventilaufbau 60 wird dann geschlossen, um den Pufferraum vom Prozeßraum zu trennen. Die Kryoplatten 52 und die Vakuumpumpe 58 werden genutzt, um zu pumpen und den Pufferraum 25 von Verunreinigungen zu reinigen. Der Pufferraum 25 wurde Gasen von der Prozeßkammer 22 ausgesetzt, und diese Gase müssen entfernt werden, um eine Verunreinigung zu reduzieren und zu verhindern. Der Pufferraum wird wegen des geschlossenen Schieberventilaufbaus unabhängig vom Prozeßraum gereinigt. Das Gasabfühlsystem 64 wird genutzt, um zu bestätigen, daß der Verunreinigungspegel im Prozeßraum 25 annehmbar niedrig ist. Falls der Verunreinigungspegel niedrig genug ist, wird das Trennventil 28 geöffnet, und die Substrat-Transportvorrichtung 27 entfernt das Substrat aus der Pufferkammer 24 und bewegt es zu zusätzlichen Bearbeitungskammern oder ganz aus dem Bearbeitungssystem.
  • Die vorliegende Erfindung ist besonders nützlich zum Trennen einer CVD-Bearbeitungskammer von einer PVD-Bearbeitungskammer. Die CVD-Bearbeitungskammer wird im allgemeinen bei einem höheren Druck gehalten. Folglich wandern Verunreinigungsgase eher zur PVD-Kammer mit niedrigerem Druck. Die Pufferkammer 24 der Erfindung könnte jedoch auch genutzt werden, um eine zusätzliche Vakuumstufe zwischen einer PVD-Kammer und einer Transportkammer bereitzustellen, die keinem der PVD-Kammer ähnlichen Ultrahochvakuum (UHV) ausgesetzt ist. In einem derartigen Szenario kann die Transportkammer 26 ohne Ultrahochvakuum die PVD-Kammer mit Wasser verunreinigen. Falls die Kammer 22 eine PVD-Kammer ist, kann nach 1A Wasser von der Transportkammer 26 in die PVD-Kammer 22 wandern, wenn ein Substrat aus der Transportkammer 26 und in die PVD-Kammer 22 bewegt wird. Unter Ausnutzung der Pufferkammer 24 könnte eine Wasserverunreinigung reduziert werden. Zu diesem Zweck kann die Vakuumpumpe 58 durch eine Kryowasserpumpe 70 ergänzt werden. Im allgemeinen sind nicht alle Hochvakuumpumpen wie die Pumpe 58, z. B. Turbomolekular- oder Diffusionspumpen, beim Entfernen von Wasser aus einem Bereich sehr effizient. Eine Kryowasserpumpe, die im Handel erhältlich ist, würde dann in Kombination mit der Vakuumpumpe 58 genutzt werden. Die Kryowasserpumpe 70 entfernt Wasser aus dem Pufferraum 25, während die Vakuumpumpe 58 andere Gase entfernt, die den PVD-Prozeß verunreinigen können. Statt die Vakuumpumpe 58 mit der Kryowasserpumpe 70 zu ergänzen, könnte alternativ dazu eine Kryopumpe 72 genutzt werden, um die Kryowasserpumpe 70 und die Vakuumpumpe 58 zu ersetzen. Eine Kryopumpe 72, die ebenfalls im Handel erhältlich ist, pumpt im allgemeinen Wasser und eine andere Art mit einem (nicht dargestellten) Kühlelement ab, das durch die Expansion von komprimiertem Helium gekühlt wird. Die Kryopumpe 72 verwendet ein Expanderkopfkonzept, das dem mit den oben diskutierten Kryoplatten 52 genutzten Expanderkopf 56 ähnlich ist, arbeitet aber bei niedrigeren Temperaturen, typischerweise < 12 K. Wasserstoff, Helium, Stickstoff und Argon und andere werden durch die Kryopumpe abgepumpt, die aus dem Pufferraum 25 auch Wasser ausreichend abpumpt. Die Kryopumpe kann öfter als die Vakuumpumpe 58/Kryowasserpumpe 70 regeneriert werden müssen, die oben diskutiert wurden.
  • Die 1A und 1B veranschaulichen die erfindungsgemäße Pufferkammer der vorliegenden Erfindung, die verwendet wird, um eine einzelne Prozeßkammer 22 zu trennen oder zu Puffern. Die Pufferkammer 24 könnte jedoch auch genutzt werden, um mehrere Prozeßkammern zu trennen, die innerhalb eines größeren Bearbeitungsmoduls einander benachbart angeordnet sind. Bearbeitungssysteme sind im Handel erhältlich, die mehrere Prozeßkammern zusammen in einem einzigen Modul nutzen, bei denen der Wafer sich durch die verschiedenen Bearbeitungskammern bewegt, ohne das Modul zu verlassen. In 2 ist eine Substrat-Transportvorrichtung oder Fördereinrichtung 80 eines Bearbeitungssystems dargestellt. Die Fördereinrichtung 80 hat sechs Seiten, die jeweils mit verschiedenen Bearbeitungskammern zum Bearbeiten eines Substrats eine Verbindung aufweisen. Die Fördereinrichtung 80 enthält einen Roboter oder eine andere geeignete Vorrichtung (nicht dargestellt), um Substrate zwischen den verschiedenen Modulen zu bewegen. Eine geeignete Fördereinrichtung 80, die abgewandelt werden kann, um mit der vorliegenden Erfindung zu arbeiten, ist das Phoenix-System, das in der Vergangenheit von Materials Research Corporation (nun Tokyo Electron Arizona, Inc.) vertrieben wurde. Die Substrate werden durch I/O-Module wie z. B. die Module 82 und 84 in die Fördereinrichtung eingeführt. Die Fördereinrichtung bewegt die Substrate gemäß dem gewünschten Prozeß zwischen den verschiedenen Bearbeitungskammern. Zum Beispiel könnte durch das Modul 86 eine antireflektierende PVD-Beschichtung aus Titannitrid aufgebracht werden. Das Modul 88 könnte in einem speziellen Prozeß zum Kühlen von Substraten zwischen Abscheidungsschritten genutzt werden.
  • Module 90, 92 enthalten mehrere Bearbeitungskammern. Das Modul 90 ist ein PVD-Modul, das eine Prozeßkammer 94 zur Abscheidung von Titan, eine Prozeßkammer 96 zur Abscheidung von Aluminium und eine Vorheiz-Prozeßkammer zum Heizen der Substrate vor einer Abscheidung enthält. Das CVD-Modul 92 enthält eine CVD-Prozeßkammer 100 zur Titanabscheidung, eine CVD-Prozeßkammer 102 zur Titannitrid-Abscheidung und eine Prozeßkammer 104 zur Entgasung. Alternativ dazu könnten alle Kammern in einem Modul den gleichen Prozeß durchführen. In einer Ausführungsform der Erfindung nutzen die verschiedenen Module einen Schalt-Mechanismus 106 wie z. B. ein Schaltrad, das die Substrate zwischen den verschiedenen Bearbeitungskammern des Moduls bewegt (siehe 3). Eine geeignete Vor richtung zum Einbauen verschiedener Bearbeitungskammern in ein einziges Modul, die zur Verwendung mit der vorliegenden Erfindung abgewandelt werden könnte, ist z. B. das Eclipse-System, das in der Vergangenheit von Materials Research Corporation (nun Tokyo Electron Arizona, Inc.) vertrieben wurde. Ein anderes geeignetes Schaltsystem zum Bewegen von Substraten zwischen Prozeßkammern in einem Modul ist im US-Patent 4 990 047 dargestellt.
  • 3 veranschaulicht ein CVD-Modul 92, das das Puffersystem der Erfindung enthält. Die Pufferkammer 24 enthält einen Substrattisch 30, wie oben diskutiert wurde, und hat eine Verbindung mit den Prozeßkammern des Moduls 92, um mehrere Prozeßschritte von einer Transportkammer 26 zu trennen, die mit der Substrat-Fördereinrichtung 80 verbunden ist. Eine der Prozeßkammern 104, die mit der Pufferkammer 24 in Verbindung steht, könnte alternativ dazu für einen weniger verunreinigenden Prozeß verwendet werden, wie z. B. die Entgasungskammer 104 oder gar eine Ätzkammer, eine Vorheiz-Kammer wie Kammer 98 oder eine Codierkammer wie die Kammer 88. Nach der Einführung der Substrate 92 von der Kammer 26 in die Pufferkammer 24 werden die Substrate 32 durch die verschiedenen Prozeßkammern 100, 102, 104 des CVD-Moduls 92 unter Verwendung eines Schalt-Mechanismus 106 vorankommen. Die Substrattische 30 heben die Substrate an, um eine Verbindung mit den Prozeßkammern herzustellen.
  • Verschlußmechanismen 60 wie z. B. Schieberventile werden selektiv geöffnet und geschlossen, um die Prozeßkammern 100, 102, 104 von der Pufferkammer 24 und der Transportkammer zu trennen. Das Substrat gelangt in geeigneter Weise durch die verschiedenen Abscheidungsstufen gemäß Anwendbarkeit. Der Schalt-Mechanismus 106 bewegt die Substrate durch die Pufferkammer 24. Wenn die Bearbeitung abgeschlossen ist, werden die Schieberventile 60 geschlossen, und die Pufferkammer wird gereinigt, wie oben diskutiert wurde, um zu verhindern, daß Verunreinigungen vom Modul 92 durch die Transportkammer 26 und die Fördereinrichtung 80 zu dem PVD-Modul 90 wandern. Auf diese Weise kann eine einzige Substrat-Fördereinrichtung 80 genutzt werden, um verschiedene unterschiedliche Prozesse einschließlich CVD-Prozesse und PVD-Prozesse mit einer reduzierten Verunreinigung zwischen den verschiedenen Bearbeitungskammern zu unterstützen.
  • Obgleich die vorliegende Erfindung durch die Beschreibung ihrer Ausführungsformen veranschaulicht wurde und die Ausführungsformen sehr ausführlich beschrieben wurden, möchte der Anmelder den Umfang der beigefügten Ansprüche auf keine solchen Einzelheiten beschränken. Zusätzliche Vorteile und Abwandlungen sind dem Fachmann ohne weiteres ersichtlich. Daher ist die Erfindung in ihren allgemeinen Gesichtspunkten nicht auf spezielle, das Gerät und Verfahren darstellende Einzelheiten sowie dargestellte und beschriebene Anschauungsbeispiele beschränkt. Demgemäß können Abwandlungen von solchen Einzelheiten vorgenommen werden, ohne vom Geist oder Umfang des erfinderischen Konzepts des Anmelders abzuweichen.

Claims (23)

  1. Einrichtung zum Bearbeiten eines Substrats (32), umfassend – mehrere Prozesskammern (22; 94, 96, 98, 100, 102, 104) zum Bearbeiten des Substrats, – eine Pufferkammer (24) zumindest unter einer ersten (22) der Prozesskammern, wobei die Pufferkammer durch einen Durchgang (43) hindurch mit der ersten Prozesskammer in Verbindung steht, – einen in der Pufferkammer angeordneten, zur Aufnahme des Substrats ausgebildeten Substrattisch (30), welcher vertikal zwischen einer ersten Position, in der sich das Substrat innerhalb des Pufferraums befindet, und einer zweiten Position verstellbar ist, in der das Substrat durch den Durchgang hindurch in die erste Prozesskammer hineinbewegt ist, – eine mit der Pufferkammer über ein Trennventil (28) gekoppelte Transportkammer (26), der die Prozesskammern zur Bewegung von Substraten zwischen denselben gemeinsam zugeordnet sind, sowie – ein Pumpsystem (52, 54, 56, 58, 70, 72) zum Entfernen von Verunreinigungen aus der Pufferkammer, gekennzeichnet durch einen dem Durchgang zugeordneten Verschlussmechanismus (60), welcher zwischen einem Schließzustand, in dem er den Durchgang verschließt und die erste Prozesskammer von der Pufferkammer trennt, und einem Öffnungszustand verstellbar ist, in dem er den Durchgang für die Hindurchführung des Substrats freigibt.
  2. Einrichtung nach Anspruch 1, dadurch gekennzeichnet, dass in der zweiten Position des Substrattisches (30) dieser den Durchgang (43) abdichtet.
  3. Einrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass der Verschlussmechanismus (60) ein Schieberventil umfasst.
  4. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Pumpsystem (52, 54, 56, 58, 70, 72) eine einer Wand der Pufferkammer (24) benachbart angeordnete Kryoplatte (52) zum Abpumpen von Gasen aus der Pufferkammer umfasst.
  5. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, dass die Kryoplatte (52) mit einer Kühlfluidquelle (54) zum Kühlen der Platte thermisch gekoppelt ist.
  6. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, dass die Kryoplatte (52) mit einem Expanderkopf (56) thermisch gekoppelt ist, welcher zum Kühlen der Platte ein Kühlgas schnell expandiert.
  7. Einrichtung nach einem der Ansprüche 4 bis 6, ferner gekennzeichnet durch eine thermische Abschirmung (66), welche in der Pufferkammer (24) derart zwischen der Kryoplatte (52) und dem Durchgang (43) angeordnet ist, dass sie die Kryoplatte (52) gegenüber thermischer Energie abschirmt, die aus der ersten Prozesskammer (22) durch den Durchgang (43) in die Pufferkammer gelangt.
  8. Einrichtung nach Anspruch 7, dadurch gekennzeichnet, dass die thermische Abschirmung (66) zwischen der Kryoplatte (52) und dem Substrattisch (30) angeordnet ist, derart, dass sie die Kryoplatte (52) auch gegenüber thermischer Energie abschirmt, die vom Substrattisch (30) kommt.
  9. Einrichtung nach einem der vorhergehenden Ansprüche, ferner gekennzeichnet durch ein Gasfühlsystem (64, 65), welches unerwünschte Gase in der Pufferkammer (24) detektiert.
  10. Einrichtung nach Anspruch 9, dadurch gekennzeichnet, dass das Gasfühlsystem (64, 65) ein Plasmarohr zum Anregen von Gasen sowie einen optischen Sensor zur Detektion der angeregten Gase umfasst.
  11. Einrichtung nach Anspruch 9, dadurch gekennzeichnet, dass das Gasfühlsystem (64, 65) einen Restgasanalysierer umfasst.
  12. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Durchgang (43) in einer gemeinsamen Trennwand (45) der ersten Prozesskammer (22) und der Pufferkammer (24) gebildet ist.
  13. Einrichtung nach Anspruch 12, dadurch gekennzeichnet, dass der Substrattisch (30) eine Dichtungsvorrichtung (42) trägt, welche in der zweiten Position des Substrattisches gegen die Trennwand (45) drückt und hierdurch den Durchgang (43) luftdicht verschließt.
  14. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Substrattisch (30) einen ersten Betätigungsmechanismus (34) sowie einen relativ zu dem ersten Betätigungsmechanismus bewegbaren zweiten Betätigungsmechanismus (36) umfasst, wobei der erste Betätigungsmechanismus dazu ausgebildet ist, den Substrattisch in eine Position zu bewegen, in der dieser den Durchgang (43) verschließt, und wobei der zweite Betätigungsmechanismus dazu ausgebildet ist, einen Teil (39) des Substrattisches weiterzubewegen, um das Substrat in der ersten Prozesskammer (22) anzuordnen.
  15. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Pumpsystem (52, 54, 56, 58, 70, 72) ferner eine Kryopumpe (72) zum Entfernen von Verunreinigungen und von Wasser aus dem Prozess umfasst.
  16. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Pumpsystem (52, 54, 56, 58, 70, 72) ferner eine Kryowasserpumpe (70) zum Entfernen von Wasser umfasst.
  17. Einrichtung nach einem der Ansprüche 1 bis 16, dadurch gekennzeichnet, dass die erste Prozesskammer (22; 100, 102) der Durchführung eines CVD-Verfahrens dient, während mindestens eine andere (94, 96) der Prozesskammern der Durchführung eines PVD-Verfahrens dient.
  18. Einrichtung nach einem der Ansprüche 1 bis 16, dadurch gekennzeichnet, dass die erste Prozesskammer (22; 100, 102) der Durchführung eines PVD-Verfahrens dient, während mindestens eine andere (94, 96) der Prozesskammern der Durchführung eines CVD-Verfahrens dient.
  19. Einrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Pufferkammer (24) auch unter einer zweiten Prozesskammer angeordnet ist, welche ebenfalls über einen Durchgang mit der Pufferkammer verbunden ist, dass in Zuordnung zu der ersten und der zweiten Prozesskammer (102, 104) je ein Substrattisch (30) in der Pufferkammer angeordnet ist und dass ferner ein Schaltmechanismus (106) in der Pufferkammer vorgesehen ist, welcher eine Bewegung des Substrats zwischen den Substrattischen (30) gestattet.
  20. Einrichtung nach Anspruch 19, dadurch gekennzeichnet, dass die erste und die zweite Prozesskammer (102, 104) jeweils der Durchführung eines CVD-Verfahrens dienen.
  21. Einrichtung nach Anspruch 19, dadurch gekennzeichnet, dass die erste und die zweite Prozesskammer (94, 96) jeweils der Durchführung eines PVD-Verfahrens dienen.
  22. Einrichtung nach Anspruch 19, dadurch gekennzeichnet, dass die erste oder die zweite Prozesskammer als Ätzkammer dient.
  23. Verfahren zum Bearbeiten eines Substrats unter Verwendung einer Einrichtung nach einem der vorhergehenden Ansprüche, umfassend die Schritte: – Transportieren eines Substrats (32) auf einen vertikal beweglichen Substrattisch (30) in einer unter einer Prozesskammer (22) angeordneten Pufferkammer (24), – Hochfahren des Substrattisches, bis das Substrat durch einen zwischen der Pufferkammer und der Prozesskammer gebildeten Durchgang hindurch in die Prozesskammer eingefahren ist, – Bearbeiten des Substrats und anschließendes Reinigen der Prozesskammer, – Herunterfahren des Substrattisches, bis das Substrat in die Pufferkammer zurückbewegt ist, gekennzeichnet durch die Schritte: – Verschließen des Durchgangs, nachdem das Substrat aus der Prozesskammer in die Pufferkammer zurückgekehrt ist, und – Reinigen der so von der Prozesskammer getrennten Pufferkammer von Verunreinigungen.
DE19982566T 1998-11-12 1999-11-10 Einrichtung und Verfahren zum Bearbeiten eines Substrats Expired - Fee Related DE19982566B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/190,870 1998-11-12
US09/190,870 US6183564B1 (en) 1998-11-12 1998-11-12 Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
PCT/IB1999/001805 WO2000030155A1 (en) 1998-11-12 1999-11-10 Buffer chamber and method for integrating physical and chemical vapor deposition chambers together in a processing system

Publications (2)

Publication Number Publication Date
DE19982566T1 DE19982566T1 (de) 2001-02-22
DE19982566B4 true DE19982566B4 (de) 2009-02-26

Family

ID=22703144

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19982566T Expired - Fee Related DE19982566B4 (de) 1998-11-12 1999-11-10 Einrichtung und Verfahren zum Bearbeiten eines Substrats

Country Status (7)

Country Link
US (1) US6183564B1 (de)
JP (1) JP3677211B2 (de)
KR (1) KR100392966B1 (de)
DE (1) DE19982566B4 (de)
GB (1) GB2349893B (de)
TW (1) TWI238856B (de)
WO (1) WO2000030155A1 (de)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
JP4268303B2 (ja) * 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
AU4351601A (en) * 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6599368B1 (en) 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6936134B2 (en) * 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
EP1661161A2 (de) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter-partitions-ventil mit geschützten dichtungen
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
KR100621804B1 (ko) * 2004-09-22 2006-09-19 삼성전자주식회사 디퓨저 및 그를 구비한 반도체 제조설비
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR100648166B1 (ko) * 2004-12-28 2006-11-28 동부일렉트로닉스 주식회사 파티클 집진 장치를 갖는 공정 챔버
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687098B1 (en) * 2005-08-26 2010-03-30 Charlie W. Chi Chemical mechanical vapor deposition device for production of bone substitute material
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
KR101281863B1 (ko) * 2006-12-08 2013-07-08 도쿄엘렉트론가부시키가이샤 증착 시스템
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
DE102007022431A1 (de) * 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5464753B2 (ja) * 2007-12-06 2014-04-09 インテバック・インコーポレイテッド 基板を両面スパッタエッチングするシステム及び方法
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
WO2010085507A1 (en) * 2009-01-20 2010-07-29 President And Fellows Of Harvard College Electrodes for fuel cells
JP5883652B2 (ja) * 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8801950B2 (en) * 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024372B2 (ja) * 2012-10-12 2016-11-16 Tdk株式会社 基板処理装置および基板処理チャンバモジュール
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9994956B2 (en) 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10030303B2 (en) 2014-12-19 2018-07-24 Sunpower Corporation Sputter tool
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106282928B (zh) * 2016-08-10 2018-06-08 福建新福兴玻璃有限公司 一种玻璃镀膜生产线
TWI644383B (zh) * 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統
EP3513426A4 (de) * 2016-09-14 2020-06-10 Applied Materials, Inc. Entgasungskammer für arsen und zugehörige verfahren
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10879464B2 (en) 2019-01-11 2020-12-29 Microsoft Technology Licensing, Llc Semiconductor and ferromagnetic insulator heterostructure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11637030B2 (en) * 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN114144540B (zh) * 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11512387B2 (en) 2020-04-13 2022-11-29 Applied Materials, Inc. Methods and apparatus for passivating a target
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11738892B2 (en) * 2020-12-28 2023-08-29 Raytheon Company Space seeker motion test method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11898236B2 (en) 2021-10-20 2024-02-13 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990047A (en) * 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US5231839A (en) * 1991-11-27 1993-08-03 Ebara Technologies Incorporated Methods and apparatus for cryogenic vacuum pumping with reduced contamination
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
WO1998048168A2 (en) * 1997-04-18 1998-10-29 Saes Pure Gas, Inc. In situ getter pump system and method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024747A (en) 1979-12-21 1991-06-18 Varian Associates, Inc. Wafer coating system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63162854A (ja) 1986-12-25 1988-07-06 Fujitsu Ltd 金属膜形成方法
US5092728A (en) 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
JPH01125821A (ja) * 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd 気相成長装置
JPH02148715A (ja) 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5062758A (en) 1989-03-31 1991-11-05 Wentgate Dynaweld, Inc. Shuttle system for rapidly manipulating a workpiece into and out of an atmospherically controlled chamber for doing work thereon in the chamber
US5110248A (en) 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
JPH0687463B2 (ja) 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
JPH0828205B2 (ja) 1989-10-27 1996-03-21 株式会社日立製作所 ウエハ搬送装置
US4981408A (en) 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5019234A (en) 1990-06-08 1991-05-28 Vlsi Technology, Inc. System and method for depositing tungsten/titanium films
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
EP1026549B1 (de) * 1994-04-08 2007-02-28 Canon Kabushiki Kaisha Verarbeitungssystem zur Herstellung von Halbleiterbauelementen
US5685963A (en) * 1994-10-31 1997-11-11 Saes Pure Gas, Inc. In situ getter pump system and method
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990047A (en) * 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US5231839A (en) * 1991-11-27 1993-08-03 Ebara Technologies Incorporated Methods and apparatus for cryogenic vacuum pumping with reduced contamination
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
WO1998048168A2 (en) * 1997-04-18 1998-10-29 Saes Pure Gas, Inc. In situ getter pump system and method

Also Published As

Publication number Publication date
WO2000030155A1 (en) 2000-05-25
TWI238856B (en) 2005-09-01
KR100392966B1 (ko) 2003-07-31
US6183564B1 (en) 2001-02-06
JP3677211B2 (ja) 2005-07-27
GB2349893A (en) 2000-11-15
DE19982566T1 (de) 2001-02-22
GB2349893B (en) 2003-07-02
KR20010033946A (ko) 2001-04-25
JP2002530858A (ja) 2002-09-17
GB0016314D0 (en) 2000-08-23

Similar Documents

Publication Publication Date Title
DE19982566B4 (de) Einrichtung und Verfahren zum Bearbeiten eines Substrats
DE69028440T2 (de) Mehrkammer-Vakuumvorrichtung mit abgestuften Vakuumniveaus zur Behandlung von Halbleiterwafern
DE69934668T2 (de) Schleusenkammer für zwei wafer für eine waferverarbeitungsvorrichtung und be- und entladeverfahren dafür
DE4116554C2 (de) Transportverfahren und -vorrichtung für Reinraumbedingungen
DE69030330T2 (de) Plättchenbehandlungssystem
DE69034092T2 (de) Vorrichtung zur Behandlung von Proben
DE69028180T2 (de) Verfahren und Vorrichtung zum kontinuierlichen Ätzen
DE102004010688B4 (de) Bearbeitungseinrichtung, Bearbeitungsverfahren, Drucksteuerverfahren, Transportverfahren, und Transporteinrichtung
DE69926761T2 (de) Cvd-reaktorsystem und verfahren zur cvd-oberflächenbeschichtung
DE69133567T2 (de) Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69013149T2 (de) Verfahren zum auftragen einer schicht auf ein substrat sowie verfahrenssystem zu diesem zweck.
DE3650057T2 (de) System für Vakuumbehandlung.
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE3047441C2 (de)
DE69733923T2 (de) Senkrechter Doppelofen zur Wärmebehandlung
DE69937554T2 (de) Synchron gemultiplexte architektur für vakuumverfahren mit einem überschuss nahenull
DE69304038T2 (de) Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
CH698528B1 (de) Schiebereinrichtung eines Vakuumprozesssystems.
DE4447977B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von flachen Werkstücken, insbesondere flachen, aktiven Bildschirmen, sowie Verwendung der Vorrichtung
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
DE3788973T2 (de) Verfahren und Vorrichtung zur Handhabung und Behandlung von scheibenartigen Materialien.
DE10353326A1 (de) Substratverarbeitungsgerät und Verfahren zum Verarbeiten eines Substrats unter Steuerung der Kontaminierung in einem Substrattransfermodul
DE4412915A1 (de) Plasmabehandlungsanlage und Verfahren zu deren Betrieb
DE3425267A1 (de) System zum transportieren und behandeln von duennen substraten wie platten oder wafer
DE3587013T2 (de) Plasmareaktor mit einer automatisierten schleuse zur ladung von einzelwaferkassetten.

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R082 Change of representative

Representative=s name: WUESTHOFF & WUESTHOFF PATENT- UND RECHTSANWAELTE,

Representative=s name: WUESTHOFF & WUESTHOFF PATENT- UND RECHTSANWAEL, DE

R081 Change of applicant/patentee

Owner name: TOKYO ELECTRON LTD., JP

Free format text: FORMER OWNER: TOKYO ELECTRON ARIZONA INC., TOKYO ELECTRON LTD., , JP

Effective date: 20110713

Owner name: TOKYO ELECTRON LTD., JP

Free format text: FORMER OWNERS: TOKYO ELECTRON ARIZONA INC., GILBERT, ARIZ., US; TOKYO ELECTRON LTD., TOKYO, JP

Effective date: 20110713

R082 Change of representative

Representative=s name: WUESTHOFF & WUESTHOFF PATENT- UND RECHTSANWAEL, DE

Effective date: 20110713

Representative=s name: WUESTHOFF & WUESTHOFF, PATENTANWAELTE PARTG MB, DE

Effective date: 20110713

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee