JPH06236854A - 熱処理装置 - Google Patents

熱処理装置

Info

Publication number
JPH06236854A
JPH06236854A JP5043199A JP4319993A JPH06236854A JP H06236854 A JPH06236854 A JP H06236854A JP 5043199 A JP5043199 A JP 5043199A JP 4319993 A JP4319993 A JP 4319993A JP H06236854 A JPH06236854 A JP H06236854A
Authority
JP
Japan
Prior art keywords
heat treatment
carrier
wafer
transfer
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5043199A
Other languages
English (en)
Other versions
JP3258748B2 (ja
Inventor
Satoru Osawa
哲 大沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron Tohoku Ltd
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Tohoku Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Tohoku Ltd filed Critical Tokyo Electron Ltd
Priority to JP04319993A priority Critical patent/JP3258748B2/ja
Priority to US08/191,487 priority patent/US5464313A/en
Priority to KR1019940002161A priority patent/KR100233310B1/ko
Publication of JPH06236854A publication Critical patent/JPH06236854A/ja
Application granted granted Critical
Publication of JP3258748B2 publication Critical patent/JP3258748B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/002Crucibles or containers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【目的】 縦型の熱処理炉を複数用いる場合に装置全体
の占有面積を狭くすることができ、またウエハキャリア
を効率よく活用することのできる熱処理装置を提供する
こと。 【構成】 縦型の熱処理炉31、ウエハボート33及び
ウエハ移載機34を備えた熱処理ユニット部を複数例え
ば2個横に並べて配置し、各熱処理ユニット部3A、3
B毎に、キャリアCを例えば3段載置できるウエハ受け
渡し部4A、4Bを設ける。各ウエハ受け渡し部4A、
4Bの上方領域とこれらの間にキャリア収納棚51、5
2を配置し、これらキャリア収納棚51、52に臨む領
域を移動するようにキャリア移載機7を設け、更にその
手前側にキャリアステージ6を設け、キャリア収納棚5
1、52、キャリア移載機7及びキャリアステージ6を
熱処理ユニット部3A、3Bに対して共通に使用する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、例えば半導体ウエハ
(以下「ウエハ」という)を、熱処理する熱処理装置に
関する。
【0002】
【従来の技術】半導体ウエハを製造するにあたって重要
な工程の一つとして熱処理工程がある。この熱処理とし
ては、例えば酸化膜の形成、ドーパントの拡散、アニー
ルあるいはCVDなどが挙げられ、最近では空気の巻き
込みが少ないなどの利点から横型炉に代わって縦型の熱
処理装置が多く使用されてきている。
【0003】熱処理装置をレイアウトの面からみると、
ウエハボート(治具)へウエハを受け渡しする場所や、
ウエハを収納する例えば最大25枚収納する容器(以下
「キャリア」という。)を外部に対して搬出入する領
域、更にはキャリアを収納する棚やキャリアの移載機な
どが必要であり、これらをできるだけ狭いスペースの中
に収め、しかもウエハ及びキャリアを効率よく移動させ
ることが必要である。
【0004】従来縦型熱処理装置は、こうした要請を踏
まえて、例えば図5に示すものが用いられている。この
装置は、装置本体10の前面側に、外部との間の入出力
ポートをなすキャリアステージ1が配置されると共に、
このキャリアステージ1の奥側にキャリア移載機11の
移動路を介して対向するようウエハ受け渡し部12が設
けられ、更にこのウエハ受け渡し部12の上方側に、例
えば最大8個のキャリアを収納できるよう、2列4段の
キャリア収納棚13が配設されている。
【0005】ウエハ受け渡し部12の奥側には、熱処理
ユニット部2が設置され、この熱処理ユニット部2は、
縦型の熱処理炉21と、多数のウエハを搭載してボート
エレベータ22により熱処理炉21に対してロード、ア
ンロードするウエハボート23と、ウエハボート23と
前記ウエハ受け渡し部12との間でウエハを移載するウ
エハ移載機24とを備えてなる。24は熱処理炉21の
下方側を閉じる蓋体である。
【0006】そして先ずキャリアステージ1に、ウエハ
を例えば25枚収納したキャリアCを2個載置し、図示
しない横倒し機構によりこれらキャリアCを横に倒す。
前記キャリア移載機11は、X、Z、θ方向に移動自在
であり、当該2個のキャリアCをウエハ受け渡し部12
あるいはキャリア収納棚13に移載する。ウエハ受け渡
し部12にキャリアCが移載された後、ウエハ移載機2
4が当該キャリアC内のウエハをウエハボート23に順
次移載し、しかる後ウエハボート23が上昇してウエハ
が熱処理炉21内にロードされる。
【0007】
【発明が解決しようとしている課題】上述の装置のキャ
リア収納棚13は、製品ウエハの入ったキャリアCを一
旦収納するバッファ部としての役割を有するが、それ以
外にテスト用ウエハの入ったキャリアや欠損ウエハの補
充用ウエハの入ったキャリア、及びダミー用ウエハの入
ったキャリアを収納する役割を持っている。
【0008】テスト用ウエハとは、製品ウエハと一緒に
熱処理を行った後製品ウエハから別れて当該熱処理を評
価する工程に送られるものである。また補充用ウエハと
は、製品ウエハが規定の数量に満たない場合があり、こ
のままでは熱処理炉21内でガスの流れが変わってしま
い、熱処理の均一性の保証ができなくなるため、補充さ
れるものである。更にダミー用ウエハとは、ウエハボー
トの上下両端における温度の不均一性を避ける目的で、
上下両端部に配置されるものである。
【0009】ところで熱処理装置と外部との間のキャリ
アの搬出入のタイミングと熱処理の開始、終了のタイミ
ングとは通常異なるので、キャリアの搬出入や熱処理を
円滑に行って、スループットの低下を避けるためには、
キャリア収納棚13には製品ウエハを収納するキャリア
をできるだけ多く確保することが得策である。
【0010】また製品ウエハ以外のウエハについても、
テスト用ウエハを収納する最低1個のキャリアと、ダミ
ー用ウエハの入った例えば最低2個のキャリアとが必要
であり、このため実際には補充用ウエハを収納するキャ
リアの数が制限されている。補充用ウエハは、ウエハボ
ートに載置可能な製品ウエハ枚数から1を差し引いた数
だけ用意することが望ましく、従って従来の装置では、
これらウエハを収納するキャリアの数が少ないため、数
枚のウエハを熱処理する場合には対応できず、装置とし
ての自由度が小さく不便なことがあった。
【0011】一方熱処理装置は、熱処理ユニット部に関
連してガス供給ユニットや排気ユニットなどを備えてお
り、装置全体をできるだけ小型化する工夫がなされてい
る。そしてキャリアステージ1やキャリア収納棚13な
どは、コストの高いクリーンルームに置かれており、実
際の製造工場では熱処理装置が多数設置されていること
から、その占有面積をできるだけ小さくすることが要求
される。上述の熱処理装置は、このような要求を考慮し
て設計されたものであり、仮にキャリア収納部の段数や
列数を増やすとしたら、装置本体がキャリアの大きさに
相当する分だけ縦にあるいは横に広がってしまい、こう
した単純な手法は現実的には採用することができない。
【0012】更にまた上述の装置は、キャリア移載機に
着目してみると、機構自体もコストが高く、しかも広い
移動領域を占有している割には、稼動率が非常に低く、
コスト的にもレイアウト上からも効率が悪いという問題
点を有している。
【0013】本発明は、以上のような事情にもとづいて
なされたものであり、その目的は、縦型の熱処理炉を複
数用いる場合に装置全体の占有面積を狭くすることがで
き、また被処理体容器(キャリア)を効率よく活用する
ことのできる熱処理装置を提供することにある。
【0014】
【課題を解決するための手段】本発明は、縦型の熱処理
炉、被処理体ボート及び被処理体移載機を備えた熱処理
ユニット部と、この熱処理ユニット部に容器移載機の移
動領域を介して対向配置され、複数の被処理体を収納し
た容器が外部との間で搬出入される容器受け渡し部と、
前記容器移載機と熱処理ユニット部との間に配設された
被処理体受け渡し部と、この被処理体受け渡し部の上方
に設けられた容器収納部と、を有し、容器移載機により
容器受け渡し部、被処理体受け渡し部及び容器収納部の
間で容器の受け渡しを行うと共に、被処理体移載機によ
り、被処理体受け渡し部に置かれた容器と被処理体ボー
トとの間で被処理体の受け渡しを行う熱処理装置におい
て、前記熱処理ユニット部を複数横に並べて配置すると
共に、前記被処理体受け渡し部を各熱処理ユニット部毎
に設け、前記容器収納部を各被処理体受け渡し部の上方
領域に跨って配置して、各熱処理ユニット部に対して共
通に用い、前記容器移載機を、各熱処理ユニット部の並
びに沿って移動自在に構成して、各熱処理ユニット部に
対して共通に用いることを特徴とする。
【0015】
【作用】複数の熱処理ユニット部に対して容器収納部及
び容器移載機を共用するようにしており、容器収納部内
の容器に収納されている被処理体のうちダミー用被処理
体、補充用被処理体などは共用できるため、通常熱処理
ユニット部の開始、終了のタイミングは異なることが多
いことから、1つの熱処理ユニット部に対して補充用ウ
エハを収納する容器の数を多くすることができ、従って
製品ウエハを少数処理する場合にも対応できる。この結
果熱処理の処理能力からみれば、熱処理ユニット部を1
個のみ有する熱処理装置に比べて狭い占有面積でしかも
容器を効率的に活用することができる。
【0016】
【実施例】図1〜図3は本発明の実施例を示す図であ
り、この実施例では、装置本体30の奥側に、2個の熱
処理ユニット部3A、3Bが横に並べて(x方向に並べ
て)配置されている。これら熱処理ユニット部3A、3
Bは、図では見えない反応チューブの外にヒータを囲撓
して設けてなる縦型の熱処理炉31と、被処理体例えば
多数のウエハを上下に間隔を置いて搭載し、ボートエレ
ベータ32により熱処理炉31に対してウエハW(図2
参照)をロード、アンロードするためのウエハボート3
3と、このウエハボート33にウエハを着脱するため
の、例えば進退自在、昇降自在、回転自在な複数のピン
セットを備えたウエハ移載機34とを有してなる。なお
図1中35は、ウエハボート33とキャリアCとの間で
ウエハの移載が行われている間、熱処理炉31の下端開
口部を塞ぐための蓋体である。また熱処理ユニット部3
A、3Bの間には仕切り壁36が設置され、2つの熱処
理ユニット部3A、3Bの雰囲気を分離している。
【0017】前記熱処理ユニット部3A、3Bの手前側
には、ウエハボート33の下降位置とウエハ移載機34
を介して対向するように夫々ウエハ受け渡し部4A、4
Bが配設されており、このウエハ受け渡し部4A、4B
は、例えばウエハWを収納するキャリアCを3段置くこ
とができるように構成されている。
【0018】前記ウエハ受け渡し部4A、4Bの上方領
域には、各上方領域に跨ってキャリア収納部であるキャ
リア収納棚51が設けられており、このキャリア収納棚
51はキャリアCを5列、4段、最大数20個収納でき
るように構成されている。更に前記ウエハ受け渡し部4
A、4Bの間にも1列4段のキャリア収納棚52が設け
られている。図3では、ウエハ受け渡し部4A、4Bに
載置されたキャリアC及び下方側のキャリア収納棚52
に収納されたキャリアCを実線で、また上方側のキャリ
ア収納棚51に収納されたキャリアCを点線(ただしウ
エハ受け渡し部4AのキャリアCと重なるものもある)
で示している。
【0019】前記ウエハ受け渡し部4A、4B及びキャ
リア収納棚51、52に臨む位置には後述のキャリア移
載機7の移動領域が確保されており、この移動領域を挟
んで装置本体30の手前側にキャリア受け渡し部である
キャリアステージ6が設けられている。このキャリアス
テージ6は、ウエハを収納したキャリアが外部との間で
搬出入される入出力ポートの役割を果たす個所であり、
キャリアCのウエハ取り出し口が上に向いた状態つまり
ウエハが垂直な状態でキャリアCが例えば4個横に(x
方向に)並べて載置される。またこのキャリアステージ
6は図1では概念的に1枚のステージで描いてあるが、
実際には4つのキャリアCに対して夫々設けられ、この
キャリアステージ6には、載置されたキャリアCを図2
に示すように回動軸60のまわりに奥側に回動して横倒
しにする機構が組み合わせられており、キャリアCは横
倒しの状態で後述のキャリア移載機により移載されるこ
とになる。
【0020】前記キャリア移載機7は、x方向に移動自
在な支柱71に沿って昇降する昇降台72に、キャリア
Cを保持して移載するためのZ軸まわりに回動自在なア
ーム73を取り付けて構成される。
【0021】なおこの熱処理装置には、熱処理ユニット
部3A、3Bの壁部やキャリア収納棚61の背面側ある
いはキャリアステージ6の上方などにパーティクル除去
のためのエアフィルタ部F1〜F3が配設されている
(図2、図3参照)。
【0022】次に上述の装置の作用について説明する。
例えば一方の熱処理ユニット部3Aにて熱処理を行う場
合、キャリア移載機7によりキャリア収納棚51あるい
は52に収納されているウエハの入ったキャリアCをウ
エハ受け渡し部4Aに移載する。次いでウエハ移載機3
4により、ウエハ受け渡し部4Aに載置されたキャリア
CからウエハWを取り出してウエハボート33に1枚づ
つ、あるいはウエハ移載機34が複数枚のピンセットを
備えている場合には複数枚づつ移載される。
【0023】ここでキャリアC内には例えば最大25枚
のウエハが収納され、ウエハボート33には熱処理炉3
1内のガスの流れを均一にするために製品ウエハ、ダミ
ー用ウエハ、テスト用ウエハなどを含めて所定枚数例え
ば100枚のウエハWが搭載される。ウエハ受け渡し部
4AのキャリアCの収納数はこの例では3個であるか
ら、キャリアCが空になった後、キャリア移載機7によ
り当該キャリアCがキャリア収納棚51あるいは52に
移載されて、キャリア収納棚51あるいは52から次の
キャリアCがウエハ受け渡し部4Aに移載されることに
なるが、キャリアCの移載と、ウエハボート33へのウ
エハの受け渡しとは並行して行われる。
【0024】そしてウエハWがウエハボート33へ所定
枚数搭載された後ウエハボート33が上昇して熱処理炉
31内にロードされ、例えば酸化、拡散などの所定の熱
処理が行われる。しかる後ウエハボート33が下降して
ウエハWがアンロードされ、ウエハ移載機によりウエハ
ボート33からウエハ受け渡し部4AのキャリアCへウ
エハWが移載される。他方の熱処理ユニット部3Bによ
り熱処理を行う場合にも同様にして行われ、処理のタイ
ミングによっては両方の熱処理ユニット部3A、3Bの
ウエハ移載機34が稼働する場合もある。
【0025】また熱処理炉31にてウエハの熱処理が行
われている間や、あるいはウエハ移載機34により上述
のウエハの受け渡しが行われている場合であっても、必
要に応じて例えば既に熱処理済みの製品ウエハの入った
キャリアCをキャリア収納棚51または52からキャリ
ア移載機7によりキャリアステージ6に移載したり、あ
るいは外部からキャリアステージ6に搬入された処理前
のウエハの入ったキャリアCをキャリア収納棚51また
は52に移載する。キャリアCは、必ずしもキャリア収
納棚51、52を経由しなくてもよく、例えばキャリア
収納棚51、52が空になっている場合などにはキャリ
アステージ6とウエハ受け渡し部4A、4Bとの間でキ
ャリアCを移載してもよい。
【0026】ここでキャリア収納棚51、52及びキャ
リアステージ6は、2つの熱処理ユニット部4A、4B
に対して共用される。キャリア収納棚51、52には、
ダミー用ウエハ、テスト用ウエハ、及び補充用ウエハが
夫々収納されたキャリアCが用意されており、熱処理さ
れたテスト済みのウエハと、ダミー用ウエハ、補充用ウ
エハの入ったキャリアCについては共用される。従って
外部からキャリアステージ6に搬入された処理前のウエ
ハの枚数が所定枚数以下である場合には、キャリア収納
棚51または52に用意されているキャリアC内の補充
用ウエハが使用され、ウエハボート33には所定枚数を
搭載して熱処理ユニット部3Aまたは3Bにより熱処理
を行う。
【0027】このような実施例によれば、装置本体30
内に2個の熱処理ユニット部3A、3Bを横に並べて配
置し、これら熱処理ユニット部3A、3Bの手前側に
て、一方の熱処理ユニット部3Aの左端部から他方の熱
処理ユニット部3Bの右端部に亘ってキャリア収納棚5
1を配列しているため、熱処理ユニット部により決定さ
れる最小限の横幅を設計した場合、従来のように熱処理
ユニット部を個々に備えた装置を2台並べた場合に比較
してキャリア収納棚51の列数(1段に並ぶ数)を多く
することができ、しかも各ウエハ受け渡し部4A、4B
の間にもキャリア収納棚52を設置でき、この結果キャ
リア収納棚におけるキャリアの収納容量を大きくするこ
とができる。
【0028】そして熱処理ユニット部3A、3Bの熱処
理の開始、終了のタイミングは例えばシーケンスプログ
ラムにより異ならせることができるし、また熱処理の種
類が違う場合には通常異なる場合の方が多いので、1つ
の熱処理炉に対して、補充用ウエハを収納するキャリア
Cの数を多くすることができ、従って製品ウエハが少な
くても例えば1枚であっても当該1枚のウエハを熱処理
できるため、装置の自由度が大きい。更にまた製品ウエ
ハを収納するキャリアCの数も増やせるので大きなバッ
ファ機能が得られ熱処理装置の処理のタイミングと、外
部からのキャリアCの搬出入のタイミングが異なっても
待ち時間を少なくできるなどの利点もある。
【0029】そしてまたウエハ移載機7は熱処理ユニッ
ト部3A、3Bに対して共用されているため、熱処理ユ
ニット部3A、3B毎に設置する場合に比べてコストが
低減される。
【0030】以上において本発明では、図4に示すよう
に1つのウエハボート33を使用する熱処理ユニット部
3Cと、2つのウエハボート33a、33bを使用する
熱処理ユニット部3Dとを組み合わせてもよい。この例
では熱処理ユニット部3Dは、ウエハ移載機34により
ウエハをアクセス(ウエハの受け渡し)できる領域の中
でウエハボート33a、33bの夫々の載置位置を設定
しておき、これらの一方が熱処理炉31内にロードされ
ている間に他方に対してウエハをアクセスするように構
成される。また図4の実施例では、下段側のキャリア収
納棚52を例えば2列に構成している。なおこの場合上
段側のキャリア収納棚については例えば5列設けること
ができる。
【0031】以上において本発明は、熱処理ユニット部
の組み合わせの数は2個に限定されるものではなく3個
以上であってもよいし、熱処理の種類としては、酸化、
拡散、アニールまたはCVDなど種々の熱処理を対象と
することができ、更にまた被処理体としても半導体ウエ
ハ以外の例えばLCD基板であってもよい。
【0032】
【発明の効果】以上述べたように本発明によれば、熱処
理ユニット部を複数並べて配置し、容器収納部をこれら
熱処理ユニット部に対して共用する構成としているた
め、縦型の熱処理炉を複数用いる場合に装置全体の占有
面積を狭くすることができ、また被処理体容器を効率よ
く活用することができる。更に容器移載機を熱処理ユニ
ット部に対して共用しているため、熱処理能力からみれ
ばコスト的にも有利である。
【図面の簡単な説明】
【図1】本発明の実施例を示す概観斜視図である。
【図2】本発明の実施例を示す側面図である。
【図3】本発明の実施例を示す平面図である。
【図4】本発明の他の実施例を示す平面図である。
【図5】従来の熱処理装置を示す概観斜視図である。
【符号の説明】
3A、3B、3C、3D 熱処理ユニット部 31 熱処理炉 33 ウエハボート 34 ウエハ移載機 4A、4B ウエハ受け渡し部 51、52 キャリア収納棚 6 キャリアステージ 7 キャリア移載機 C キャリア W ウエハ

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 縦型の熱処理炉、被処理体ボート及び被
    処理体移載機を備えた熱処理ユニット部と、 この熱処理ユニット部に容器移載機の移動領域を介して
    対向配置され、複数の被処理体を収納した容器が外部と
    の間で搬出入される容器受け渡し部と、 前記容器移載機と熱処理ユニット部との間に配設された
    被処理体受け渡し部と、 この被処理体受け渡し部の上方に設けられた容器収納部
    と、 を有し、容器移載機により容器受け渡し部、被処理体受
    け渡し部及び容器収納部の間で容器の受け渡しを行うと
    共に、被処理体移載機により、被処理体受け渡し部に置
    かれた容器と被処理体ボートとの間で被処理体の受け渡
    しを行う熱処理装置において、 前記熱処理ユニット部を複数横に並べて配置すると共
    に、前記被処理体受け渡し部を各熱処理ユニット部毎に
    設け、 前記容器収納部を各被処理体受け渡し部の上方領域に跨
    って配置して、各熱処理ユニット部に対して共用し、 前記容器移載機を、各熱処理ユニット部の並びに沿って
    移動自在に構成して、各熱処理ユニット部に対して共用
    することを特徴とする熱処理装置。
JP04319993A 1993-02-08 1993-02-08 熱処理装置 Expired - Fee Related JP3258748B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP04319993A JP3258748B2 (ja) 1993-02-08 1993-02-08 熱処理装置
US08/191,487 US5464313A (en) 1993-02-08 1994-02-04 Heat treating apparatus
KR1019940002161A KR100233310B1 (ko) 1993-02-08 1994-02-05 열처리장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP04319993A JP3258748B2 (ja) 1993-02-08 1993-02-08 熱処理装置

Publications (2)

Publication Number Publication Date
JPH06236854A true JPH06236854A (ja) 1994-08-23
JP3258748B2 JP3258748B2 (ja) 2002-02-18

Family

ID=12657265

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04319993A Expired - Fee Related JP3258748B2 (ja) 1993-02-08 1993-02-08 熱処理装置

Country Status (3)

Country Link
US (1) US5464313A (ja)
JP (1) JP3258748B2 (ja)
KR (1) KR100233310B1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148540A (ja) * 1994-11-18 1996-06-07 M C Electron Kk ウェハー処理装置
JPH08340039A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 熱処理装置
JP2001298069A (ja) * 2000-03-16 2001-10-26 Applied Materials Inc カセットの保管および移動装置
JP2001520803A (ja) * 1997-04-14 2001-10-30 アシスト テクノロジーズ インコーポレイテッド 一貫生産型のベイ内バッファ・デリベリ・ストッカシステム
JP2002512446A (ja) * 1998-04-22 2002-04-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウエハ処理装置とともに使用するための自動化ウエハバッファ
JP2002532362A (ja) * 1998-12-18 2002-10-02 アシスト テクノロジーズ インコーポレイテッド 統合イントラベイ移送・貯蔵・配送システム
JP2009027187A (ja) * 1997-03-25 2009-02-05 Asm Internatl Nv カセットから炉へのウエーハ移送用のシステム及び方法
JP2013161799A (ja) * 2012-02-01 2013-08-19 Hitachi Kokusai Electric Inc 基板処理装置
KR101423377B1 (ko) * 2011-07-05 2014-07-24 다이닛뽕스크린 세이조오 가부시키가이샤 제어 장치, 기판 처리 방법, 기판 처리 시스템, 기판 처리 시스템의 운용 방법, 로드 포트 제어 장치 및 그것을 구비한 기판 처리 시스템
KR101426887B1 (ko) * 2011-11-02 2014-08-07 우범제 반도체 소자 제조용 수직형 확산로의 웨이퍼 로딩 시스템
JP2019114784A (ja) * 2017-12-20 2019-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11094572B2 (en) 2017-12-20 2021-08-17 Kokusai Electric Corporation Substrate processing apparatus and recording medium

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4309092C2 (de) * 1993-03-22 1998-11-12 Joachim Dr Scheerer Verfahren und Vorrichtung zur Handhabung und zum Transport von Wafern in Reinst-Räumen
AU2368495A (en) * 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
AU2429395A (en) * 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing systems
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
JP3239977B2 (ja) * 1994-05-12 2001-12-17 株式会社日立国際電気 半導体製造装置
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
JP3478364B2 (ja) * 1995-06-15 2003-12-15 株式会社日立国際電気 半導体製造装置
US5741109A (en) * 1995-07-07 1998-04-21 Pri Automation, Inc. Wafer transfer system having vertical lifting capability
US5647718A (en) * 1995-07-07 1997-07-15 Pri Automation, Inc. Straight line wafer transfer system
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5664926A (en) * 1995-07-11 1997-09-09 Progressive System Technologies, Inc. Stage assembly for a substrate processing system
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
US6471460B1 (en) * 1996-07-15 2002-10-29 Semitool, Inc. Apparatus for processing a microelectronic workpiece including a workpiece cassette inventory assembly
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
NL1005102C2 (nl) * 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JP3548373B2 (ja) * 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 基板処理装置
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6115645A (en) * 1997-08-18 2000-09-05 Credence Systems Corporation Semiconductor tester with remote debugging for handler
EP0899776A1 (de) * 1997-08-25 1999-03-03 Stäubli AG Pfäffikon Vorrichtung zur Zwischenlagerung von Wafern
WO1999013495A2 (en) * 1997-09-12 1999-03-18 Novus Corporation Sealed cabinet for storage of semiconductor wafers
US5948300A (en) * 1997-09-12 1999-09-07 Kokusai Bti Corporation Process tube with in-situ gas preheating
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
DE19921072A1 (de) * 1999-05-08 2000-11-09 Acr Automation In Cleanroom Einrichtung zum Handhaben von Substraten innerhalb und außerhalb eines Reinstarbeitsraumes
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6520727B1 (en) * 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6848876B2 (en) * 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6573198B2 (en) 2001-10-10 2003-06-03 Asm International N.V. Earthquake protection for semiconductor processing equipment
US7134826B2 (en) * 2001-11-28 2006-11-14 Dainippon Screen Mfg. Co., Ltd. Substrate transfer apparatus, substrate processing apparatus and holding table
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
KR100672634B1 (ko) * 2001-12-19 2007-02-09 엘지.필립스 엘시디 주식회사 액정표시소자의 기판 반송 장치 및 방법
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
CN1669892B (zh) * 2003-11-13 2011-11-16 应用材料股份有限公司 高速载入器相对于基片传送系统的校准
US6940047B2 (en) 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20070059153A1 (en) * 2005-09-14 2007-03-15 Applied Materials, Inc. Methods and apparatus for a transport lift assembly
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP4904995B2 (ja) * 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
JP4807579B2 (ja) * 2006-09-13 2011-11-02 株式会社ダイフク 基板収納設備及び基板処理設備
JP4756372B2 (ja) * 2006-09-13 2011-08-24 株式会社ダイフク 基板処理方法
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
JP5369419B2 (ja) * 2007-10-18 2013-12-18 村田機械株式会社 保管庫、保管庫セット及び保管庫付き搬送システム
JP2012004536A (ja) * 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101664186B1 (ko) * 2015-02-27 2016-10-12 국제엘렉트릭코리아 주식회사 기판 처리 장치, 기판 처리용 클러스터 설비 및 기판 처리 장치 운용 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102129837B1 (ko) * 2016-03-22 2020-07-03 야마하 모터 로보틱스 홀딩스 가부시키가이샤 기판 공급 유닛 및 본딩 장치
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR20220078725A (ko) * 2016-06-30 2022-06-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JP2639424B2 (ja) * 1988-12-29 1997-08-13 東京エレクトロン株式会社 搬送方法
JP2726903B2 (ja) * 1989-01-26 1998-03-11 東京エレクトロン株式会社 熱処理炉用基板保持具の搬送装置
FR2644567A1 (fr) * 1989-03-17 1990-09-21 Etudes Const Mecaniques Dispositif pour l'execution de traitements thermiques enchaines en continu sous vide
JPH0747821Y2 (ja) * 1989-05-25 1995-11-01 矢崎総業株式会社 パネルロック付コネクタ
JP2979230B2 (ja) * 1989-06-16 1999-11-15 東京エレクトロン株式会社 縦型熱処理装置
JP3069575B2 (ja) * 1990-03-09 2000-07-24 東京エレクトロン株式会社 縦型熱処理装置
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
JPH0797564B2 (ja) * 1990-02-21 1995-10-18 国際電気株式会社 縦型半導体製造装置
JP2668024B2 (ja) * 1990-02-26 1997-10-27 東京エレクトロン株式会社 縦型熱処理装置
US5203445A (en) * 1990-03-17 1993-04-20 Tokyo Electron Sagami Limited Carrier conveying apparatus
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5221201A (en) * 1990-07-27 1993-06-22 Tokyo Electron Sagami Limited Vertical heat treatment apparatus
US5284412A (en) * 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
US5181819A (en) * 1990-10-09 1993-01-26 Tokyo Electron Sagami Limited Apparatus for processing semiconductors
US5163832A (en) * 1990-10-30 1992-11-17 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
JP3149206B2 (ja) * 1991-05-30 2001-03-26 東京エレクトロン株式会社 熱処理装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148540A (ja) * 1994-11-18 1996-06-07 M C Electron Kk ウェハー処理装置
JPH08340039A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 熱処理装置
JP2009027187A (ja) * 1997-03-25 2009-02-05 Asm Internatl Nv カセットから炉へのウエーハ移送用のシステム及び方法
JP2001520803A (ja) * 1997-04-14 2001-10-30 アシスト テクノロジーズ インコーポレイテッド 一貫生産型のベイ内バッファ・デリベリ・ストッカシステム
JP2002512446A (ja) * 1998-04-22 2002-04-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウエハ処理装置とともに使用するための自動化ウエハバッファ
JP2002532362A (ja) * 1998-12-18 2002-10-02 アシスト テクノロジーズ インコーポレイテッド 統合イントラベイ移送・貯蔵・配送システム
JP2001298069A (ja) * 2000-03-16 2001-10-26 Applied Materials Inc カセットの保管および移動装置
KR101423377B1 (ko) * 2011-07-05 2014-07-24 다이닛뽕스크린 세이조오 가부시키가이샤 제어 장치, 기판 처리 방법, 기판 처리 시스템, 기판 처리 시스템의 운용 방법, 로드 포트 제어 장치 및 그것을 구비한 기판 처리 시스템
US8868233B2 (en) 2011-07-05 2014-10-21 Dainippon Screen Mfg. Co., Ltd. Control apparatus, a substrate treating method, a substrate treating system, a method of operating a substrate treating system, a load port control apparatus, and a substrate treating system having the load port control apparatus
KR101426887B1 (ko) * 2011-11-02 2014-08-07 우범제 반도체 소자 제조용 수직형 확산로의 웨이퍼 로딩 시스템
JP2013161799A (ja) * 2012-02-01 2013-08-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2019114784A (ja) * 2017-12-20 2019-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP2020145440A (ja) * 2017-12-20 2020-09-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11094572B2 (en) 2017-12-20 2021-08-17 Kokusai Electric Corporation Substrate processing apparatus and recording medium

Also Published As

Publication number Publication date
KR940020511A (ko) 1994-09-16
KR100233310B1 (ko) 1999-12-01
US5464313A (en) 1995-11-07
JP3258748B2 (ja) 2002-02-18

Similar Documents

Publication Publication Date Title
JPH06236854A (ja) 熱処理装置
US8177550B2 (en) Vertical heat treatment apparatus and method for operating the same
JP4353450B2 (ja) ウエハ処理装置とともに使用するための自動化ウエハバッファ
US6493961B2 (en) Processing unit for a substrate
US6607602B1 (en) Device for processing semiconductor wafers
TWI379378B (ja)
US5178639A (en) Vertical heat-treating apparatus
KR100691652B1 (ko) 기판처리장치
US20070274811A1 (en) Substrate transfer apparatus, substrate process system, and substrate transfer method
JPH0831909A (ja) 半導体製造装置及び該装置に於けるウェーハ搬送方法
JP7105751B2 (ja) 処理装置
WO2020059574A1 (ja) 真空処理装置及び基板搬送方法
WO2006003805A1 (ja) 縦型熱処理装置及びその運用方法
KR102452122B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP2004018215A (ja) フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
JPH07161656A (ja) 熱処理装置
JP5280901B2 (ja) 基板処理システムおよび基板処理方法
JPH10189685A (ja) 基板処理装置
JP5090291B2 (ja) 基板処理装置
JP5355808B2 (ja) 基板処理システム
JP4456727B2 (ja) 半導体装置の製造方法および基板処理装置
US20240160118A1 (en) Substrate processing apparatus
JP2645357B2 (ja) 処理装置
JP2024056419A (ja) 基板処理装置
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101207

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121207

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees