JP5409063B2 - 真空処理装置 - Google Patents

真空処理装置 Download PDF

Info

Publication number
JP5409063B2
JP5409063B2 JP2009067234A JP2009067234A JP5409063B2 JP 5409063 B2 JP5409063 B2 JP 5409063B2 JP 2009067234 A JP2009067234 A JP 2009067234A JP 2009067234 A JP2009067234 A JP 2009067234A JP 5409063 B2 JP5409063 B2 JP 5409063B2
Authority
JP
Japan
Prior art keywords
processing
sample
vacuum
wafer
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009067234A
Other languages
English (en)
Other versions
JP2010093227A5 (ja
JP2010093227A (ja
Inventor
伸吾 木村
昌司 沖口
昭 鹿子嶋
慎司 小濱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2009067234A priority Critical patent/JP5409063B2/ja
Publication of JP2010093227A publication Critical patent/JP2010093227A/ja
Publication of JP2010093227A5 publication Critical patent/JP2010093227A5/ja
Application granted granted Critical
Publication of JP5409063B2 publication Critical patent/JP5409063B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、真空容器内部の処理室内で処理対象の半導体ウェハ等の基板状の試料を処理する真空処理装置に係り、一般的にクラスターツールと呼ばれる搬送系ユニットに対して複数の真空処理容器(プロセスユニット)を有して複数のウェハの処理を行うことができ生産性を向上させた真空処理装置に関する。
上記のような半導体ウェハを処理して半導体デバイスを製造する半導体製造装置は、プロセスユニットと呼ばれる処理室を内部に有した真空容器,排気装置及びプラズマ形成装置を備えた処理ユニットを複数具備して、半導体ウェハ等の基板状の試料(以下ウェハとする)をその処理室内で形成したプラズマを用いて処理するものである。このような半導体製造装置においては、低コスト化,生産性向上が求められており、特に単位時間当たりのウェハ処理枚数を向上させて装置一台あたりの処理の効率を高くすることが重要な課題となっている。
上記のような半導体製造装置は、ウェハを所定の枚数、例えば25枚収納するカセットが装置の前面側に装着され、このカセット内から搬送用のロボットがウェハを1枚ずつ取り出してロック室に搬送した後、真空排気された減圧されたロック室から減圧された搬送用の経路を介して処理を行ういずれかの各プロセスユニットの真空容器内の処理室に搬入されて処理が行われる。この後、処理が終わると、再度、搬出されて、搬入時と逆方向の経路を通りロック室を介して大気圧下に戻される。その後、搬送ロボットにより、搬出されたときと同じカセットの同じ位置に戻される。これが半導体製造装置のウェハを処理する際の一般的な動作の順序である。
このような動作の順序は、1つのカセットに対し複数のプロセスユニットが割り当てられる場合や、1つのカセットに対し1つのプロセスユニットが割り当てられる場合、また、複数のカセットに対し複数のプロセスユニットが同時に割り当てられる場合等の動作パターンが存在し、更に、プロセスユニットを複数に渡って処理を行う運用や、事前にプロセスユニットのコンディションを整える処理を行う場合等もあり、複雑且つ多様な動作パターンを持つことになる。
このような半導体製造装置の技術の例はとしては、特開2006−190894号公報(特許文献1),特開2007−129177号公報(特許文献2)が知られている。
特許文献1では、複数の処理室(プロセスモジュール)とこれらを連結した搬送機構を備えたクラスターツールであって、搬送機構が試料に対し複数の処理室で順次行われる処理に応じて試料を各処理室に搬入出していく際に、処理前の試料と処理後の試料とを入れ換えていくことで、全体の搬送効率を向上するものが開示されている。
特許文献2では、複数の処理室(プロセスモジュール)とこれらを連結した搬送機構を備えたクラスターツールであって、複数の処理室のコンディショニングがすべて完了するのを待って試料搬送を開始するのではなく、コンディショニングの完了した処理室から順次試料の搬送を開始し、全体の搬送効率を向上するものが開示されている。
特開2006−190894号公報 特開2007−129177号公報
上記従来の技術は、次の点について考慮が不十分であり問題が生じていた。
すなわち、上記のような真空処理装置において、複数の処理室は、通常、内部が1つの真空搬送用の空間となった真空搬送容器の周囲にその側壁同士を対向させて連結されている。このため、真空搬送容器内の真空搬送用の搬送系ユニット或いは搬送装置は、各処理用のプロセスユニット共通に用いられ、いわば共有されている。このような装置においては、各プロセスユニットの処理室での処理の終了時間によってその次の動作の時刻が大きく影響を受ける。
このため、例えば、搬送される準備が整ったウェハから順次搬送を行うように搬送装置の動作の順序を決めると、複数の処理室で同時若しくは他のウェハの搬送途中に、並列して他の処理室での搬送準備が整った場合には、一方の処理室での搬送を終えてから次に他方の処理室の搬送に移る若しくはその動作途中の搬送を終わらせて後に次の搬送動作に移る等、複数の搬送可能となったウェハを順次搬送することになるため、少なくとも一方に待ち時間を生じさせ、これは最大で搬送時間1回分のウェハ搬送に要するだけの時間を発生させることになる。
このような待ち時間を低減するために特許文献1では、モジュールサイクル時間を定義して、さらには、ウェハを搬送する搬送ロボットは処理済のウェハ及び未処理のウェハを1回のアクセスでピック&プレースする構成を開示している。しかし、この従来技術では待ち時間の発生するタイミングは調節しようとしておらず、また、このために待ち時間は不定期に発生し、積み重なることによって、単位時間当たりの処理枚数を大幅に低下させてしまうという問題点について十分に考慮されていなかった。
また、上記従来技術では、時間固定処理以外の場合は各処理室での処理時間,搬送にかかる時間が未確定であったために、実際に処理や搬送動作が完了したことを確認若しくは完了信号を受け取ってから次の動作に移行していた。このため、全て処理や動作した結果に基づいた出来高方式で次の処理や搬送などのタイミングが決まってくるため、事前のスケジューリングを行うことが不可能で、例えスケジューリングできたとしても、精々、どのウェハをどの処理室で処理するか程度のスケジューリングだった。
このように上記の従来技術では、効率のよい装置運用ができているとは言い難く、装置の生産性(スループット)を損なっていた。
本発明の目的は、生産性を向上させた真空処理装置を提供することにある。
上記目的は、減圧された処理室内側に配置された試料がこの処理室内に形成されたプラズマを用いて処理される複数の真空処理容器と、これら真空処理容器がその周囲に連結されその内部に減圧されて前記試料が搬送される搬送室を有する真空搬送ユニットと、この真空搬送ユニットと連結され前記試料がその内部に配置された状態でこの内部を大気圧及び減圧された圧力に調節可能であって真空側と大気側とで前記試料がやりとりされる複数のロック室と、前記搬送室内に配置され前記ロック室と前記複数の真空処理容器内の処理室との間で前記試料を搬送する真空搬送手段と、前記ロック室の大気側に連結され内部に大気圧下で前記試料を搬送する空間を有した大気搬送容器と、この大気搬送容器内の空間に配置され前面側に装着される前記試料を収納するカセットから前記試料を搬送する大気搬送手段と、複数の前記試料の各々を順次前記カセットから搬出して何れかの前記処理室に搬送して該処理室内で処理した後にこの処理室から搬出して前記カセットに戻すまでの前記試料の操作を調節する制御装置とを備えた真空処理装置であって、複数の前記処理室は搬送された前記試料に同じ処理を実施するものであり、前記試料の操作は、前記試料を前記カセットから前記複数のロック室の何れかに搬送する動作、前記試料を前記ロック室に収納した状態で前記減圧された圧力まで減圧する動作、前記試料をロック室からいずれかの前記処理室に搬送する動作、前記処理室内に搬送された試料をこの処理室内で処理する動作、該処理室から処理された前記試料をいずれかの前記ロック室に搬送する動作、前記試料をロック室内に収納した状態で前記大気圧まで昇圧する動作、及び前記試料を元の前記カセットに戻す動作を含む複数の動作から構成され、複数の前記試料各々について順次実施される前記操作は各々の前記複数の動作の順序および当該複数の動作の各動作毎の割当時間が前記複数の試料同士の間で予め等しいものに設定されると共に、これら複数の動作の前記割当時間の各々は当該動作に実際に要する最小の期間とこの期間の前または後に所定の猶予の時間が追加されたものであって、これらの予め設定された前記複数の動作の情報に基づいて前記制御装置が前記操作を調節することにより達成される。
また、複数の前記試料の各々について順次実施される前記操作の各々は、前記複数の動作のうち前記試料をロック室からいずれかの前記処理室に搬送する動作または前記処理室内に搬送された試料をこの処理室内で処理する動作の前に前記試料を滞留させる時間を有し、この滞留させる時間が前記複数の試料に対する前記操作の各々同士の間で予め共通に設定されたことにより達成される。
さらにまた、前記制御装置は前記試料を前記カセットから搬出して前記処理室で処理された後に前記カセットに戻すまでの動作毎の時間を積算して算出する機能及び前記カセットから搬出されて前記処理室で処理された後に前記カセットに戻すまでの複数の動作のうちの律速となる動作を検出する機能を備えたことにより達成される。
さらにまた、前記制御装置は、予め記憶された前記試料を前記カセットから搬出して前記処理室で処理された後に前記カセットに戻す各動作毎の時間および前記滞留時間の情報を用いてこれら動作および前記滞留時間の複数の順序または組合せにおける所定の枚数の前記試料の操作に要する時間が最小となるものを動作の予定情報として選択することにより達成される。
本発明の実施例に係る真空処理装置の構成の概略を示す上面図である。 従来の技術の真空処理装置において、ウェハを所定の枚数連続的に処理した場合の時間の変化に対する各ウェハ毎の動作の流れを示したチャートである。 従来技術において待ち時間の発生によりウェハの総処理時間が増大している状態を模式的に表したグラフである。 本実施例に係る真空処理装置により複数枚のウェハを処理した際のウェハの総処理時間の変化を模式的に表したグラフである。 図4に示す事前スケジュールにより図1に示す真空処理装置を稼動させた際の各動作の流れを示すチャートである。 本発明の真空処理装置と同じ数の大気側及び真空側の搬送装置及びプロセスユニットを備えた従来の技術の真空処理装置において上記並列動作を行った場合の処理の動作の流れを示すチャートである。 本発明の別の実施例に係る真空処理装置の動作の流れを示すチャートである。 図7に示す変形例に係る真空処理装置の動作の流れを示すチャートである。
本発明の実施の形態を以下図面を用いて説明する。
〔実施例〕
本発明は、搬送律速域でのスループット向上を目的とし、処理室(プロセスユニット)での処理時間が十分に短い場合において、ウェハ1枚の処理に掛かる工程すべてを、事前にスケジューリングすることで、各処理/各動作のタイミングによって左右されない安定したスループットを実現できる。一般的にクラスターツールと呼ばれる、搬送系ユニット1つに対し、複数の処理室(プロセスユニット)で構成されるマルチチャンバシステムでは、処理室での処理時間が十分に短い場合、搬送系システムの動作(搬送にかかる時間)によってスループットが決まる領域がある。これは、複数の処理室の単位時間当たりの処理能力が、処理時間が短いために、ウェハ1枚当たりに掛かる搬送時間を追い越してしまうために起こる現象であり、これを搬送律速域として考えるが、本発明を利用することで、この搬送の律速域でのスループットが向上し、安定した生産能力が見込める装置となる。以下、本発明の実施例を図1乃至図5を参照しながら説明する。
図1は、本発明の実施例に係る真空処理装置の構成の概略を示す上面図である。この実施例において、真空処理装置は、一般的にクラスターツールと呼ばれる、中央部に配置された搬送系ユニット1つに対し、その外周側に配置されてこれと連結された内部に処理室を備えた真空処理容器及び真空排気装置,プラズマ形成装置を備えた処理用ユニット(プロセスユニット)の複数を備えて構成されるマルチチャンバシステムである。
さらに、本実施例の真空処理装置は、その前面側(図上下方側)はウェハが内部に所定枚数収納されたカセットが搬送される搬送路となっており、この搬送路に面して真空環境下でウェハを搬送する真空搬送ユニット141及びこれの側面に連結された4つのプロセスユニット101a〜101dの前面側に複数のロードポート111を備えた大気側ブロックを構成するEFEM121を備えている。さらに、搬送系ユニットは、このEFEM121とその背面において連結され真空及び大気圧との間でウェハの搬入出するために用いられるロック室131を備えている。
本実施例のEFEM121の前面側には3つのロードポート111が配置され各ロードポート111は、ウェハを収納したカセットを設置するためのものであって前後に動作するステージを前面側に備え、カセットの蓋を開閉するためのチャッキング機構とその蓋を下方に収納するための上下機構備えている。また、その蓋の上下機構には、カセット内に収納されているウェハの有無/枚数をカウントするためのセンシング機能も有している。
EFEM121は、内部にウェハがカセットとロードポート111との間で搬送される空間である搬送室を備えた略直方体形状の大気搬送用の容器を備えている。また、この大気圧の搬送室の異物低減を目的として容器内に下向きの気流を形成するためのファン及び異物捕捉用のフィルターを備えており、搬送室を異物の少ない周囲環境より少しだけ圧力の高い空間としている。大気搬送用の搬送室内にはウェハを搬送するために大気搬送ロボット122を、容器内にはアライナー123を備えている。アライナー123は、カセットに収納されていたウェハの位置ずれと、ノッチと呼ばれるウェハの外周にある切り欠きの角度合わせを行う機構であり、これにより、ウェハ中心を割り出し、位置ずれの少ない、精度の良いウェハ搬送を実現できる。
ロードポート111上のカセット内部からのウェハ搬出、アライナー123からのウェハ搬出は、大気搬送ロボット122に行われ、アライナー123から取り出された位置決めされた後のウェハはロック室131内部に搬入される。大気搬送ロボット122は、EFEM121内の空間で前面側に沿って延在するレールに沿って左右方向に移動できるだけでなく、EFFEM121の図上左端のアライナー123、カセット或いはロック室131にウェハを送達できるだけの距離を上下左右に移動可能に構成されている。
ロック室131は、EFEM121背面と真空搬送ユニット141を構成する真空容器の前方側(図上下方側)の側面との間に挟まれた位置に複数が配置されている。本実施例のロック室131は、各々が大気圧及び真空との間で圧力を変化させることができ、内部にウェハ格納して大気圧から真空に(ロード)或いは真空から大気圧に(アンロード)にすることのいずれも用いることができる。
各ロック室131は、その両方側の内部の空間に対して気密に閉塞或いは開放を行うためのバルブとその駆動装置を有するゲートバルブ機構と、真空排気を行うための排気装置と、大気圧に戻すためのベンチレーション装置とを備えている。ロック室131がウェハを真空側にロードする場合には、先ず、EFEM121の側(大気側)に配置されたゲートバルブを開き大気搬送ロボット122が内部に進入できるようにした後、アライナー123で位置決めが終了したウェハを大気搬送ロボット122が内部に搬入する。ロック室131内に配置された試料台上にウェハが受け渡された後、大気搬送ロボット122が退出し大気側のゲートバルブが気密に閉じられる。さらに、排気装置を使って室内が目標の真空度の圧力に到達するまで真空排気を行う。真空排気が完了したと判断されると、真空搬送ユニット141側のゲートバルブが開放され真空搬送ユニット141に具備された真空搬送ロボット142が内部に進入できる準備をする。
このようにロック室131は、大気圧から真空排気(減圧)を行い、ウェハを真空環境にある真空搬送ユニット141へ移送するための準備を行う。また、このロック室131は、真空環境にあるウェハを真空搬送ユニット141から大気圧環境に搬出するためのベンチレーション機能も有しており、ウェハの流れによって、どちらかの動作を行うユニットである。
真空搬送ユニット141は、内部にウェハを真空圧下で搬送するための空間である搬送室を有する真空容器と、この搬送室の真空排気を行うための排気装置と、搬送室内部のほぼ中央部に配置され真空環境下でのウェハ搬送を行うための真空搬送ロボット142を有している。本実施例では、真空搬送ユニット141の真空容器は、その上方から見た平面形状が略多角形(本実施例では特に六角形)状に構成され、その周囲にプロセスユニットa〜d及び2つのロック室131が真空搬送ユニット141と着脱可能に配置されている。すなわち、各々の辺を構成する側面はプロセスユニット101a〜101d及び2つのロック室131と着脱可能に連結され、連結された状態で搬送室とプロセスユニット101a〜101dの処理室及び2つのロック室131内側の室とは各々ウェハが通過可能な形状を有するゲートにより連通されている。
この真空搬送ロボット142が、ゲートバルブを開いた状態のロック室131に進入し、ウェハをロック室131内の試料台との間で授受する。真空搬送ロボット142は、ウェハを受け取った後、搬送室内にウェハを保持した状態で収縮し、目標となるプロセスユニット101a〜101dのいずれかの処理室に向かって旋回してその処理室と搬送室とを連通するゲートに対向した位置で旋回を停止し、伸張して処理室内にウェハを搬入する。
本実施例では、ウェハ受け取りの準備が整った処理室から順番にウェハが搬送される。なお、真空搬送ユニット14と各プロセスユニット101a〜101dの間は、ゲートを気密に開閉して処理室内を閉塞/開放するゲートバルブが配置されており、ウェハの処理室への搬入,搬出の際には開放状態となる。ウェハが搬入された処理室では、ゲートバルブを閉塞後予め決められた処理レシピによってウェハが処理され、処理が終了後搬入時とは逆の手順で真空搬送ロボット142がウェハを搬出する。
真空搬送ロボット142は、処理後のウェハを受け取った後は、搬入してきたときとは逆の手順で処理室からウェハを搬出し次の目標の室に向かって搬送する。このとき、次の処理を違う処理室で行う場合には、その目的とする処理室に旋回し、そのまま搬出する場合には、準備の整っているロック室131に向けて旋回する。本実施例の真空処理装置は、2つのロック室131の内、一方をロード用、他方をアンロード用に限定してウェハの処理を行うことも、いずれも両方の動作に使用することも、いずれも選択可能に構成されている。前者ではロック室131をウェハがロード/アンロードの一方向にのみ使用されるためロック室131内で処理に使用されるガスにより処理前のウェハが汚染されることが抑制される。また、後者では、ロック室131でのスループットを向上させることができる。
処理後のウェハが真空搬送ロボット142により搬送され内部の試料台上に載せられた後、ロック室131の真空搬送ユニット141側のゲートバルブが閉塞された後室内を真空環境下から大気圧に戻すためベンチレーションを行われる。ベンチレーションにはN2(乾燥窒素)が用いられ、N2を決められた速度で室内に導入し徐々に大気圧にする。大気圧になったロック室131は、大気側(EFEM121側)のゲートバルブが開放され、内部に進入した大気搬送ロボット122にウェハが受け渡されウェハが搬出された後、大気側のゲートバルブが閉塞される。
この後、次のウェハのアンロードのための再度の減圧の動作、あるいはウェハのカセットへの再収納の動作は並列して行っても良い。処理後のウェハを受け取った大気搬送ロボット122は、そのウェハが処理前に収納されていたカセットまで移動し、そのウェハが処理前に収納されていた位置に戻して1枚のウェハに対する一連の処理が終了する。
上記のような一連の処理を実施した場合、全ての動作や処理が連動または同期をとって動いている訳ではなく、必ずそこに生産性を疎外する、要するにその部分で真空処理装置全体の生産の効率、即ちスループットを決める律速ポイントが発生する。図1で示した装置の構成を例にとると、ウェハを前の室,ユニット或いは装置から受け取り次のユニット等に受け渡すという処理の単位時間当たりの量(能力)という観点で括れば、EFEM121の能力,ロック室131の能力,真空搬送ユニットの能力,プロセスユニット101a〜101dの処理の能力の4つに分割して考えることができる。この4つの処理の能力のうち、最も処理の能力が低い箇所が、真空処理装置全体の処理の能力、即ち生産性を決めることとなる。これは、カセットからどのルートを経由して、どのプロセスユニットで処理させた後どのルートで元のカセットに戻すかというその時々の運用の方法によって、真空搬送ユニット141,ロック室131等に変化する。
本実施例では、各プロセスユニット101a〜101dがウェハを処理する時間は、大気搬送ロボット122によるカセットからアライナー123を介しロック室131の何れかへウェハを搬送するに要する時間(大気搬送時間),ロック室131のいずれかに収納されて密封された内部の圧力が昇降されて内部が開放されるまでの時間(ロック室内時間),真空搬送ロボット142によりプロセスユニット101a〜101dの何れかとロック室131のいずれかとの間を搬送される時間(真空搬送時間)のいずれよりも大きなものとなっている。つまり、プロセスユニット101a〜101dの内部でエッチング処理が行われる場合、各プロセスユニットのエッチング処理能力は、大気搬送ロボット122,ロック室131,真空搬送ロボット142の動作によって得られる搬送能力よりも小さいものとなっている。このような真空処理装置のウェハの処理では、プロセスユニットの処理が真空処理装置全体の効率,スループットや生産の効率に対する律速の動作となっていると言える。
しかしながら、近年の半導体デバイスの高集積化に伴って、加工する半導体ウェハの表面に形成される処理対象の膜の厚さも小さくなっており、このためプロセスユニット101a〜101dでウェハに施される処理の時間も小さくなっている。
つまり、エッチング処理の時間が小さくなることによる真空処理装置のスループットが向上するが、その一方で処理に要する時間が短縮されていくと搬送に要する時間に近接して、真空処理装置の動作の効率やスループットに対する律速の動作がエッチング処理から搬送に変化することになる。
このような真空処理装置では、スループットや生産の効率を向上するために律速となる搬送の動作を適切に調節することが求められる。本実施例では、真空処理装置が行う搬送に係る各動作を処理前に予め開始,終了を定める(事前スケジューリング)を行って、生産性や効率を向上させている。
図2は、従来の技術の真空処理装置において、ウェハを所定の枚数、例えば25枚連続的に処理した場合の時間の変化に対する各ウェハ毎の動作の流れを示したチャートである。特に本実施例は、ウェハを25枚収納したカセットがロードポート111に設置され、その25枚をPU1からPU4までのプロセスユニット101a〜101dを使って同じレシピで順番に処理を行う運転を実行し、その際の真空処理装置のウェハの搬送の動作及びウェハが所定時間滞留している各箇所の動作の状況を、各動作毎に色分けしてガントチャートと呼ばれるフローに表したものである。1枚のウェハのカセット→処理室→カセットの移動に伴う動作の流れを2段に渡って表示しているのは、並行した動作となって重なって見える動作を、表示の便宜上、下の段に表示したものである。
この図2より、各ウェハの処理の最初の動作、即ち、カセット内から大気搬送ロボット122によりウェハが取り出されるところから、そのウェハの最終の処理、即ち、元あったカセットの位置に大気搬送ロボット122で戻されるまでの間に、数回に渡って、上記動作のいずれもが行われていない時間(以下、待ち時間)201が存在することである。
この待ち時間201は、その動作が完了しても、直ぐに次の動作に移行することができず、その位置でウェハが滞留しており、次の動作まで待たされていることを意味する。発明者らの検討によれば、この待ち時間が発生する要因は、搬送のスケジュールが組み立てられてないことによるもので、ウェハが保持されている各箇所(ステーション)、例えばアライナー123での位置合わせ、ロック室131でのウェハ授受のための準備の動作(例えばベンチレーション),ロック室131でのウェハを真空搬送ユニット141側へ搬出するための準備の動作(例えば真空排気)等の完了時間と、大気搬送ロボット122や真空搬送ロボット142の動作のバランスが崩れてしまい、各ステーションにおいてウェハを受け渡す準備が整っているにも関わらず、各ステーション間でウェハを搬送するための大気搬送ロボット122や真空搬送ロボット142が他の処理に追われ、直ちに対応できない状況になっていることに起因している。
しかも、この待ち時間201は非計画的に不定期に発生するため、ウェハ1枚あたりの総処理時間202が少しずつ増加していっている。次にこのウェハ1枚あたりの総処理時間202が少しずつ増加していく場合の影響を説明する。
図3は、従来技術において待ち時間の発生によりウェハの総処理時間が増大している状態を模式的に表したグラフである。本図においては、ウェハ1枚あたりの全工程を4つのブロックに見立てている。最初は、4つの工程がすべて待ち時間なく処理が行われているが、次の2枚目では最初の1ブロック分の処理が終わった後、待ち時間が発生して1ブロック分の空白時間が入ったとする。2枚目の処理は、その1ブロック分の空白時間、即ち1ブロック分の待ち時間で済んだが、次の3枚目では、同じタイミングで1ブロック分の待ち時間が発生し、更には、処理の後半で1ブロック分の待ち時間が発生したとする。3枚目は全工程4ブロックのうちで、2ブロック分の待ち時間が間に入り、合計6ブロック分となった。こうして順次処理を重ねていき、8枚目の処理まで完了した時点で、8枚目までの総時間301は、14ブロック分となる。
図4は、本実施例に係る真空処理装置により複数枚のウェハを処理した際のウェハの総処理時間の変化を模式的に表したグラフである。本図は、ウェハ1枚あたりの全工程を4つのブロックに見立てているのは図3と同じであるが、本実施例の真空処理装置は、予め各動作及び待ち時間の開始時刻及び終了時刻,タイミングをスケジュールとしてタイムテーブル上に配置して設定して、一番適正な位置に待ち時間を意図的に作り出してやり、その分は最初からウェハ1枚あたりの全工程として盛り込んでいる。
本図の例では、その一番適切な位置が、3ブロック目と4ブロック目の間にあったと仮定して記述しているが、場合によっては、1ブロック目と2ブロック目,2ブロック目と3ブロック目の間に待ち時間を設定する場合が適切な場合もでてくる。ここで言う適正な位置での待ち時間設置とは、最初のウェハから最後のウェハまでのウェハ1枚あたりの処理時間(全工程)が全て同じ時間で終わるように、タイムテーブル上でスケジューリングを行うことである。このような方法でスケジューリングを実施した場合、1枚あたりの全工程の総合計は5ブロック分になるが、それが規則正しく8枚目まで処理が進んでいった場合の8枚目までの総時間401は12ブロック分となり、最初に図3で示した処理方法に比べ、2ブロック分短縮できることがわかった。この短縮分は真空処理装置の生産性(スループット)向上を示すものである。
本実施例の真空処理装置は、1枚のウェハの処理の流れにおける動作、つまりカセットからの搬出からこのカセットの元の位置への戻される搬入までの真空処理装置の各動作の時間、例えばロック室131でのベンチレーションに要する時間、大気搬送ロボット122による1アクションに掛かる時間、アライナー123でウェハの位置決めに要する時間、真空搬送ロボット142の1アクションに掛かる時間等の情報を処理の対象の所定枚数のウェハの処理前にデータとして制御装置144が通信手段を介して利用可能に具備されており、事前スケジューリングは制御装置144内に配置された演算装置によりこれらの情報を用いて行われる。制御装置144の演算装置は通信手段を介して記憶装置内に記録されたこのような情報をデータとして読み出して演算装置内または制御装置144内の別の記憶装置内に複製して記憶する。
また、製品を製造するためのウェハを処理する前に予め少なくとも1枚の別のウェハを用いて本実施例の真空処理装置で処理を行い、このような情報のデータを測定して記録してもよい。本実施例の真空処理装置は、この記録のため動作を検知するセンサ等の検知装置を備えまた制御装置144は内部に検知装置からの出力を受信するインターフェースと時間を計測する計時装置を備えている。
このような情報のデータには、各プロセスユニット101a〜101dの処理室内でウェハに対して施されるプラズマを用いた処理に用いられるガスの種類,圧力,時間等の処理の条件の情報も含まれている。このようなデータは真空処理装置が設置されるクリーンルーム等の建屋での複数の真空処理装置の各カセットの処理や搬送の順序を調節するホストコンピュータとの通信手段143を介して入手される。このように本実施例の真空処理装置は、制御装置144内または遠隔した箇所に記憶したデータを用いてタイムテーブル上で各動作の開始または終了時刻とその順序、組み合わせ及びこれらの動作の後または前に特定の待ち時間を配置して各ウェハ1枚あたりの処理時間及び所定の枚数(例えば、1ロットや25枚)のウェハの処理時間を算出する。
本実施例でスケジュールされる各動作の開始,終了時刻の間隔の時間は、実際に真空処理装置の各動作に要する時間に所定の間だけ猶予を追加したものとなっている。このように動作の時刻,時間を定めることで、各ウェハの処理の際の異常や各機器毎の動作の差やバラツキを吸収して各ウェハの処理毎で動作を同期させることができる。
さらに、制御装置144は、上記動作及び待ち時間の順列、組み合わせの複数例各々についてこれらの開始,終了時刻を用いて各処理時間を算出し所定枚数のウェハの処理時間が最も短くなるとなるものを実行する動作の予定として選択し、これを設定スケジュールとして設定し内部の記憶装置内に記憶する。さらに、動作の予定情報である設定スケジュールの情報に基づいて真空処理装置の各部に各駆動部へ指令を発信しその動作を調節する。この事前スケジューリングにおいては、先に説明したような、どのポイントで生産性、即ちスループットを律速しているかが事前に把握できていることも重要で、その律速ポイントが判った上で、そのポイントを最も効率よく動作させるように、スケジューリングを行うような機能を持たせてある。
次に図5を用いて設定スケジュールについて説明する。図5は、図4に示す事前スケジュールにより図1に示す真空処理装置を稼動させた際の各動作の流れを示すチャートである。チャートの角柱のブロックの各々が真空処理装置の動作の各々を示している。
本実施例の事前スケジュールは、2つのロック室131はその動作を一方がロードに他方がアンロードに限定された処理について示している。つまり、本図において、各ウェハの処理の動作の流れにおいて2回ロック室131が使用され、ロック室131の一方は処理前のウェハが大気側から受け渡されて収納した状態で内部が大気圧から真空圧に減圧されて後処理室に搬送され、他方が処理後のウェハが処理室から搬送されて収納した状態で内部が真空圧から大気圧に昇圧された後に大気側に受け渡される。これら大気側から真空側へのウェハの搬送(ロード)と真空側から大気側への搬送(アンロード)とが2つのロック室131のそれぞれに動作,機能として固定される。
以下、本実施例の真空処理装置が3つのプロセスユニット101a乃至101cを用いてウェハに対して処理を施した場合の真空処理装置の動作の例について説明する。特に、同じ構成の薄膜の層が表面に形成されたほぼ円形のウェハ複数枚について各プロセスユニット101a乃至101cのいずれかにおいてガス,圧力等を同じ条件で処理を行う場合の動作の流れを説明する。
図上、時間の推移は横軸の左から右へ向かう方向となっている。縦軸は複数のウェハ各々を示している。このように、本図において各ウェハ毎に複数の角柱のブロックで示される動作が断続的に継がってウェハの操作を行っている。
上記の通り、本実施例では図示される各ウェハ毎に行われる動作及びその流れは複数枚のウェハ(例えば1ロット)で共通であり、各々のウェハの処理において複数の動作の順番,時間が等しいものとなっている。各動作の流れは、カセット内のウェハをロードポート111を介して大気側ユニット内部に取り込んでプロセスユニット101a〜101cの何れかに搬送してこれを処理後、再び元のカセットの元の位置に戻すものとなっている。
この際、2つのロック室131各々は独立して、ウェハの搬入(受け取り),内部の排気(減圧),ウェハの搬出,内部のベント(昇圧)という動作を繰り返している。特に、本実施例はこれらの動作を示すブロックが連続して継っている。
本図では各ウェハの動作を示す角柱は上下2段示されている。上段の角柱は、ウェハに対する操作に係る動作であり、下段の角柱はウェハが無い状態での動作すなわちウェハの非操作に係る動作を示している。具体的には下段は、いずれかのロック室131の動作であり、その内部にウェハを収納していない状態での排気(減圧)またはベント(昇圧)である。
図1に示す本実施例の真空処理装置は、EFEM121内部に大気搬送ロボット122が1台、ロック室131が2つ、真空搬送ユニット141を構成する真空容器内に真空搬送ロボット142が1台、真空搬送ユニット141の外周側にプロセスユニット101a,101b,101c,101dを備えている。これらプロセスユニットのうち、図5において示される動作に関するプロセスユニットは101a〜101cの3台である。
これらプロセスユニット101a〜101c各々に、カセット内のウェハが1枚ずつ1台の大気搬送ロボット122および1台の真空搬送ロボット142によって搬入されて処理される。各ウェハは、プロセスユニット101a〜101cのうちウェハ毎にあらかじめ定められたものに向けて、所定の時間差でカセットから取り出しがなされ処理が開始され、プロセスユニット101a〜101cのいずれかでの処理が施された後に元のカセットの元の位置に所定の時間差で戻される。
本実施例では、任意のウェハの処理(カセットからの取り出し)の開始とその次のウェハの処理の開始とは、前者に係るウェハをロック室131のうちロード用に設定されたものに搬入する動作507が終了して以降に後者が開始される。
さらには、任意のウェハに係る真空搬送ロボット142によるプロセスユニットでの処理後にこのプロセスユニットからロック室131のうちアンロード用に設定されたものに搬入する動作515が終了して以降に、このウェハから4枚目のウェハに係る動作のうち真空搬送ロボット142によるロード用ロック室131からの処理前のウェハを取り出す動作510が開始される。このような条件を満足するように、各ウェハが同一の所定の時間差でカセットからの搬送が開始される。これは、真空搬送ロボット142が真空搬送ユニット141内に1台であり、真空容器の中央部に回転軸の位置を固定されて配置されているため、並行して2つのロック室131との間でウェハを受け渡しすることができないからである。
図上、この動作の最初は大気搬送ロボット122がロードポート111を介しカセットから所定のウェハを取り出してEFEM121内に搬入する動作503である。次に、大気搬送ロボット122は保持したウェハをEFEM121内のアライナー123に搬送してその上に受け渡す(動作504)。
アライナー123上に載せられて保持されたウェハは、アライナー123により中心の位置や向きが調整される(動作505)。その後、ウェハは再び大気搬送ロボット122によりアライナー123から取り出され(動作506)て2つのロック室131のうちロード用として機能が設定された一方の大気圧にされてEFEM121内側に開放された内部に搬入されて内部の試料台上に受け渡され保持される(動作507)。
このロック室131の一方のゲートバルブが閉じられて閉塞され内部が排気されて真空搬送ユニット141内部と同等の所定の圧力まで減圧される(動作508)。所定の圧力まで減圧されたことが検出されると真空搬送ユニット141内部の側のゲートバルブが開放され真空搬送ロボット142が一方のロック室131の内部からウェハを受け取る(動作510)。なお、本実施例では、一方のロック室131は、その内部がEFEM121内側に開放されて連通するまでに内部を大気圧まで昇圧させるベント動作が予め行われており(動作509)、この動作509終了後に直ちにゲートバルブが開放されて大気搬送ロボット122がウェハを搬入可能に構成されている。
ウェハを受け取った真空搬送ロボット142は、制御装置144からの指令に基づいて、予め定められたプロセスユニット101a〜101cの何れかの内部にウェハをアーム上に載せて搬入して内部の処理室内に配置された試料台上に受け渡される(動作511)。ウェハを受け取ったプロセスユニット101a〜101cのいずれかは、真空搬送ユニット141と処理室との間に配置されたゲートバルブを閉じて処理室内部を密封しウェハを試料台上に静電気により吸着して保持しウェハに対して予め定められた条件で処理を施す(動作512)。処理が終了すると、静電気を取り除く(動作513)。
その後、ゲートバルブが開放されて真空搬送ロボット142が処理室内部に進入し処理後のウェハを試料台から受け取る(動作514)。さらに、ウェハをそのアーム上に載せて真空搬送ユニット141の真空容器内部の搬送室内でアンロード用として機能が設定された他方のロック室131にウェハを搬送して内部に搬入して内部の試料台上にウェハを受け渡す(動作515)。
ウェハが試料台上に受け渡されると他方のロック室131の真空搬送ユニット141側のゲートバルブが閉じられて内部が密閉され大気圧まで昇圧される(動作516)。その内部が大気圧と同等まで昇圧されたことが検出された後、他方のロック室131のEFEM121内側に面したゲートバルブが開放されて大気搬送ロボット122が他方のロック室131内部に進入し処理後のウェハを内部の試料台から受け取る(動作517)。ウェハを受け取った大気搬送ロボット122はEFEM121内部の空間内をウェハをそのアーム上に載せて搬送してロードポート111を介して元のカセット内部の元の位置にウェハを格納する(動作518)。なお、動作517が終了後、他方のロック室131のEFEM121内部に面したゲートバルブが直ちに閉じられて内部が密封され、次のウェハの格納のために排気,減圧される(動作519)。
このように、他方のロック室131は、プロセスユニット101a〜101cにおいてウェハの表面の膜層に対してプラズマを用いた処理が施されている間に真空搬送ユニット141の真空容器内部の搬送空間(搬送室)内に面した側のゲートバルブが開かれており内部が減圧されて搬送室内と同等の圧力の真空度にされている。この状態で、処理済のウェハが真空搬送ロボット142によりその内部に搬入されてアーム上から試料台上に受け渡され(動作515)、内部を閉塞し密封して昇圧(ベント)(動作516)、大気開放後大気搬送ロボット122にウェハを受け渡し(動作517)た後、再度内部を密封して排気(減圧)する(動作519)動作を連続して行う。図上、これらの動作は角柱の連続した複数のブロックで示されている。
特に、本実施例では、1つのロットに含まれる複数のウェハの処理で、上記動作503〜519までのウェハの操作,非操作を含む動作の時間,順序は同一になるように予めスケジュールが決められている。つまり、複数のウェハの処理において、ロード用に設定された一方のロック室131の動作を示すブロックは、連続して継っている。
前のウェハを処理する動作の流れにおける一方のロック室131内から処理前のウェハを真空搬送ロボット142によって真空搬送ユニット141に取り出す(搬出する)動作510が終了後直ちに次のウェハの動作の流れにおける一方のロック室131の大気圧への昇圧(ベント)する動作509を開始する。このようにして処理に貢献できない空き時間が低減される。
また同様に、アンロード用に動作が設定された他方のロック室131も、複数のウェハの処理に係る動作において、真空搬送ロボット142から処理済ウェハが内部に搬入される動作(動作515),ウェハを収納した状態でロック室131を密封して内部を昇圧(ベント)する動作(動作516),内部が大気圧と同等の圧力にされた状態で開放し大気搬送ロボット122が内部のウェハをEFEM121内に取り出す動作(動作517)が連続的に継っており、これらの動作の順序,時間が同一にされて共通している。
また、この他方のロック室131の動作においても、前のウェハの処理に係る他方のロック室131の真空排気の動作519が終了後に次のウェハの処理に係る真空搬送ロボット142による他方のロック室131への処理済ウェハの搬入を開始する。このように本実施例では、ウェハの操作,非操作の動作を含むアンロード用の他方のロック室131の複数の動作515〜519が、連続するように制御装置144における事前のスケジューリングにおいて、これらの動作が設定されおり、他方のロック室131のこれらのウェハの操作に係る動作の間に、プロセスユニット101a〜101cとアライナー123、一方のロック室131を覗く大気搬送ロボット122,真空搬送ロボット142による他のウェハの操作は挿入されていない。このような動作の継りによりウェハの処理に貢献しない待ち時間が低減される。
また、本実施例の事前スケジュールによる各ウェハの動作の流れでは、継って配置された一方と他方のロック室131の動作のうち、大気搬送ロボット122による処理前ウェハのロック室131への搬入及び真空搬送ロボット142による処理済ウェハのロック室131への搬入の動作507,515の前側に待ち時間が配置されている。より具体的には、動作507の前側でこれが連続して継っている動作506(大気搬送ロボット122によるアライナー123から処理前ウェハの受け取り)の前に待ち時間が配置されている。さらに、動作515の前側でこれが連続して継っている動作514(真空搬送ロボット142による処理済ウェハのプロセスユニットからの受け取り)の前に待ち時間が配置されている。このようにして、他のウェハの処理に係る動作との間で同期をとって、各ウェハの処理が1台の大気搬送ロボット122,真空搬送ロボット142に要求する動作が重複しないようにされている。
例えば、5枚目のウェハの動作507(動作506)の前側の待ち時間501は、このウェハの処理において大気搬送ロボット122を用いて行おうとする動作507(動作506)に要する1枚目のウェハの処理に係る動作517,518と重複しないように、これらの動作の開始時刻に時間差をつけている。具体的には、5枚目のウェハに係る動作が1枚目のウェハに係る動作が終了を待つためにこのウェハの操作を行っていない時間を配置している。つまり、待ち時間501は、他のウェハに係る動作の終了を待っている待ち時間である。尚、動作506は直接的にロック室131の動作とは関わっていないので、動作507の直前でなくとも良く、1枚目のウェハの大気搬送ロボット122による搬出の動作と干渉しない限り本図の待ち時間501の間の何れの時点に配置されていても良い。
同様に、1枚目ウェハの処理に係る動作515の前側の待ち時間501は、このウェハの処理において真空搬送ロボット142を用いて行おうとする動作515(動作514)に要する3枚目のウェハの処理に係る動作510または511と重複しないように、これらの動作の開始時刻に時間差をつけている。具体的には、3枚目のウェハに係る動作が1枚目のウェハに係る動作が終了を待つためにこのウェハの操作を行っていない時間を配置している。
上記本実施例の各ウェハの処理に係る動作を示す各ブロックは、これらブロックの横軸方向の長さがその動作に係る真空処理装置の大気搬送ロボット122,アライナー123,ロック室131,真空搬送ロボット142,プロセスユニット101a〜101c等の部分が駆動されて行われる動作の時間の長さを示すものである。なお、本実施例では、ブロックにおいて上記部分がそのブロックの長さ一杯にわたり駆動されるものではない。
すなわち、上記ブロックの横軸方向の長さは、制御装置144によって、各部分が各動作を開始して終了することが要求される、割り当てられた時間を示しており、各動作の前または後に所定の短い時間が生じるように制御装置144が各動作の時間を割り当てている。このような短い時間の余裕は、各動作に制御できない不具合や遅れが生じた場合でも、要求された動作が割り当てられた時間内で終了されるようにできる。また、任意の動作の前後の動作において上記遅れや不具合、あるいは動作の開始、終了時刻のずれが生じた或いは生じると予測される場合でも、制御装置により、この任意の動作に係る予め割り当てられたその動作の時間内でこの動作の開始あるいは終了の時刻を前後にずらすように設定され、指令が発信されるようにしてもよい。
上記の本実施例の事前スケジュールによれば、図5で示される通りその設定されたスケジュールは、ロック室131へのウェハ搬入前及びプロセスユニット101a〜101dのいずれかの処理室でウェハの処理を終えた直後に待ち時間501を配置したスケジュールとなっている。さらに、ロック室131のウェハ搬入前の待ち時間501ではロック室131の真空排気の動作は、ウェハ待ち時間501の間アライナー123上に滞留する間とともに、少なくともその一部がアライナー123からロック室131までのウェハの搬送の時間と並行して行われている。
さらに、待ち時間501を動作507,515の前側に配置している。このような待ち時間は、一方のロック室131から処理前ウェハを真空搬送ロボット142が搬出する動作510或いは他方のロック室131から処理後のウェハを大気搬送ロボット122が搬出する動作517の後にも配置してもよい。本実施例では、ウェハのプロセスユニットでの早期の処理を優先して、動作510,動作517後に待ち時間は配置していない。
2つのロック室131に係る動作507〜510,515〜517の前後に待ち時間を配置している。特に、動作507,515の前側に待ち時間501を配置している。
この待ち時間により、他のウェハの処理に係る動作との間の同期が調整されると共に、事前にスケジュールが設定されたウェハの処理に係る各動作の予定のずれが調節される。上記待ち時間を各ウェハの処理に係る動作の流れ内に予め含めて事前スケジュールが設定されることにより、この待ち時間において上記動作の遅れが調節されて、待ち時間以降の動作を所期のものに沿ったスケジュールに戻すことが可能になる。
さらに、上記待ち時間を図5に示す複数ウェハの処理において律速となる動作であるロック室131の動作の前、特に連続的に繋げられたロック室131に係る複数の動作の最初のものの直前に配置することで、律速動作であるロック室131の動作の開始の遅れが抑制され、ウェハの処理の効率が向上し、真空処理装置の稼働率や半導体デバイスの生産の効率が向上する。
各動作には、上記の通り制御できない遅れや想定されていない不具合等の回避できない事象が発生する可能性がある。従来の技術では、このような事象によって生じた動作の遅れが積み重なって大きなものとなって、予想外の大きな待ち時間が生じてしまっていた。
このような悪影響は、上記ずれを吸収できる、謂わばバッファ用の時間をウェハの処理の動作の流れ中に備えることにより低減されることが予想される。しかしながら、従来の技術では、上記の通り、搬送される準備が整ったウェハから順次搬送を行うように搬送装置の動作の順序が決められる、所謂、事象駆動法により順序が決められるため、待ち時間を何時,何処に配置するかを事前に設定することが困難であった。
一方で、本実施例では、複数ウェハの処理について予め同一のスケジュールが設定されていることから、特定の動作の前に特定の長さの待ち時間を配置することができる。特に、律速となる動作の開始前に待ち時間を配置することで効率的な処理が実現されている。
さらに、次のウェハの処理の動作は、実行中である前のウェハの処理におけるロック室131の真空排気の動作が終了して後に開始される。このようにすることで、任意のウェハの処理の動作の流れにおいて、律速となっているロック室131の真空排気の動作が他のウェハの処理の動作に干渉されることが抑制され、ロック室131の動作をスムーズに行わせその効率を向上することができる。
また、任意のウェハの次のウェハの処理に係る1つのロック室131の動作の開始を、任意のウェハの処理に係るこのロック室131の動作の終了後に開始している。特に、ロード用のロック室131のロードのための動作510の終了直後に次のウェハの処理に係るベントの動作509を開始している。
この一方のロック室131の動作509、507,508,510までの動作は連続して配置されており継った一連なりの動作となっている。つまり、これらの動作、特にウェハを操作する動作507〜510までの動作の間には、他のウェハの処理に係る動作のための時間が明けられていない。これは、他方のロック室131の動作515〜517,519についても同様である。このようにして、処理の律速となるロック室131の動作の効率を向上し、ひいては真空処理装置による複数ウェハの処理の効率,半導体デバイスの生産の効率が向上する。
このような待ち時間501を含めて各動作の時間(開始時刻,終了時刻)及びこれら動作の順序を設定したことにより、各ウェハの処理の動作の流れはそのスケジュール通りに稼動できている。また、この待ち時間を予め設定してスケジュールとして動作の流れ内に配置したことにより、この待ち時間501において並列して行われている別のウェハの動作の流れの同期をとることができる。このことにより、並列して複数のウェハの処理を行っている際でもウェハ1枚あたりの工程(処理に掛かる時間)が揃い、その規則的な状態でウェハの複数の処理を勧めることができる。また、この事前スケジューリングの方法を用いて、生産性向上が図れている。
また、事前スケジュールによって、複数ウェハの処理の終了時間がより正確に予測される。このため、制御装置144からの指令によって行われる次のカセットの準備、次のロットの準備に無駄な時間が生じることが抑制される、生産の効率が向上する。
本実施例でウェハ1枚あたりの処理に係る各動作の流れ,時間,順序は所定の枚数の複数ウェハで共通であってウェハ1枚あたりの総処理時間502は、図2で示す従来の技術に基づくもののうち1枚あたりの処理時間が最も短いものよりも長くなっている。一方、所定の複数枚数のウェハの処理に要する時間は、従来の技術よりも本実施例の方が短縮されている。すなわち、図2で説明した状態では、ウェハ6枚の処理に掛かる総時間が550秒であったが、図5で説明した本発明を適用した処理を実施すれば、ウェハ6枚の処理に掛かる総時間523は480秒で仕上がっている。これは、約15%の生産性向上、スループット向上を示している。実際に25枚まで処理したときの生産性向上率は40%を超える値を確認した。
2つのロック室をロード/アンロードのいずれにも動作させる場合(並列動作)には、ウェハの処理の律速となる動作が図4または図5に示す本実施例と異なる場合があると考えられる。例えば、図4または図5の例ではスループットに律速となっている動作の一つはロック室の真空排気であったが並列動作では律速の動作とならない場合がある。このような場合について、以下に、図6乃至図8を用いて説明する。
図6は、本発明の真空処理装置と同じ数の大気側及び真空側の搬送装置及びプロセスユニットを備えた従来の技術の真空処理装置において上記並列動作を行った場合の処理の動作の流れを示すチャートである。本図では図5と同様に、チャートの角柱のブロックの各々が真空処理装置の動作の各々を示している。
本図の例では、2つのロック室131が、ロード及びアンロードの2方向についての動作を行うことが可能になるため、ロック室131のいずれもがロード或いはアンロードの動作を同時に行う場合が生じる。つまり、ロック室131のウェハの搬送の能力が増大されたことになる。
このようにロック室131の搬送の能力が増大することによって、ウェハ搬送に係る動作の律速となるものが変化する。図5に示した実施例では、2つのロック室131の一方がロード用、他方がアンロード用に固定されていたことから、ロック室131での動作が律速となっていたが、図6以下に示す例では、真空搬送ロボット142の動作が律速となる場合を示している。
図6は、このような並列動作における従来の技術の真空処理装置の複数枚のウェハの処理に係る動作の流れを示したもので、事象駆動型の動作を行っているため、空時間(待ち時間)がランダムに発生している。
図6に示す各ウェハの処理に係る動作の流れは、図5に示した例と同等であり、図上左から右に向かって、各ウェハがカセットから大気搬送ロボット122により取り出されてアライナー123を介してロック室131の何れかに搬入された後、このロック室131の内部が減圧されて真空搬送ロボット142により取り出されて所定のプロセスユニット101a〜101cの何れかに搬送されてこのユニットで処理を施される。この後、処理済のウェハが真空搬送ロボット142によりプロセスユニットから搬出されてロック室131のいずれかに搬入される。ウェハを受け取ったロック室131内にウェハが収納された状態でロック室131内がベントされ昇圧されて大気開放された後、処理済のウェハが大気搬送ロボット122によりロック室131内から元のカセットの元の位置に戻される。
図7は、本発明の別の実施例に係る真空処理装置の動作の流れを示すチャートであり、図7(a)は図6と同様の並列動作の場合において、複数のウェハを処理する際に事前にスケジューリングをしたものを示している。この図において、各ウェハの動作は角柱状のブロックにより示されており、上下2段になっている。上段はウェハの操作に係る動作であり、下段はロック室131のいずれかのものの排気、ベントの動作を示している点、図5と同様である。
本実施例の大気搬送ロボット122及び真空搬送ロボット142は、ウェハをその上に載せて自在にその長さと方向を変えることができるアームを2つ備えている。このようなロボットを用いることで、プロセスユニット101a〜101cまたは2つのロック室131内の試料台上に載せられている処理前後の2つウェハの各々を入れ換えること、所謂ピックアンドロードが可能に構成されている。このような試料台上のウェハの入れ換えの動作では、処理済のウェハを優先して先ず取り出して一方のアーム上に載せた上で他方のアーム上の処理前ウェハを試料台上に載せるように動作する。
本実施例でも、各ウェハの処理に係る動作の流れのなかに待ち時間が配置されており、本例では、律速の動作となる真空搬送ロボット142の動作の前または後に待ち時間703,704が配置され、特に前側に配置されている。則ち、真空搬送ロボット142が何れかのロック室131から処理前ウェハを取り出す動作の前に待ち時間703を、処理済のウェハをプロセスユニットから取り出す動作の前に待ち時間704を、処理済のウェハをロック室に搬入する動作の後にも待ち時間を配置している。処理前のウェハの処理を優先する為に処理前ウェハをプロセスユニット101a〜101cの何れかに搬入する動作の後には待ち時間をいれていない。
本実施例は、図5に示す実施例の通り、1台ずつの大気搬送ロボット122,真空搬送ロボット142,2つのロック室131及び3つのプロセスユニット101a〜101cを備えており、時間差をつけて複数のウェハのカセットからの搬送を開始している。本例の事前スケジュールでは、1台の大気搬送ロボット122によりEFEM121内でウェハを搬送するために、前のウェハの処理に係る大気搬送ロボット122の動作の終了後に次のウェハの処理に係る大気搬送ロボット122の搬送の動作が開始される。
本実施例における各ウェハの処理に係る動作は、図5に示す実施例と同等であり、図上左から右に向かって、各ウェハがカセットから大気搬送ロボット122により取り出されてアライナー123を介してロック室131の何れかに搬入された後、このロック室131の内部が減圧されて真空搬送ロボット142により取り出されて所定のプロセスユニット101a〜101cの何れかに搬送されてこのユニットで処理を施される。この後、処理済のウェハが真空搬送ロボット142によりプロセスユニットから搬出されてロック室131のいずれかに搬入される。ウェハを受け取ったロック室131内にウェハが収納された状態でロック室131内がベントされ昇圧されて大気開放された後、処理済のウェハが大気搬送ロボット122によりロック室131内から元のカセットの元の位置に戻される。
図上、この動作の最初は大気搬送ロボット122がロードポート111を介しカセットから所定のウェハを取り出してEFEM121内に搬入する動作705である。次に、大気搬送ロボット122は保持したウェハをEFEM121内のアライナー123に搬送してその上に受け渡す(動作706)。
アライナー123上に載せられて保持されたウェハは、アライナー123により中心の位置や向きが調整される(動作707)。その後、ウェハは再び大気搬送ロボット122によりアライナー123から取り出され(動作709)て2つのロック室131のうち一方の大気圧にされてEFEM121内側に開放された内部に搬入されて内部の試料台上に受け渡され保持される(動作710)。
このロック室131の一方のゲートバルブが閉じられて閉塞され内部が排気されて真空搬送ユニット141内部と同等の所定の圧力まで減圧される(動作711)。所定の圧力まで減圧されたことが検出されると真空搬送ユニット141内部の側のゲートバルブが開放され真空搬送ロボット142が一方のロック室131の内部からウェハを受け取る(動作712)。なお、本実施例では、一方のロック室131は、その内部がEFEM121内側に開放されて連通するまでに内部を大気圧まで昇圧させるベント動作が予め行われており(動作709)、この動作509終了後に直ちにゲートバルブが開放されて大気搬送ロボット122がウェハを搬入可能に構成されている。
ウェハを受け取った真空搬送ロボット142は、制御装置144からの指令に基づいて、予め定められたプロセスユニット101a〜101cの何れかの内部にウェハをアーム上に載せて搬入して内部の処理室内に配置された試料台上に受け渡される(動作713)。ウェハを受け取ったプロセスユニット101a〜101cのいずれかは、真空搬送ユニット141と処理室との間に配置されたゲートバルブを閉じて処理室内部を密封しウェハを試料台上に静電気により吸着して保持しウェハに対して予め定められた条件で処理を施す(動作714)。
その後、ゲートバルブが開放されて真空搬送ロボット142が処理室内部に進入し処理後のウェハを試料台から受け取る(動作715)。さらに、ウェハをそのアーム上に載せて真空搬送ユニット141の真空容器内部の搬送室内を他方のロック室131にウェハを搬送して内部に搬入して内部の試料台上にウェハを受け渡す(動作716)。
ウェハが試料台上に受け渡されると他方のロック室131の真空搬送ユニット141側のゲートバルブが閉じられて内部が密閉され大気圧まで昇圧される(動作717)。その内部が大気圧と同等まで昇圧されたことが検出された後、他方のロック室131のEFEM121内側に面したゲートバルブが開放されて大気搬送ロボット122が他方のロック室131内部に進入し処理後のウェハを内部の試料台から受け取る(動作718)。ウェハを受け取った大気搬送ロボット122はEFEM121内部の空間内でウェハをそのアーム上に載せて搬送してロードポート111を介して元のカセット内部の元の位置にウェハを格納する(動作719)。
これらの動作の時間と順序とは、制御装置144による事前のスケジューリングにより、1つのロットの複数のウェハの各々の処理において時間差が付けられて同一にされている。つまり、本実施例では1ロットのウェハに付いて処理の流れが同一にスケジューリングされている。
本実施例のスケジュールにおいても、律速の動作である真空搬送ロボット142の複数の動作は、連続して継っており、その動作の稼働効率を向上することで、真空処理装置の動作の効率,処理の効率、ひいては半導体デバイスの生産の効率を向上させている。
このような真空搬送ロボット142の連続した動作を実現する上で、本実施例では、異なるウェハの処理に係る真空搬送ロボット142の動作において入れ換えの動作を実施している。すなわち、1枚目のウェハの処理に係る動作715(処理済のウェハを真空搬送ロボット142がプロセスユニットから取り出す動作)と4枚目のウェハの動作713(処理前のウェハを搬送してプロセスユニット内の試料台上に受け渡す動作)とで真空搬送ロボット142による処理前と処理後のウェハの入れ換えの動作が行われている。
また、1枚目のウェハの処理に係る動作716(処理済ウェハをロック室131の何れかに搬入する動作)と5枚目のウェハの処理に係る動作712(未処理のウェハをロック室131の何れかから真空搬送ロボット142が取り出す動作)とで入れ換えの動作が行われている。
さらに、4枚目のウェハのプロセスユニット101a〜101cのいずれかへ向けた搬入(ロード)は、1枚目のウェハの搬出後である。このため、1枚目の処理済ウェハの処理に係るアンロードを行うためのロック室131のベント動作(動作717)は、7枚目の未処理ウェハを真空搬送ユニット141にロードする為のロック室131のベント動作708を兼ねている。
図7(b)は、図7(a)に係る真空搬送ロボット142,大気搬送ロボット122の入れ換え動作の流れを説明するチャートである。図上左側のように、図7(a)において処理前後のウェハの入れ換えをする動作が示されているが、実際には、図7(b)右側に示すように、処理後のウェハを大気搬送ロボット122または真空搬送ロボット142のウェハを載せいていない一方のアームを用いて試料台から受け取って取り出し、次に未処理のウェハを載せた他方のアームを用いて試料台にウェハを受け渡す動作が行われる。
このような入れ換え動作は、図上の任意のウェハの処理に係る真空搬送ロボット142の動作715と後に処理される他のウェハの当該処理に係る動作713との間、動作716と動作712との間、大気搬送ロボット122による719と710との間で行われている。これらの入れ換えの全てにおいて、本実施例では処理済のウェハの取り出しの動作が優先される。
本実施例では、1枚目のウェハの処理と4枚目及び5枚目のウェハの処理とで真空搬送ロボット142による入れ換えが行われ、1枚目のウェハの処理と7枚目のウェハの処理との間でロック室131のベント動作の兼用と大気搬送ロボット122による入れ換えが行われている。2枚目のウェハの処理以降は、これらの枚数差を維持して処理が継続される。なお、本図に係る実施例では、各動作の横軸方向の長さは、当該動作に係る各部分がそれを終了すべき時間として割り当てられたもので、各部分の駆動時間同士や前後に余分の時間を備えるように制御装置144が事前スケジュールを算出してこれを指令する点も、図8に示す例も含め、図5と同様である。
本実施例においても、図5に示す実施例と同様に、律速となる動作の前または後の待ち時間703,704等により、先に処理されたウェハと後に処理されたものに係る動作間の同期をとっている。また、事前のスケジュールからの制御不可能なずれが空き時間703,704等によって吸収されて、この後の律速となる動作に遅れの発生が抑制されて、処理や生産の効率が損なわれることが抑制されている。
また、律速となる真空搬送ロボット142に関する複数の動作は、間に他のウェハの処理に係る動作が挿入されることなく、待ち時間が配置されていない。このため、真空搬送ロボット142の動作の効率が高く維持されて、真空処理装置全体の処理の効率、生産性が向上される。
また、事前のスケジューリングに沿った動作を行うことで、次のロットやカセットの準備、例えば、処理中のカセットの終了時刻に合わせて次のカセットを搬送して送達させる操作において、無駄の発生が低減され生産性が向上する。
律速の動作の前に配置された待ち時間で、不可避に形成されたスケジュールからのずれを修正,調節して律速の動作が行われるため、生産性の低下が抑制される。
さらに、本実施例では律速の動作である真空搬送ロボット142の動作が連続して行われるように予めスケジュールが設定される。本図に示すように、特に3枚目のウェハの処理に係る動作712,動作713からの真空搬送ロボット142の動作は連続しており、真空搬送ロボット142の動作時間720から721以降が連続して継げられている。
本実施例では、1枚のウェハの処理に係る時間701は、図6に示す例の1枚のウェハの処理に係る時間のうちの最短のものよりも長いが、6枚のウェハの処理に係る時間702は図6に示す615よりも短縮されている。このように本実施例においても、6枚以上のウェハの処理に係る時間を短縮することができている。
本実施例では、1枚目のウェハの処理に係るアンロードのためのロック室131のベント動作が7枚目のウェハの処理に係るロードのためのベント動作を兼ねている。後者を6枚目のウェハの処理に係るものに換えた実施例も可能である。この例について図8を用いて説明する。
図8は、図7に示す変形例に係る真空処理装置の動作の流れを示すチャートであって、ロック室131を並列動作させた場合の複数のウェハを処理する際に事前にスケジューリングをしたものを示している。この図において、各ウェハの動作は角柱状のブロックにより示されており、上下2段になっている。上段はウェハの操作に係る動作であり、下段はロック室131のいずれかのものの排気、ベントの動作を示している点、図5と同様である。
事前に設定されたスケジュールにおいて、各ウェハの処理の各動作805〜819は、図7に示す705〜719に相当している。本例における真空搬送ロボット142,大気搬送ロボット122による入れ換えの動作も図7の実施例と同等である。
本変形例では、律速の動作である真空搬送ロボット142に係る動作を連続させて行うことで、その動作の効率及び真空処理装置全体の処理の効率や生産性を向上させている。このために、1枚目のウェハの処理に係る動作715(処理済のウェハを真空搬送ロボット142がプロセスユニットから取り出す動作)と4枚目のウェハの動作713(処理前のウェハを搬送してプロセスユニット内の試料台上に受け渡す動作)とで真空搬送ロボット142による処理前と処理後のウェハの入れ換えの動作が行われている。
また、1枚目のウェハの処理に係る動作716(処理済ウェハをロック室131の何れかに搬入する動作)と5枚目のウェハの処理に係る動作712(未処理のウェハをロック室131の何れかから真空搬送ロボット142が取り出す動作)とで入れ換えの動作が行われている。
さらに、4枚目のウェハのプロセスユニット101a〜101cのいずれかへ向けた搬入(ロード)は、1枚目のウェハの搬出後である。このため、1枚目の処理済ウェハの処理に係るアンロードを行うためのロック室131のベント動作(動作717)は、7枚目の未処理ウェハを真空搬送ユニット141にロードする為のロック室131のベント動作708を兼ねている。さらに、1枚目の処理後のウェハの処理に係る動作818と6枚目の処理前のウェハに係る動作810とが入れ換え動作を行っている。
本実施例においても、図7に示す実施例と同様に、律速となる動作の前または後の待ち時間803,804等により、先に処理されたウェハと後に処理されたものに係る動作間の同期をとっている。また、事前のスケジュールからの制御不可能なずれが空き時間803,804等によって吸収されて、この後の律速となる動作に遅れの発生が抑制されて、処理や生産の効率が損なわれることが抑制されている。
また、律速となる真空搬送ロボット142に関する複数の動作は、間に他のウェハの処理に係る動作が挿入されることなく、待ち時間が配置されていない。このため、真空搬送ロボット142の動作の効率が高く維持されて、真空処理装置全体の処理の効率,生産性が向上される。
但し、本変形例では、1枚のウェハの処理に要する時間801は、図7に示す例の時間701と同等であっても、6枚のウェハを処理するに要する時間802は大きくなり、図6に示す従来の技術よりも長くなっている。
また、4枚目のウェハ以降の処理では、前に処理が開始されたウェハの搬出が必要であり、処理前のウェハを大気搬送ロボット122または真空搬送ロボット142で保持した状態では、入れ換えの動作は不可能である。このため、本実施の例では、真空搬送ロボット142の動作を連続させる上では、4枚目のウェハでの入れ換えと6枚目以降のウェハの処理でのロック室131のベント動作とを処理後ウェハのアンロードと処理前ウェハのロードのためのもので兼用する構成を備えている。
図7及び図8に示す7枚目ウェハまたは6枚目ウェハでのベントの兼用については、制御装置144が事前のスケジュールを設定する際に、所定の枚数のウェハの処理に掛かる時間を予め算出して短くなる方が選択されるようにしても良い。
101a,101b,101c,101d プロセスユニット
111 ロードポート
121 EFEM
122 大気搬送ロボット
123 アライナー
131 ロック室
141 真空搬送ユニット
142 真空搬送ロボット
143 通信手段
144 制御装置
201,501 待ち時間
202,502 ウェハ1枚あたりの総処理時間
301,401 8枚目までの総時間

Claims (5)

  1. 減圧された処理室内側に配置された試料がこの処理室内に形成されたプラズマを用いて処理される複数の真空処理容器と、これら真空処理容器がその周囲に連結されその内部に減圧されて前記試料が搬送される搬送室を有する真空搬送ユニットと、この真空搬送ユニットと連結され前記試料がその内部に配置された状態でこの内部を大気圧及び減圧された圧力に調節可能であって真空側と大気側とで前記試料がやりとりされる複数のロック室と、前記搬送室内に配置され前記ロック室と前記複数の真空処理容器内の処理室との間で前記試料を搬送する真空搬送手段と、前記ロック室の大気側に連結され内部に大気圧下で前記試料を搬送する空間を有した大気搬送容器と、この大気搬送容器内の空間に配置され前面側に装着される前記試料を収納するカセットから前記試料を搬送する大気搬送手段と、複数の前記試料の各々を順次前記カセットから搬出して何れかの前記処理室に搬送して該処理室内で処理した後にこの処理室から搬出して前記カセットに戻すまでの前記試料の操作を調節する制御装置とを備えた真空処理装置であって、
    複数の前記処理室は搬送された前記試料に同じ処理を実施するものであり、
    前記試料の操作は、前記試料を前記カセットから前記複数のロック室の何れかに搬送する動作、前記試料を前記ロック室に収納した状態で前記減圧された圧力まで減圧する動作、前記試料をロック室からいずれかの前記処理室に搬送する動作、前記処理室内に搬送された試料をこの処理室内で処理する動作、該処理室から処理された前記試料をいずれかの前記ロック室に搬送する動作、前記試料をロック室内に収納した状態で前記大気圧まで昇圧する動作、及び前記試料を元の前記カセットに戻す動作を含む複数の動作から構成され、
    複数の前記試料各々について順次実施される前記操作は各々の前記複数の動作の順序および当該複数の動作の各動作毎の割当時間が前記複数の試料同士の間で予め等しいものに設定されると共に、これら複数の動作の前記割当時間の各々は当該動作に実際に要する最小の期間とこの期間の前または後に所定の猶予の時間が追加されたものであって、これらの予め設定された前記複数の動作の情報に基づいて前記制御装置が前記操作を調節する真空処理装置。
  2. 減圧された処理室内側に配置された試料がこの処理室内に形成されたプラズマを用いて処理される複数の真空処理容器と、これら真空処理容器がその周囲に連結されその内部に減圧されて前記試料が搬送される搬送室を有する真空搬送ユニットと、この真空搬送ユニットと連結され前記試料がその内部に配置された状態でこの内部を大気圧及び減圧された圧力に調節可能であって真空側と大気側とで前記試料がやりとりされる複数のロック室と、前記搬送室内に配置され前記ロック室と前記複数の真空処理容器内の処理室との間で前記試料を搬送する真空搬送手段と、前記ロック室の大気側に連結され内部に大気圧下で前記試料を搬送する空間を有した大気搬送容器と、この大気搬送容器内の空間に配置され前面側に装着される前記試料を収納するカセットから前記試料を搬送する大気搬送手段と、複数の前記試料の各々を順次前記カセットから搬出して何れかの前記処理室に搬送して該処理室内で処理した後にこの処理室から搬出して前記カセットに戻すまでの前記試料の操作を調節する制御装置とを備えた真空処理装置であって、
    複数の前記処理室は搬送された前記試料に同じ処理を実施するものであり、
    前記試料の操作は、前記試料を前記カセットから前記複数のロック室の何れかに搬送する動作、前記試料を前記ロック室に収納した状態で前記減圧された圧力まで減圧する動作、前記試料をロック室からいずれかの前記処理室に搬送する動作、前記処理室内に搬送された試料をこの処理室内で処理する動作、該処理室から処理された前記試料をいずれかの前記ロック室に搬送する動作、前記試料をロック室内に収納した状態で前記大気圧まで昇圧する動作、及び前記試料を元の前記カセットに戻す動作を含む複数の動作から構成され、
    複数の前記試料の各々について順次実施される前記操作の各々は、前記複数の動作のうち前記試料をロック室からいずれかの前記処理室に搬送する動作または前記処理室内に搬送された試料をこの処理室内で処理する動作の前に前記試料を滞留させる時間を有し、この滞留させる時間が前記複数の試料に対する前記操作の各々同士の間で予め共通に設定された真空処理装置。
  3. 請求項に記載の真空処理装置であって、前記複数の試料各々について順次実施される前記操作は、各々の前記複数の動作の順序および当該複数の動作の各動作毎の割当時間が前記複数の試料同士の間で予め等しいものに設定されると共に、これら複数の動作の前記割当時間の各々は当該動作に実際に要する最小の期間とこの期間の前または後に所定の猶予の時間が追加されたものであって、これらの予め設定された前記複数の動作の情報に基づいて前記制御装置が前記操作を調節する真空処理装置。
  4. 請求項2または3に記載の真空処理装置であって、前記制御装置は前記試料を前記カセットから搬出して前記処理室で処理された後に前記カセットに戻すまでの動作毎の時間を積算して算出する機能及び前記カセットから搬出されて前記処理室で処理された後に前記カセットに戻すまでの前記複数の動作のうちの律速となる動作を検出する機能を備えた真空処理装置。
  5. 請求項乃至4のいずれかに記載された真空処理装置であって、前記制御装置は、予め記憶された前記試料を前記カセットから搬出して前記処理室で処理された後に前記カセットに戻す各動作毎の時間および前記滞留時間の情報を用いてこれら動作および前記滞留時間の複数の順序または組合せにおける所定の枚数の前記試料の操作に要する時間が最小となるものを動作の予定情報として選択する真空処理装置。
JP2009067234A 2008-09-12 2009-03-19 真空処理装置 Active JP5409063B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009067234A JP5409063B2 (ja) 2008-09-12 2009-03-19 真空処理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008234163 2008-09-12
JP2008234163 2008-09-12
JP2009067234A JP5409063B2 (ja) 2008-09-12 2009-03-19 真空処理装置

Publications (3)

Publication Number Publication Date
JP2010093227A JP2010093227A (ja) 2010-04-22
JP2010093227A5 JP2010093227A5 (ja) 2012-05-31
JP5409063B2 true JP5409063B2 (ja) 2014-02-05

Family

ID=42007381

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009067234A Active JP5409063B2 (ja) 2008-09-12 2009-03-19 真空処理装置

Country Status (2)

Country Link
US (1) US8731706B2 (ja)
JP (1) JP5409063B2 (ja)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
JP5665454B2 (ja) * 2010-09-22 2015-02-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI523134B (zh) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 基板處理系統、基板搬運方法、及電腦記憶媒體
US8985929B2 (en) * 2011-09-22 2015-03-24 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103199037A (zh) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 一种半导体加工设备的efem控制系统
JP6013792B2 (ja) * 2012-06-12 2016-10-25 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10157763B2 (en) * 2013-08-29 2018-12-18 Varian Semiconductor Equipment Associates, Inc. High throughput substrate handling endstation and sequence
JP6003859B2 (ja) * 2013-09-18 2016-10-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015173874A1 (ja) * 2014-05-12 2015-11-19 富士通株式会社 圃場の作業スケジュール表示方法、作業スケジュール表示プログラムおよび作業スケジュール表示装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10347516B2 (en) * 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106597913A (zh) * 2015-10-20 2017-04-26 沈阳新松机器人自动化股份有限公司 硅片传输平台控制系统
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10692257B2 (en) 2016-10-28 2020-06-23 Nec Corporation Process management apparatus, process management method, and storage medium
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111446182B (zh) * 2019-01-16 2023-06-16 北京北方华创微电子装备有限公司 一种机械手调度方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE102019134546A1 (de) * 2019-12-16 2021-06-17 VON ARDENNE Asset GmbH & Co. KG Verfahren, Steuervorrichtung und Vakuumanordnung
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6243612B1 (en) * 1998-11-09 2001-06-05 Advanced Micro Devices, Inc. Processing system having a scheduling system based on a composite ratio of process scheduling factors
JP2000150619A (ja) * 1999-01-01 2000-05-30 Kokusai Electric Co Ltd 基板処理装置
JP2002184671A (ja) * 2000-12-14 2002-06-28 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP4353903B2 (ja) 2005-01-07 2009-10-28 東京エレクトロン株式会社 クラスタツールの処理システム
JP4916680B2 (ja) 2005-06-30 2012-04-18 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法
JP4884801B2 (ja) * 2005-10-06 2012-02-29 東京エレクトロン株式会社 処理システム
JP2007194481A (ja) * 2006-01-20 2007-08-02 Hitachi Kokusai Electric Inc 基板処理装置
JP2007214298A (ja) * 2006-02-09 2007-08-23 Hitachi High-Technologies Corp 真空処理装置および試料搬送方法
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
WO2010073322A1 (ja) * 2008-12-24 2010-07-01 キヤノンアネルバ株式会社 真空処理装置のデータ収集システム

Also Published As

Publication number Publication date
US8731706B2 (en) 2014-05-20
US20100068009A1 (en) 2010-03-18
JP2010093227A (ja) 2010-04-22

Similar Documents

Publication Publication Date Title
JP5409063B2 (ja) 真空処理装置
US6257827B1 (en) Apparatus and method for transporting substrates
KR101338229B1 (ko) 진공 처리 장치
US5685684A (en) Vacuum processing system
KR102385670B1 (ko) 기판 반송 방법 및 기판 처리 장치
JP6002532B2 (ja) 真空処理装置及び真空処理方法
JP4642619B2 (ja) 基板処理システム及び方法
KR101238768B1 (ko) 진공처리장치
TWI427729B (zh) The method of exchanging the substrate and a substrate processing apparatus
EP0488620B1 (en) Vacuum processing system
TWI408766B (zh) Vacuum processing device
US9318363B2 (en) Substrate processing system and substrate position correction method
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
JP6120621B2 (ja) 真空処理装置及びその運転方法
JP2012109333A (ja) 基板処理装置
TW202143363A (zh) 半導體處理系統
JP2005322762A (ja) 基板処理装置
JP7110483B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5997542B2 (ja) 真空処理装置及び真空処理方法
JP2010067878A (ja) 基板処理装置
US20100168909A1 (en) Substrate Processing Apparatus
TWI792520B (zh) 真空處理裝置之運轉方法
JP2014120618A (ja) 真空処理装置及び真空処理方法
WO2023129544A1 (en) Four or cassette storage for hybrid substrate bonding system
JPH0466119A (ja) 真空処理方法及び装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120319

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130416

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131105

R150 Certificate of patent or registration of utility model

Ref document number: 5409063

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350