JP5311116B2 - 集積回路のアレイ領域内に複数の導電線を作る方法 - Google Patents

集積回路のアレイ領域内に複数の導電線を作る方法 Download PDF

Info

Publication number
JP5311116B2
JP5311116B2 JP2008504064A JP2008504064A JP5311116B2 JP 5311116 B2 JP5311116 B2 JP 5311116B2 JP 2008504064 A JP2008504064 A JP 2008504064A JP 2008504064 A JP2008504064 A JP 2008504064A JP 5311116 B2 JP5311116 B2 JP 5311116B2
Authority
JP
Japan
Prior art keywords
spacer
array
layer
mask
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008504064A
Other languages
English (en)
Other versions
JP2008535247A (ja
Inventor
トラン,ルアン,シー.
リー,ジョン
リゥ,ゼンタオ
フリーマン,エリック
ニールセン,ラッセル
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008535247A publication Critical patent/JP2008535247A/ja
Application granted granted Critical
Publication of JP5311116B2 publication Critical patent/JP5311116B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は一般的には集積回路製造方法に関し、より具体的にはマスキング技術に関する。
現在の電子工学の発展において、可搬性、演算能力、メモリ容量、及び電力効率に対する要求から、集積回路は絶え間なくより小型化している。したがって、電子デバイス及び相互接続線の幅のように集積回路を構成するフィーチャー(feature)のサイズもまた、絶え間なく小型化している。フィーチャーのサイズを減少させる傾向は、ダイナミック・ランダム・アクセス・メモリ(「DRAM」)、フラッシュメモリ、不揮発性メモリ、スタテック・ランダム・アクセス・メモリ(「SRAM」)、強誘電体メモリ(「FE」)、論理ゲートアレイなどのようなメモリ回路又は装置で顕著である。
例えば、DRAMは、通常、メモリセルとして知られる数百万の同一の回路素子を備える。そのもっとも一般的な形態では、メモリセルは、通常2つの電子デバイスを備える:そのうちの1つは電荷蓄積キャパシタであり、他の1つはアクセス用電界効果トランジスタである。各々のメモリセルは、1つの2値データ(「ビット」)を格納することができるアドレス可能なロケーションである。1ビットは、トランジスタを介して1つのセルに書き込みでき、参照電極側から電荷蓄積電極に電荷を送ることによって読み出しできる。構成電気デバイス及びそれらにアクセスする導電線のサイズを小さくすることにより、これらのフィーチャーを組み込むメモリデバイスのサイズもまた小さくすることができる。このように、より多くのメモリセルをメモリデバイスの中に組み込むことにより記憶容量を増加させることができる。
他の例として、フラッシュメモリ(例えば、電気的に消去可能でプログラマブルな読み出し専用メモリ、すなわち「EEPROM」)は、通常、1バイトではなく同時に数ブロックについて消去、再プログラムされるメモリの1種である。通常のフラッシュメモリは、大量のメモリセルを含むメモリアレイを備える。メモリセルは、電荷を保持可能なフローティングゲート電界効果トランジスタを含む。セル内のデータは、フローティングゲート内の電荷の有無によって決定される。セルは、たいてい、消去ブロックと呼ばれるセクションにグループ分けされている。フラッシュメモリアレイのメモリセルは、通常、「NOR」アーキテクチャ(各セルは直接ビットラインに連結される)、または「NAND」アーキテクチャ(各セルは間接的にビットラインに連結され、アクセスのためにはストリングの他のセルの動作を要するように、セルはセルの「ストリング」に連結される)に配置されている。1つの消去ブロック内のセルは、フローティングゲートをチャージすることによって、ランダムな形式で電子的にプログラム可能である。ブロック消去操作によって電荷はフローティングゲートから除去可能であり、消去ブロック内の全てのフローティングゲートメモリセルは1回の操作で消去される。
パターンのピッチは、2つの隣接するパターンフィーチャーにおける同一間の距離として定義される。これらのフィーチャーは、通常、絶縁体又は導電体のような材料内にあって且つ該材料によって離間された開口部によって画定される。このように、ピッチは、フィーチャーの幅と、このフィーチャーをそれに隣接するフィーチャーから隔てているスペースの幅との和として理解される。
本発明の1態様において、集積回路内にパターンを画定する(define)方法であって、フォトリソグラフィを用いて、基板の第1の領域上における第1のフォトレジスト層中に複数のフィーチャー(feature)を画定することを含む。フォトレジスト層の各フィーチャー毎にその下方のマスキング層中に少なくとも2つのフィーチャーを形成するために、ピッチ増倍(pitch multiplication)が用いられる。下方マスキング層フィーチャーは、ループ状の端部(looped ends)を含む。第2のフォトレジスト層、下方マスキング層のループ状の端部を含む、基板第2の領域を覆う。第2の領域をエッチングすることなく、下方マスキング層フィーチャーを介して基板溝(トレンチ)のパターンがエッチングされる。この溝はある溝幅(トレンチ幅)を有する。
本発明の他の態様において、アレイに複数の導電線を作成する方法であって、積層膜を形成することを含む。この積層膜は、複数の導電性プラグと接触する基板、導電性プラグ上を覆う絶縁膜、絶縁膜上を覆う下方マスク層、及び下方マスク層上に形成されたスペーサのアレイを含む。犠牲、下方マスク層及びスペーサのアレイ上に堆積される。二次マスクが犠牲膜(sacrificial film)の一部の上に形成される。二次マスクは、スペーサのアレイ中開口部を画定する。下方マスク層及び犠牲膜は、二次マスクに対して選択的にエッチングされる。犠牲エッチングされ、下方マスク層の一部露出さる。上記方法は、更に、下方マスク層をエッチングし、絶縁膜の一部を露出さることを含む。複数の溝(トレンチ)が、絶縁膜、下方マスク層、及び犠牲膜中にエッチングされて、少なくとも導電性プラグの一部が露出される。一面の金属堆積(金属のブランケット堆積)が行われる。その後、ダマシン(damascene)処理で金属と絶縁膜が交互に現われる平坦な表面を形成する。
本発明の他の態様において、集積回路におけるダマシンフィーチャーをピッチ増倍する方法であって、基板を用意することを含む。基板上にスペーサラインのアレイを画定するために、第1のマスキングプロセスが行われる。スペーサラインは、複数のギャップによって分離される。第2のマスキングプロセス、スペーサラインの一部をブロックするために行われ、それにより集積回路の論理(logic)領域内の複数の相互接続(interconnect)が画定される。複数の溝(トレンチ)が、スペーサラインの間のギャップ中にエッチングされる。スペーサラインの間のギャップに複数の金属線を形成するために、金属層が堆積される。ダマシンプロセスで集積回路の表面を実質的に平坦にする。
本発明の他の態様において、基板上に集積回路部品(component)を形成する方法であって、リソグラフィ技術を用いて、第1のレジスト層パターン化し、複数の線を画定することを含む。ピッチ増倍(pitch multiplication)技術を用いて、複数のラインによって定められる領域の周囲にスペーサのパターンを形成する。スペーサは、ループ状の端部を持つ細長いループを備える。第2のレジスト層をループ状の端部の上に堆積して、基板のブロックされた領域を画定する。上記方法は、更に、ブロックされた領域をエッチングしないで、スペーサを介して選択的にエッチングすることで、基板中に複数の溝(トレンチ)を形成することを含む。
フィーチャーのサイズの絶え間ない減少によって、フィーチャーを形成するために用いられる技術に対してより多くの要求が課されるようになっている。例えば、基板上に線のようなフィーチャーをパターンするために一般的にフォトリソグラフィが用いられる。ピッチの概念は、これらのフィーチャーのサイズを示すために用いることができる。しかし、光又は放射線波長のような光学的要因のため、フォトリソグラフィ技術では、これ以下ではフィーチャーを確実に形成することはできない最小ピッチが存在する。このように、フォトリソグラフィ技術の最小ピッチは、フィーチャーのサイズ減少に限界を課す。
ピッチ倍増(pitch doubling)は、フォトリソグラフィ技術の能力を最小ピッチを超えて拡張する1つの方法である。この方法は、図1Aから1Fに図示され、米国特許5,328,810号(1994年7月12日発行)に記載され、その全ての開示は、その参照により、本明細書含まれる。図1Aを参照すると、まず、一時的又は使い捨ての材料から成る層20及び基板30上を覆うフォトレジスト層に線(line)10のパターンを形成するために、フォトリソグラフィが用いられる。フォトリソグラフィを行うために用いられる通常の波長は、157nm、193nm、248nm又は365nmを含むが、これに限定されない。図1Bに示すように、上記パターンは、異方性エッチング処理のようなエッチング処理で一時層20に転写され、これにより、プレースホルダー(placeholder)またはマンドレル(mandl)40を形成する。図1Cに示すように、隣接するマンドレル40の間の距離を大きくするために、フォトレジスト線10除去されかつ、マンドレル40等方エッチングされ得る。図1Dに示すように、その後、スペーサ材の層50マンドレル40上に堆積される。図1Eに示すように、方向性スペーサエッチングにおいて、水平面から選択的にスペーサ材をエッチングすることにより、スペーサ60、その後、マンドレル40の両側に形成される。残っているマンドレル40は、その後、除去され、スペーサ60のみが残され、そのスペーサは、図1Fに示すように、パターン(patterning)のためのマスクとしての役割を果たす。このようにして与えられたパターン領域は、以前では、(2Fのピッチに対して、各々、幅Fを持つ)1つのフィーチャー及び1つのスペースを定めていた。同じパターン領域は、現在は、(Fのピッチに対して、各々、幅1/2Fを持つ)スペーサ60によって定められる、2つのフィーチャー及び2つのスペーサを含む。この結果、フォトリソグラフィ技術で可能なもっとも小さなフィーチャーのサイズを、ピッチ倍増技術を用いて実効的に小さくすることができる。
上記の例では、ピッチは実質的に半分になるにも拘わらず、このピッチの減少は、通常は、ピッチ「倍増」、又は、より一般的にピッチ「増倍」と呼ばれる。つまり、通常、ある係数によるピッチの「増倍」は、実質的にその係数によるピッチの減少を伴う。従来技術はここまでである。スペーサのさらなる形成によって、定めることが可能なフィーチャーのサイズは更に減少可能であることに留意願う。このように、ピッチ増倍は、一般的に、スペーサ形成処理の行われる回数に関係なく、そのプロセスを指すものである。
スペーサ材の層50は、通常、単一の厚み90(図1D及び1E参照)を持ち、スペーサ60によって形成されるフィーチャーのサイズは、大抵、この厚み90に対応するため、ピッチ倍増技術は、通常、1つのみの幅のフィーチャーを作り出す。しかし、集積回路は、しばしば複数のサイズのフィーチャーを含む。たとえば、ランダムアクセスメモリ回路は、通常、メモリセルのアレイと、いわゆる「周辺部」の論理回路を含む。アレイでは、メモリセルは、通常、導電線で接続され、周辺部では、これら導電線は、通常、論理回路(logic)にアレイを接続するためのランディングパッドに接触している。しかし、ランディングパッドのような周辺部のフィーチャーは、導電線よりも大きくてもよい。さらに、トランジスタのような周辺部の電子デバイスは、アレイ内の電子デバイスよりもむしろ大きい。加えて、たとえ周辺部のフィーチャーがアレイと同じピッチで形成できたとしても、特に、パターンが、レジストパターンの側壁に沿って形成できるパターンに制限されている場合、回路を定めるために必要な柔軟性は、通常、1つのマスクでは実現できない。
周辺部及びアレイにパターンを形成する、ある方法では、3つの個別のマスクが用いられる。例えば、ある方法では、第1のマスクとピッチ倍増は、スペーサパターンを形成するために用いられ、そのスペーサパターンは、通常、スペーサループを、メモリデバイスのアレイ領域のような1つのチップの1つの領域中に備える。その後、第2のマスクが、メモリデバイスの周辺領域のような、そのチップの他の領域に第2のパターンを形成するために実行される。この第2の周辺部のパターンは、スペーサパターン上を覆う層に形成される。このパターンはスペーサループの中央部を覆い、ループ状の先端はエッチング処理可能なようにそのまま残される。その後、周辺部の領域内、及び/又は周辺部の領域からの配線を含む第3のパターンを形成するために、第3のマスクが実行される。「切断された(chopped)」スペーサパターンと第3のパターンの両者は、その後、下方にあるマスキング層に転写される。そのマスキング層は下方にある基板に対してエッチング可能である。これは、互いに比較してもサイズが異なり、スペーサループと比較してもサイズの異なるフィーチャーを、回路周辺部の領域に形成することを可能にする。このようなフィーチャーは、例えば、相互接続(interconnect)パターンを含む。これらのフィーチャーは、スペーサループと重なり合ってもよいし、回路のアレイ領域の他のフィーチャーと統合されてもよいし、その後にエッチングされてもよい。
前述のように、改良技術は、異なるサイズのフィーチャー、特に、重複するパターンを有するピッチ増倍されたフィーチャーを、形成することを可能とする。
ある実施形態において、基板に転写されるべきフィーチャーパターンの一部は、基板に対するプロセスで用いられるフォトリソグラフィ技術の最小ピッチ以下のピッチを有する。加えて、ある実施形態は、論理(logic)又はゲートアレイ、並びに、DRAM、リード・オンリー・メモリー(ROM),フラッシュメモリ及びゲートアレイのような揮発及び不揮発メモリデバイスを含む電子デバイスのアレイを有するデバイスを形成するために利用可能である。従来のフォトリソグラフィは、チップの周辺部における接触部(コンタクト)のような大きなフィーチャーを形成するために有効であるが、ピッチ増倍は、そのようなデバイスにおいて、例えば、トランジスタゲート電極及びチップのアレイ領域における導電線を形成するために有効である。メモリデバイス製造過程のマスキング処理の一例が、本明細書で図示され、説明されている。
図2は、途中まで形成された、メモリチップ等の集積回路100の一例の平面図である。中央アレイ領域102は、周辺領域104によって囲まれている。集積回路100が製造された後、アレイ102上には、通常、導電線及びトランジスタやキャパシタのような電子デバイスが緻密に装着されるものと認められる。本明細書で述べるように、ピッチ倍増は、アレイ領域102にフィーチャーを形成するために用いられる。一方、周辺領域104は、アレイ領域102内のフィーチャーよりも大きなフィーチャー任意に含むこともできる。ピッチ増倍よりむしろ、従来のフォトリソグラフィが、通常、これらの大きなフィーチャーをパターン化するために用いられる。そのようなフィーチャーの例には、さまざまなタイプの論理回路が含まれる。周辺領域104に位置する論理回路は幾何学的に複雑であるため、ピッチ増倍の利用は困難である。対照的に、アレイパターンに特有の規則的な格子には、ピッチ増倍の利用が可能である。加えて、周辺領域104の一部のデバイスは、電気的な制約によって大きな形状を要することもあり、それゆえ、そのようなデバイスには従来のフォトリソグラフィとくらべてピッチ増倍は利点が少ない。他の実施形態では、集積回路100において、相対的な寸法の違いに加え、相対的位置、及び周辺領域104とアレイ領域の数もまた、違っていることとしてもよい。
図3は、アレイ領域102及び周辺領域104を含む、図2の途中まで形成された集積回路の部分断面図である。フォトリソグラフィ技術を用いて、複数の溝(トレンチ)が基板108内にエッチングで作られ、こらの溝には、酸化物のような絶縁105が充填されている。絶縁105は、フィールド分離層であり、実施形態の一例では、高密度プラズマ(「HDP」)、スピン−オン誘電体(SOD)、フロー−フィル(flow−fill)又はTEOSプロセスで堆積したシャロートレンチ分離(shallow trench isolation)(「STI」)層である。実施形態の一例では、SODが堆積し、高密度化されている。
上方の中間レベル誘電(「ILD」)絶縁体106を基板上に形成し、そして、コンタクトホール(contact hole)をエッチングし導電性プラグ110を充填することにより、ILD106を通るコンタクト形成される。ある実施形態では、導電性プラグ110は多結晶シリコンから成るが、他の電気的導電性材料を他の実施形態で用いることとしてもよい。窒化物層のようなエッチング停止層112の一部分が、絶縁層106上に堆積されこのエッチング停止層112、導電性プラグ110を形成するために用いられる。ある実施形態では、絶縁105の表面は、基板/プラグの界面位置整合されている。しかし、他の実施形態では、図3に示すように、絶縁体105の表面は、基板/プラグの界面より若干上にある。
図3に示す実施形態の一例では、アレイ領域102のフィーチャーのサイズは、周辺領域104のフィーチャーのサイズよりも小さい。ある実施形態では、導電性プラグ110のフィーチャーサイズは、約50nmである。好適な実施形態では、導電性プラグ110のフィーチャーサイズは、約30nmから約100nmの間であるとよい。より望ましくは、導電性プラグのフィーチャーサイズは、約32.5nmから約65nmの間であるとよい。導電性プラグ110の他のフィーチャーサイズは、他の実施形態で利用可能である。導電性プラグを形成する技術に関するこれ以上詳細な説明は、アメリカ特許出願−−−−−(本発明と同時に出願。代理人整理番号MICRON.313A;マイクロン整理番号2004−1065.00/US)に記載されている。
図4に示すように、その中にダマシントレンチdamascene trench)が形成されることになる絶縁体膜114は、図3に示した積層膜上に堆積される。ある実施形態では、絶縁体膜は、テトラ・エチル・オルソ・シリケート(tetra ethyl ortho−silicate(「TEOS」))から堆積された酸化膜のような、ドープされていない(un−doped)酸化膜から成る。一方で、他の実施形態では、絶縁体膜は、BPSG又はPSGのようなドープされた酸化膜から成る。他の非酸化物(non−oxide)絶縁体も、他の実施形態で利用可能である。実施形態の一例では、絶縁体膜114は、集積回路に形成される導電体の高さに対応する厚さまで堆積される。
図5に示すように、ハードマスク層116、絶縁体膜114上に堆積される。ある実施形態では、ハードマスク層116は、アモルファスシリコン(非晶質シリコン)から成るが、他の材料も他の実施形態で利用可能である。
図6Aに示すように、複数のスペーサ118ハードマスク層116上に形成される。実施形態の一例では、開示したようなフォトレジストマスク、一時層への転写、等方性エッチング及びスペーサプロセスを用いる、図1Aから1Fで図示したようなピッチ倍増技術を用いて、スペーサは形成される。実施形態の一例では、スペーサは、ハードマスク層116に対して選択的にエッチング可能な低温酸化物材から成る。例えば、ある実施形態では、スペーサは約400℃以下の温度で堆積される。他の実施例では原子層堆積プロセスを用いてスペーサは堆積される。スペーサ材料の一例としては、酸化シリコン、窒化シリコン、多結晶シリコン及び炭素が挙げられる。
スペーサ118の間、集積回路内の導電性材が堆積されるべき領域に対応するギャップ(間隙)120ある。図6Aに示す実施形態の一例形態では、ギャップ120は、導電性プラグ110と垂直方向に位置合わせされている。
実施形態の一例では、スペーサ118とギャップ120の間隔は、集積回路110のアレイ領域102と周辺領域104とで異なる。このことは、図6Bの、スペーサ118とその間に介在するギャップ120の概略平面図に示される。図6Bは、また、スペーサ118が、通常、光学的に画定可能なに形成された線の輪郭(outline)に沿って延びており、それにより、複数のループ状の先端124を形成することを示す。
図7に示すように底面反射防止膜(BARC122、スペーサ118を覆って塗布される。BARC122の塗布は、スピンオンプロセスにおいて任意に行われ、これにより、実質的に平坦な表面が得られる。BARC122がスペーサ118を覆って塗布された後、第2のマスクが用いられる。第2のマスクは、集積回路上に堆積されたフォトレジスト126のパターンを作る。フォトレジストパターンは、スペーサ118のループ状の先端124をブロックするブロック領域を作り、また、周辺領域104における1つ以上の開口部(opening)128を作り出す。このことは、図8A(側面図)及び8B(平面図)に示される。図8Bに示すように、実施形態の一例において、第2のマスクは、ギャップ120a分だけ、スペーサ118から間隔が設けられ、ギャップ120b分だけ、スペーサのループ状の先端124から間隔が設けられている。ギャップ120a及び120bは、スペーサパターンに対する第2のマスクのずれを調整するためのものである。
実施形態の一例において、開口部128の最小幅は、フォトリソグラフィプロセスの解像度に依存し、それは、ある実施形態では100nm程度であり、ある実施形態では65nm程度であり、また、ある実施形態では45nm程度である。他の実施形態で他の幅を用いてもよい。ある実施形態の一例では、集積回路の他のレベルとの相互接続を提供するためのコンタクト132が「着地」できるように、回路アレイ領域104内のスペーサ118は、十分に間隔をあけて配置されている。
実施形態の一例において、第2のマスクが実行された後、図9に示すようにBARC122がエッチングされる。実施形態の変形例では、ブロック領域を含む、第2のマスクによって画定されたパターンは、BARCをエッチングする前に中間層に転写される。このような実施形態において、中間層又はBARCそのものが、スペーサ118のループ状の先端124をブロックするようにしてもよい。
BARCエッチングに続いて、ハードマスク層116のエッチングが行われ、その層はスペーサ118に対して選択的にエッチングされることとしてもよい。結果として得られる構造を、図10A(スペーサループに対して垂直な線に沿った断面図である。)及び図10B(スペーサループの長さ方向に沿った断面図)に示す。ある実施形態では、ハードマスクエッチングは、ドライエッチングプロセスである。この処理の後、フォトレジスト126及びBARC122の一連の除去が行われ、その後、酸化物エッチングが行われる。そのような実施形態では、酸化物エッチングは、スペーサ118と絶縁体膜114の露出された部分の両方を除去する。導電性プラグ110において、エッチングはストップする。結果として得られる構造は、図11に示すように、アレイ領域102内の導電性プラグ110を露出させる溝のパターン、及び、周辺領域104のハードマスク層116内の他の開口部128のパターンを有する。この一連の処理によって、溝(トレンチ)の実効アスペクト比を効果的に低減することが可能である。実施形態の変形例では、図10A及び10Bに示す絶縁体膜114は、スペーサ118を前もって除去することなく、エッチングされる。基板材が反射性材料でない実施形態では、BARC122を随意に省くことが可能である。
トレンチの形成方法に関係なく、図10A、10B及び11に示すエッチングプロセスは、都合よく2つのマスクパターン(すなわち、アレイ領域102におけるスペーサ118によって形成されるパターンと、周辺領域におけるフォトレジスト126によって形成されるパターン)を統合する。これは2つの異なるパターンの重ね合わせを効果的に形成し、第2のフォトレジスト層126によって覆われていない集積回路100の領域におけるスペーサ118間のギャップ120を介してのエッチングを可能にする。
図12に示すように、一実施形態において、導電性材130が、その後、部分的に形成された集積回路に堆積される。導電性材130の堆積の前に、随意にハードマスク層116が除去されることとしてもよい。好適な導電性材としては、チタン、窒化チタン、タングステン、窒化タンタル、及び銅が考えられるが、これらに限られない。一実施形態では、導電性材130は、周辺部の最も広いトレンチ幅を満すことができるほどの十分な厚さまで堆積される。導電性材の堆積の後、トレンチ内の導電体を分離し、かつ、集積回路の表面を平坦化するために、化学的機械的平坦化(「CMP」)処理が行われる。その結果得られる構造が図13に示されている。
本明細書で開示する、ある集積回路構造を形成するプロセスを図示するフローチャートを図14に示す。図に示すように、処理ブロック150において、メモリデバイスのアレイ領域内の第1のレジスト層に複数のフィーチャー画定される。フィーチャー画定するために利用可能なレジスト層の例として、フォトレジスト層及びインプリンテッドレジスト(imprinted resisit)がある。処理ブロック152において、これらのフィーチャーを土台としてピッチ増倍が行われ、下方マスキング層に複数のスペーサループが作られる。実施形態の変形例では、パターン化されたレジスト材のフィーチャーの上に、スペーサループが形成されるが、これは、あまり好ましくない。なぜならば、レジスト材は、一般に、スペーサ堆積及びエッチング処理に耐えることができないからである。処理ブロック156において、スペーサループの先端は集積回路の周辺領域におけるフィーチャー画定する第2のレジスト層でブロックされる。処理ブロック158において、第2のレジスト層が塗布された後、スペーサ間のギャップ内の絶縁層がエッチングされるが、このエッチングは、第2のレジスト層によって画定されたパターン内で行われる。処理ブロック160において、その後、金属充填及びその後のCMPプロセスが、部分的に形成された集積回路上に行われ、これにより、金属線集積回路のアレイ領域内に形成することが可能になり(処理ブロック162)、かつ、電気的相互接続集積回路の周辺領域内に形成することが可能になる(処理ブロック164)。相互接続は、周辺部内の、ロジック部品のような集積回路部品を接続するために随意に利用できる。代わりに、第2のマスクを、スペーサループをブロックするのと同時に、キャパシタ、コンタクト、レジスタのような他のパターンを画定するために利用してもよい。
ある実施形態では、周辺部の相互接続は、随意に、アレイ領域102と周辺領域104の間電気的接続を形成するためにも利用可能である。このことは、図14の処理ブロック166に示す。例えば、このようなコンタクトを、図13に示すダマシン構造の上の平面に形成することとしてもよい。図16に、そのような「オーバーヘッド」コンタクトの一例を示す。図に示すように、オーバーヘッドコンタクトは、相互接続線148によって接続された複数のコンタクト146を含む。
図17Aから17Cは、図14に示す方法に関連する一実施形態の平面図である。具体的には、図17Aは、フォトリソグラフィプロセスによって画定された第1のマスク134を示す。ある実施形態では、第1のマスク134はフォトレジスト材の層の中に画定されるが、他の実施形態では、第1のマスク134は、アモルファス炭素層のような他の層に転写される。図17Bは、等方性エッチング処理によって、まず、第1のマスク134を収縮(シュリンク)させた後、収縮された第1のマスクに対してピッチ倍増技術を適用することによって作成されたスペーサパターン136を示す。第2の金属マスク138を用いると、図17Cに示す一構造例が得られる。この構造は、集積回路の他の層からのコンタクト139を受け入れるように構成された、スペーサパターン内の拡幅部分を含む。
本明細書で開示する、集積回路の製造技術は、従来技術と比べて大きな利点を有する。例えば、従来の方法では、アレイ領域を画定するためのマスクと、周辺領域を画定するためのマスクと、回路のフィーチャーのループ状の先端を除去するためのマスクいったように、3つの別々のマスクが必要であった。それとは対照的に、本明細書で開示する技術によれば、たった2枚のマスクを用いたダマシンプロセスで、ピッチが小さくなったフィーチャーを形成することが可能となる。本明細書で開示したように、一実施形態では、アレイ領域のフィーチャーのループ状の先端は、周辺部のフィーチャー画定するために用いられるマスクと同じマスクによってブロックすることができる。
ある実施形態の更なる特徴として、回路設計者が本明細書で開示する集積回路の製造方法を円滑に実現できるようなルールが与えられる。マスクの構造は、特に、スペーサループの間のギャップ(一部は包囲されており、一部は包囲されていない)が、回路の重要なフィーチャー画定するときには、形成される集積回路パターンに間接的に対応する。そのようなフィーチャーは、本明細書で開示するように、ピッチ増倍及びダマシン技術を用いて形成可能である。以下に述べるルールは、本明細書に開示する技術を用いて形成できる回路を構築する回路設計者に対するガイドラインである。本明細書で開示したように、回路の構築では、たった2つのマスクを用いながらも、異なるピッチサイズの相互接続の混合利用を可能にするルールに従う必要がある。より具体的には、スペーサ層マスク、あるいは「スペーサ」、回路アレイ領域内の高密度の相互接続線の間ピッチが小さくなったスペーサを画定するために用いられ、金属層マスク、あるいは「金属、回路周辺領域内の相互接続パターンを画定するために用いられる。
一実施形態において、スペーサ及び金属画定するための設計ルールは、2つのスケーリングファクターに基づく。既知のリソグラフィーについて、Fは、解像可能な最小フィーチャーサイズであり、Dは、2つのマスク間での最大許容ずれ幅である。変数χ(カイ)は、金属線を画定するために用いられるスペーサループのフィーチャーサイズに対応するピッチ増倍のスケーリング定数(0<χ<1)である。1回だけピッチ−増倍技術が用いられるため、本明細書で開示する技術を用いて実際に得ることができる相互接続のピッチは、Fとなる。
ある実施形態では、スペーサループは、重ならないように、あるいは、交叉しないように、複数の別々の閉じたループで作られる。2つのスペーサ140が、図15に例示されている。この図は、製造過程内の集積回路の簡略化された平面図の例である。図に示すように、スペーサループは、最小幅χFを有し、最小間隔(1−χ)Fを有する。
この実施形態において、複数の金属フィーチャー144が、複数のスペーサループ140によって画定されている。ダマシンプロセスがその実施形態で用いられるため、スペーサループ間のギャップ(包囲されたものも、包囲されていないものもあるが)、その後、導電性材料が(例えば、物理蒸着又は化学蒸着によって)堆積又は電気メッキされてなる金属フィーチャー144を画定する。さらに、金属フィーチャー142は、その片側のみが、スペーサループ140によって画定される。金属フィーチャー144は、その両側が、スペーサループ140によって画定され、最小幅(1−χ)Fを有する。スペーサループ140によって片側のみが画定された金属フィーチャー142は、最小幅((1−χ)F+D)を持つ。金属フィーチャーは、また、リソグラフィ技術の最小解像度Fに相当する最小幅を持つスペーサループ140によって制限されることなしに作られ得る。図15に示すように、金属フィーチャー144は、スペーサループ140によって区切られている場合、最小間隔χFを有する。金属フィーチャー142は、空のスペースによって区切られている場合、又は片側のみがスペーサループ140によって区切られている場合、最小間隔Fを有する。金属フィーチャー142又は144がスペーサループ140の両側にある場合、その金属はスペーサループ140と接触させられる(つまり、金属は、スペーサループ140と直接隣接する領域を占有する)。金属フィーチャー142が、スペーサループ140の片側にだけ存在する場合、その後、D−χFからと0までの範囲内の最小値である最小間隔が、スペーサループ140から金属フィーチャー144分離させる。
本明細書で詳細に説明した回路設計ルールは、本明細書で開示した集積回路製造技術に基づく。特に、後でピッチが小さいフィーチャーを作るためには大きすぎるスペーサマスクを用いると、そのピッチが小さいフィーチャーによって作られる金属線の間隔を制限することになる。
本明細書で開示する一実施形態で示したルールに従って金属層及びスペーサ層を別々に作ることにより、回路設計者は、ウェハ上で用いられる実際の回路フィーチャーに基づいて集積回路を構築することができる。これらのルールは、ピッチ増倍技術が回路フィーチャーを形成するために用いられる場合に生じる固有の限界を明らかにするのに有利である。スケーリングパラメータχを利用することにより、これらの設計ルールは、より小さなフィーチャーサイズを生産可能な今後のピッチ増倍技術と連動することができるようになる。
本明細書で開示する実施形態は、さまざまな集積回路を形成するために利用可能である。そのような集積回路の例として、DRAM、ROM又はフラッシュメモリ、及びNANDフラッシュメモリのような揮発及び不揮発メモリデバイスのメモリセルアレイのような電子デバイスのアレイを有する回路、並びに、論理素子又はゲートアレイを有する集積回路が挙げられるが、これに限られない。例えば、論理アレイは、メモリアレイに似たコアアレイ、及びサポートする論理回路つきの周辺部を有するフィールド・プログラマブル・ゲート・アレイ(「FPGA」)であってもよい。したがって、本明細書で開示された技術を用いて形成される集積回路は、例えば、メモリチップ、又は、論理アレイ及び内蔵メモリを有するプロセッサ、又は、論理素子及びゲートアレイを有する他の集積回路であってもよい。
〔発明の範囲〕
先に詳細な説明で本発明の幾つかの実施形態を開示したが、この開示は説明のためであり、本発明を限定するものではないことを理解いただきたい。開示された特定の構造及び処理は、上記で説明したものと異なってもよいこと、及び、本明細書で説明した方法は、集積回路製造以外の状況でも利用可能であることを理解されたい。
〔関連する出願の参照〕
本願は、米国特許出願10/932,993(2004年9月1日出願、代理人整理番号MICRON.293A;マイクロン整理番号2003−1445.00/US)、米国特許出願10/934,778(2004年9月2日出願;代理人整理番号MICRON.294A;マイクロン整理番号2003−1446.00/US)、米国特許出願10/931,771(2004年8月31日出願、代理人整理番号MICRON.295A;マイクロン整理番号2004−0068.00/US)、米国特許出願10/934,317(2004年9月2日出願、代理人整理番号MICRON.296A;マイクロン整理番号2004−0114.00/US)、米国特許出願−−−−−(本願と同時に出願、代理人整理番号MICRON.313A;マイクロン整理番号2004−1065.00/US)、米国仮特許出願60/662,323(2005年3月15日出願、代理人整理番号MICRON.316PR;マイクロン整理番号2004−1130.00/PR)、及び米国特許出願11/134,982(2005年5月23日出願、代理人整理番号MICRON.317A;マイクロン整理番号2004−0968.00/US)に関する。これらの関連出願の全ての内容は、その参照をもって、本明細書に含まれる
集積回路と集積回路製造技術の実施例を、単なる例としての図面を用いて説明する。図面は寸法的には必ずしも正確でないものである。図において同じ符号は同じ部分を示す。
その上に形成される複数のマスクラインを持つ基板の断面図である。 マスクパターンを一時層(temporary layer)に転写する異方性エッチングプロセス後の、図1Aの基板の断面図である。 マスクラインの除去及び等方性「シュリンク」エッチングの後の、図1Bの基板の断面図である。 一時層に残されたマンドレル(mandrel)のスペーサ材の一面の堆積の後の、図1Cの基板の断面図である。 ピッチ増倍されたフィーチャーまたはスペーサーを残す方向性エッチングプロセス後の図1Dの基板の断面図である。 マンドレルの除去の後の図1Eの基板の断面図である。 部分的に形成された集積回路の一例の概略平面図である。 基板中及び基板上にピッチ増倍された複数のフィーチャーを形成した後の、図2の部分的に形成された集積回路の概略断面図である。 絶縁層を形成した後の、図3の部分的に形成された集積回路の概略断面図である。 ハードマスク層を形成した後の、図4の部分的に形成された集積回路の概略断面図である。 複数のスペーサを形成した後の、図5の部分的に形成された集積回路の概略断面図である。 図6Aの部分的に形成された集積回路の概略平面図である。 底面反射防止膜(「BARC」)の堆積後の図6Aの部分的に形成された集積回路の概略断面図である。 第2のフォトレジストパターンの形成後の図7の部分的に形成された集積回路の概略断面図である。 図8Aの部分的に形成された集積回路の概略平面図である。 底面反射防止膜をエッチングした後の図8Aの部分的に形成された集積回路の概略断面図である。 スペーサ及び第2のフォトレジストパターンを介してハードマスク層をエッチングした後の図9の部分的に形成された集積回路の概略図であり、スペーサループに垂直な線に沿った断面図である。 スペーサ及び第2のフォトレジストパターンを介してハードマスク層をエッチングした後の図9の部分的に形成された集積回路の概略図であり、スペーサループの長さ方向に沿った断面図である。 絶縁膜をエッチングし、フォトレジスト、底面反射防止膜及びスペーサを除去した後の図10Aの部分的に形成された集積回路の概略断面図である。 導電材料の堆積後の図11の部分的に形成された集積回路の概略断面図である。 化学的機械的平坦化処理後の図12の部分的に形成された集積回路の概略断面図である。 本明細書に開示する、特定の集積回路構造を形成する処理の一例を示すフローチャートである。 スペーサループ及び金属層を含む、部分的に形成された集積回路の概略平面図である。 アレイ領域と周辺領域の間にオーバーヘッドコンタクトを更に含む、図13の部分的に形成された集積回路の概略断面図である。 フォトリソグラフィープロセスによって形成された第1のマスクの配置図であり、第1のマスクは複数のマンドレルを作る。 図17Aのマンドレル上にピッチ増倍技術を適用した結果得られたスペーサパターンの配置図である。 図17Bのスペーサパターンに第2の金属マスクを適用することにより形成された、部分的に形成された集積回路の配置図である。

Claims (17)

  1. 集積回路のアレイ領域内に複数の導電線を作る方法であって、
    複数の導電性プラグに接触する基板、前記導電性プラグを覆う絶縁膜、前記絶縁膜を覆う下方マスク層、及び前記下方マスク層上にピッチ増倍技術を用いて形成されたスペーサーのアレイを含む積層膜を設けることであって、前記スペーサーのアレイは複数のループ状の端部を形成する、ことと
    前記下方マスク層及び前記スペーサーのアレイ上に犠牲膜を堆積して、平坦な表面を形成することと
    前記犠牲膜の一部の上にレジストマスクを形成することであって、前記レジストマスクは、前記スペーサーのアレイ上開口部を画定すると共に前記スペーサーのアレイの前記ループ状の端部を覆い、前記下方マスク層及び前記犠牲膜が前記レジストマスクに対して選択的にエッチング可能である、ことと
    前記レジストマスクをエッチングマスクとして用いて前記犠牲膜をエッチングし、前記下方マスク層の一部を露出させることと
    前記スペーサーのアレイ及び前記レジストマスクをエッチングマスクとして用いて前記下方マスク層をエッチングし、前記絶縁膜の一部を露出させることと
    前記犠牲膜を除去することと
    前記下方マスク層をエッチングマスクとして用いて前記絶縁膜の前記露出された部分に複数の溝をエッチングし、前記導電性プラグの少なくとも一部を露出させることと
    前記複数の溝に金属の堆積を行うことであって、前記金属は前記導電性プラグと接触する、ことと
    平坦化処理を行って、前記金属と前記絶縁膜交互に現れる平坦な表面を形成することと
    含むことを特徴とする方法。
  2. 前記レジストマスクは、更に、前記アレイ領域の外側の周辺領域に接続される複数の相互接続画定する、ことを特徴とする請求項1に記載の方法。
  3. 前記積層膜を設けることは、フォトリソグラフィを用い前記スペーサーアレイ形成することを含む、ことを特徴とする請求項1に記載の方法。
  4. 前記複数の溝をエッチングする前に、前記スペーサーのアレイを除去することを更に含むことを特徴とする請求項1に記載の方法。
  5. 前記複数の溝をエッチングする前に、前記スペーサーのアレイ、前記レジストマスク、及び前記犠牲膜を除去することを更に含むことを特徴とする請求項1に記載の方法。
  6. 前記複数の溝をエッチングすることは、前記レジストマスクに覆われた前記ループ状の端部を前記絶縁膜に転写することなく、前記ループ状の端部以外の前記スペーサーのアレイに対応したパターンを前記絶縁膜に転写することを含む、ことを特徴とする請求項1に記載の方法。
  7. 前記アレイ領域はメモリアレイ領域である、ことを特徴とする請求項1に記載の方法。
  8. 前記アレイ領域は論理素子アレイ領域である、ことを特徴とする請求項1に記載の方法。
  9. 前記アレイ領域は、フラッシュメモリデバイスの一部を形成する、ことを特徴とする請求項1に記載の方法。
  10. 前記犠牲膜は絶縁膜である、ことを特徴とする請求項1に記載の方法。
  11. 前記犠牲膜は底面反射防止膜である、ことを特徴とする請求項1に記載の方法。
  12. 前記スペーサーは、酸化シリコン、窒化シリコン、多結晶シリコン、及びカーボンから成るグループから選択される、ことを特徴とする請求項1に記載の方法。
  13. 前記スペーサーは、原子層堆積プロセスを用いて堆積される、ことを特徴とする請求項1記載の方法。
  14. 前記スペーサーは、摂氏400度より低い温度で堆積される、ことを特徴とする請求項1に記載の方法。
  15. 前記スペーサーは、30ナノメートルから100ナノメートルの間のフィーチャーサイズを有する、ことを特徴とする請求項1に記載の方法。
  16. 前記スペーサーは、32.5ナノメートルから65ナノメートルの間のフィーチャーサイズを有する、ことを特徴する請求項1に記載の方法。
  17. 前記スペーサーは、前記導電性プラグのフィーチャーサイズと等しいフィーチャーサイズを有する、ことを特徴とする請求項1記載の方法。
JP2008504064A 2005-03-28 2006-02-27 集積回路のアレイ領域内に複数の導電線を作る方法 Active JP5311116B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US66603105P 2005-03-28 2005-03-28
US60/666,031 2005-03-28
US11/216,477 2005-08-31
US11/216,477 US7611944B2 (en) 2005-03-28 2005-08-31 Integrated circuit fabrication
PCT/US2006/007333 WO2006104634A2 (en) 2005-03-28 2006-02-27 Integrated circuit fabrication

Publications (2)

Publication Number Publication Date
JP2008535247A JP2008535247A (ja) 2008-08-28
JP5311116B2 true JP5311116B2 (ja) 2013-10-09

Family

ID=36617080

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008504064A Active JP5311116B2 (ja) 2005-03-28 2006-02-27 集積回路のアレイ領域内に複数の導電線を作る方法

Country Status (5)

Country Link
US (8) US7611944B2 (ja)
EP (1) EP1864320B1 (ja)
JP (1) JP5311116B2 (ja)
KR (1) KR100942862B1 (ja)
WO (1) WO2006104634A2 (ja)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7804126B2 (en) 2005-07-18 2010-09-28 Saifun Semiconductors Ltd. Dense non-volatile memory array and method of fabrication
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
KR100677998B1 (ko) * 2005-09-30 2007-02-02 동부일렉트로닉스 주식회사 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
JP4865324B2 (ja) * 2005-12-26 2012-02-01 キヤノン株式会社 情報処理装置及び情報処理装置の制御方法
EP1804282A1 (en) * 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) * 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
KR100823706B1 (ko) * 2006-07-21 2008-04-21 삼성전자주식회사 반도체 장치의 신호 라인 구조물 및 이를 제조하는 방법
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP5111980B2 (ja) * 2006-09-06 2013-01-09 株式会社東芝 半導体装置
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US7709390B2 (en) * 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR101393306B1 (ko) 2007-07-13 2014-05-09 삼성전자주식회사 보안기능을 갖는 레지스터 및 이를 구비하는 컴퓨터 시스템
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US8021933B2 (en) * 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100919349B1 (ko) * 2007-12-27 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP4789158B2 (ja) * 2008-08-18 2011-10-12 株式会社東芝 半導体装置の製造方法、及び半導体装置
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5322668B2 (ja) * 2009-01-21 2013-10-23 株式会社東芝 半導体装置の製造方法およびフォトマスク
US8680650B2 (en) 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
JP4901898B2 (ja) * 2009-03-30 2012-03-21 株式会社東芝 半導体装置の製造方法
KR101616044B1 (ko) * 2009-07-03 2016-04-28 삼성전자주식회사 무전해 도금에 의해 형성된 랜딩 패드를 포함한 반도체 소자
JP2011061003A (ja) * 2009-09-10 2011-03-24 Elpida Memory Inc 配線パターン形成方法および半導体装置の製造方法、半導体装置、データ処理システム
US8003482B2 (en) 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2960657B1 (fr) * 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
KR101736983B1 (ko) 2010-06-28 2017-05-18 삼성전자 주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR20120053799A (ko) * 2010-11-18 2012-05-29 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
DK2643983T3 (en) 2010-11-24 2015-01-26 Phonak Ag Hearing assistance system and method
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8420947B2 (en) * 2010-12-30 2013-04-16 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2692152B1 (en) 2011-03-30 2016-07-13 Sonova AG Wireless sound transmission system and method
CN103503482A (zh) 2011-05-04 2014-01-08 峰力公司 自学式听力辅助系统及其操作方法
US20120280354A1 (en) * 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US8765598B2 (en) 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
EP2562790A1 (de) * 2011-08-26 2013-02-27 Espros Photonics AG Verfahren zur Herstellung von Halbleiterbauelementen auf einem Substrat sowie Substrat mit Halbleiterbaulelementen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013107516A1 (en) 2012-01-20 2013-07-25 Phonak Ag Wireless sound transmission and method
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
US9102121B2 (en) * 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US8637406B1 (en) 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US8969213B2 (en) 2012-07-30 2015-03-03 International Business Machines Corporation Non-lithographic line pattern formation
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI487004B (zh) * 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
KR102059183B1 (ko) 2013-03-07 2019-12-24 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014183135A (ja) * 2013-03-18 2014-09-29 Toshiba Corp 半導体装置およびその製造方法
US8969205B2 (en) * 2013-03-28 2015-03-03 GlobalFoundries, Inc. Double patterning via triangular shaped sidewall spacers
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
KR102104058B1 (ko) 2013-09-27 2020-04-23 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9368348B2 (en) * 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
CN105917670A (zh) 2013-12-10 2016-08-31 索诺瓦公司 无线立体声听力辅助系统
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9373678B2 (en) * 2014-06-17 2016-06-21 Globalfoundries Inc. Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI648857B (zh) 2015-05-07 2019-01-21 聯華電子股份有限公司 半導體元件及其製作方法
KR102389816B1 (ko) 2015-08-25 2022-04-22 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US9728548B2 (en) 2015-11-16 2017-08-08 Micron Technology, Inc. Vertical memory blocks and related devices and methods
US9941209B2 (en) 2016-03-11 2018-04-10 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods

Family Cites Families (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5229344A (en) 1975-08-30 1977-03-05 Brother Ind Ltd Machine with automatic thread cutter
JPS5748237Y2 (ja) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916U (ja) 1987-08-28 1989-03-03
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP3720064B2 (ja) 1994-01-20 2005-11-24 株式会社ルネサステクノロジ 半導体集積回路
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) * 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5530879A (en) * 1994-09-07 1996-06-25 International Business Machines Corporation Computer system having power management processor for switching power supply from one state to another responsive to a closure of a switch, a detected ring or an expiration of a timer
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6022815A (en) * 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US5923981A (en) * 1996-12-31 1999-07-13 Intel Corporation Cascading transistor gate and method for fabricating the same
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
KR200173196Y1 (ko) 1997-06-19 2000-03-02 에릭 발리베 모터의 브러시홀더
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6333866B1 (en) 1998-09-28 2001-12-25 Texas Instruments Incorporated Semiconductor device array having dense memory cell array and heirarchical bit line scheme
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2001358061A (ja) * 2000-04-12 2001-12-26 Mitsubishi Electric Corp 半導体装置の製造方法
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002124585A (ja) 2000-10-17 2002-04-26 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US7225441B2 (en) * 2000-12-27 2007-05-29 Intel Corporation Mechanism for providing power management through virtualization
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
JP2003273211A (ja) * 2002-03-14 2003-09-26 Sony Corp 半導体装置の製造方法
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6777725B2 (en) 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
KR100457524B1 (ko) 2002-06-15 2004-11-17 삼성전자주식회사 습식 현상제 및 그 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004003977A2 (en) 2002-06-27 2004-01-08 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US6804142B2 (en) 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR101002928B1 (ko) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
DE102005026228B4 (de) 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US20060010946A1 (en) * 2004-07-15 2006-01-19 Midwestern Bio-Ag Products & Services, Inc. Pelleted organic calcium phosphate compositions
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
TW200705541A (en) 2005-07-25 2007-02-01 Li Bing Huan Manufacturing method of nano-sticker
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080292991A1 (en) * 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Also Published As

Publication number Publication date
US20060216923A1 (en) 2006-09-28
US7611944B2 (en) 2009-11-03
US7776683B2 (en) 2010-08-17
WO2006104634A2 (en) 2006-10-05
EP1864320A2 (en) 2007-12-12
US20060216922A1 (en) 2006-09-28
US9412594B2 (en) 2016-08-09
US20080227293A1 (en) 2008-09-18
US8507341B2 (en) 2013-08-13
US8158476B2 (en) 2012-04-17
US7648919B2 (en) 2010-01-19
US8859362B2 (en) 2014-10-14
WO2006104634A3 (en) 2007-03-08
JP2008535247A (ja) 2008-08-28
US9147608B2 (en) 2015-09-29
US20120193777A1 (en) 2012-08-02
US20160005601A1 (en) 2016-01-07
KR20070116167A (ko) 2007-12-06
KR100942862B1 (ko) 2010-02-17
US20150004786A1 (en) 2015-01-01
US20100317193A1 (en) 2010-12-16
EP1864320B1 (en) 2019-05-01
US20130320552A1 (en) 2013-12-05

Similar Documents

Publication Publication Date Title
JP5311116B2 (ja) 集積回路のアレイ領域内に複数の導電線を作る方法
JP3320794B2 (ja) 波状素子接点コンデンサを形成するための方法
TWI458047B (zh) 半導體裝置之互連配線結構及其製造方法
US7399671B2 (en) Disposable pillars for contact formation
US20050082602A1 (en) Semiconductor device and method of manufacturing the same
US6730956B2 (en) Method for manufacturing the storage node of a capacitor of a semiconductor device and a storage node manufactured by the method
CN100555579C (zh) 集成电路制造
TWI781559B (zh) 半導體裝置
US7145195B2 (en) Semiconductor memory device and method of manufacturing the same
US7948053B2 (en) Semiconductor device and method of fabricating the same
JP3125187B2 (ja) 半導体素子のキャパシタの製造方法
KR20070038225A (ko) 반도체 장치의 제조 방법
CN111916453B (zh) 半导体结构及其制造方法
TWI722418B (zh) 半導體結構及其製造方法
KR100546112B1 (ko) 반도체소자의 제조방법
TW507332B (en) Electrode plate structure on the memory and its manufacturing method
CN118555821A (zh) 一种半导体器件及其制造方法和硬掩膜、电子设备
CN118076104A (zh) 半导体结构的制备方法、半导体结构及电子设备
KR20010025820A (ko) 이중층 스토리지 전극을 구비한 캐패시터 제조방법
KR20000055217A (ko) 반도체 장치의 셀프-얼라인 매몰형 비트라인의 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111129

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120418

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120418

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130326

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130326

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130619

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5311116

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250