JP4903154B2 - 基板上に応力をもたせた物質を形成する方法 - Google Patents

基板上に応力をもたせた物質を形成する方法 Download PDF

Info

Publication number
JP4903154B2
JP4903154B2 JP2007543146A JP2007543146A JP4903154B2 JP 4903154 B2 JP4903154 B2 JP 4903154B2 JP 2007543146 A JP2007543146 A JP 2007543146A JP 2007543146 A JP2007543146 A JP 2007543146A JP 4903154 B2 JP4903154 B2 JP 4903154B2
Authority
JP
Japan
Prior art keywords
gas
deposited
substrate
tensile stress
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007543146A
Other languages
English (en)
Other versions
JP2008522405A (ja
Inventor
ミハエラ バルシーヌ,
キー, バム ジュン,
リホア, リー ホアン,
リー‐クン シャ,
ロンピン ワン,
デレック, アール. ウィッティ,
ルイス スターン,
マーティン, ジェイ シーモンズ,
ヒケム マサド,
マイケル, チウ クワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008522405A publication Critical patent/JP2008522405A/ja
Application granted granted Critical
Publication of JP4903154B2 publication Critical patent/JP4903154B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

相互参照
本出願は、2004年11月16日に出願されたBalseanu氏等による「DEPOSITION AND TREATMENT OF TENSILE AND COMPRESSIVE STRESSED LAYERS」と題する米国仮出願第60/628,600号に基づく優先権を主張しており、その記載はそのままここに援用される。
背景
回路及びディスプレイを製造するための基板の処理において、基板は、典型的に、基板上へ物質を堆積させたり基板上の物質をエッチングしたりすることのできるエネルギーを付与された処理ガスに曝される。化学気相堆積(CVD)プロセスにおいては、高周波電圧又はマイクロ波エネルギーで付勢された処理ガスが、ある層、コンタクトホールの充填物又はその他の選択堆積構造体となるような物質を基板上に堆積させるのに使用される。その堆積層は、例えば、金属酸化物半導体電界効果トランジスタ(MOSFET)及びその他のデバイスの如き能動的及び受動的デバイスを基板上に形成するため、エッチング又はその他の処理を受ける。MOSFETは、典型的には、ソース領域、ドレイン領域及びそのソース領域とドレイン領域との間のチャネル領域を有している。このMOSFETデバイスにおいては、ソースとドレインとの間の通電を制御するため、チャネルの上方にゲート絶縁体によって分離されたゲート電極が形成されている。
このようなデバイスの性能は、例えば、供給電圧、ゲート絶縁体厚さ又はチャネル長さを減少させることによって改善することができる。しかしながら、このような従来の方法は、デバイスのサイズ及びスペーシングが更により小さくなっていくにつれて、マウンティングの問題に直面する。例えば、非常に小さなチャネル長さの場合には、ユニット当たりのトランジスタの数を増大させるためチャネル長さを減少させることによって得られる効果は、望ましくないキャリア速度飽和効果によって相殺されてしまう。ゲート絶縁体厚さを減少させることによって得られる、ゲート遅延の減少の如き同様の利点は、小さなデバイスでは、時間経過につれてトランジスタにダメージを与えてしまうことがあるような絶縁体を通しての電荷トンネリング及びゲート漏れ電流の増大のため、制約されてしまう。供給電圧を減少させると、動作電力レベルを低くすることができるが、このような減少も又、トランジスタのしきい値電圧によって制約される。
トランジスタ性能を高めるための比較的に新しく開発された方法では、堆積物質の原子格子に応力をもたせておくことにより、その物質自体の電気的特性を改善し、又は、応力をもたせた物質によって加えられる力で歪まされる下層又は上層物質の電気的特性を改善している。格子歪みにより、シリコンの如き半導体のキャリア移動度が増大され、それにより、それらの性能が改善される。例えば、内部圧縮又は引張り応力を有するトランジスタの構成部分物質の堆積によってトランジスタのチャネル領域に局在格子歪みを誘起させることができる。例えば、ゲート電極のケイ化物質のためのエッチストップ材料及びスペーサーとして使用される窒化シリコン物質は、トランジスタのチャネル領域に歪みを誘起させる応力をもたせた物質として堆積させることができる。堆積物質に望ましい応力のタイプは、応力をもたせられるべき物質の性質に依存している。例えば、CMOSデバイスの製造においては、負チャネル(NMOS)ドープ領域は、正の引張り応力を有する引張り応力をもたせた物質で覆われ、一方、正チャネルMOS(PMOS)ドープ領域は、負の応力値を有する圧縮応力をもたせた物質で覆われる。
従って、引張り又は圧縮応力の如き所定のタイプの応力を有する応力をもたせた物質を形成することが望まれている。更に又、堆積物質に発生される応力のレベルを制御することが望まれている。また、基板に均一な局在応力又は歪みを生成するように、このような応力をもたせた物質を堆積することが望ましい。また、基板上の能動的又は受動的デバイス上にそれらデバイスにダメージを与えることなく応力をもたせた物質を形成することができるようなプロセスを提供することが望ましい。
概要
1つの態様では、応力をもたせた物質が基板上に形成される。基板が処理ゾーンに置かれ、その処理ゾーンに与えられたシリコン含有ガス及び窒素含有ガスを有する処理ガスのプラズマが形成される。窒素の如き希釈ガスを加えることもできる。その堆積直後のままの物質は、堆積窒化シリコン物質の応力を増大させるため、紫外線又は電子ビームに曝される。
基板上に応力をもたせた物質を堆積する別の方法では、基板が処理ゾーンに置かれ、第1の処理サイクルにおいて、処理ゾーンへ与えられる処理ガスのプラズマが維持される。その処理ガスは、シリコン含有ガス、及び窒素でない窒素含有ガスを有する第1の成分と、窒素を有する第2の成分とを有する。その後、第2の処理サイクルにおいて、処理ガスの第1の成分の流れを停止し、窒素を有する第2の成分のプラズマは維持しておく。所望数の処理サイクルに続いて、処理ガスを排出する。
基板上に応力をもたせた物質を堆積させる更に別の方法では、基板が、処理チャンバの電極によって境界が定められた処理ゾーンに置かれる。シリコン含有ガス及び窒素含有ガスを有する処理ガスがその処理ゾーンへ導入される。その処理ゾーンの境界を定めている電極端に電圧パルスを印加することにより、その処理ガスのパルス化プラズマが生成される。それら電圧パルスの各々は、デューティーサイクルを有しており、それら電圧パルスは、約20ワットから約500ワットまでの電力レベルで電極へ高い無線周波数の電圧を与えるものである。
基板上に応力をもたせた物質を形成する更に別の方法では、基板が、処理ゾーンに置かれ、シラン及びアンモニアを有する第1の成分と窒素を有する第2の成分とを含む処理ガスがその処理ゾーンへ導入され、その処理ガスのプラズマが形成される。処理ガスの第1の成分と処理ガスの第2の成分との体積流量比は、少なくとも約1:10である。
別の態様では、処理ゾーンに基板を配置し、シラン及びアンモニアを含む処理ガスを処理ゾーンへ導入させ、その処理ガスのプラズマを生成することによって、基板上に応力をもたせた物質が形成される。シランとアンモニアとの体積流量比は、約1:1から約1:3までであり、少なくとも約500MPaの引張り応力を有する引張り応力をもたせた物質を堆積するに十分なほど低い。
更に別の態様では、基板を処理ゾーンに配置し、基板を約450℃から約500℃までの温度に維持し、シリコン含有ガス及び窒素含有ガスを有する処理ガスを処理ゾーンへ導入し、処理ゾーンに処理ガスのプラズマを形成することによって、基板上に応力をもたせた物質が堆積させられる。
更に別の態様では、処理チャンバの電極によって境界を定められた処理ゾーンに基板を配置することによって、基板上に応力をもたせた物質が堆積させられる。シリコン含有ガス及び窒素含有ガスを有する処理ガスが、処理ゾーンへ導入され、処理ゾーンの境界を定めている電極端に高い無線周波数の電圧を印加することにより、処理ガスのプラズマが発生される。その高い無線周波数の電圧は、約3MHzから約60MHzまでの範囲内の周波数で且つ約200ワットより低い電力レベルで印加される。
更に別の態様では、基板支持体及びチャンバ壁部にある電極によって境界を定められた処理ゾーンに基板を配置し、チャンバ壁部に対して電気的浮動電位に基板支持体を維持することにより、基板上に応力をもたせた物質が堆積される。シリコン含有ガス及び窒素含有ガスを有する処理ガスが、処理ゾーンへ導入され、電極端に無線周波数の電圧を印加することにより、処理ガスのプラズマが発生される。
更に別の態様では、処理チャンバの基板支持体及びガス分配器における電極によって境界が定められた処理ゾーンに基板を配置することにより、基板上に応力をもたせた物質が堆積させられる。シリコン含有ガス及び窒素含有ガスを有する処理ガスが、ガス分配器を通して処理ゾーンへ導入させられる。負のDCバイアス電圧が、ガス分配器に印加され、処理ガスのプラズマが発生される。
更に別の態様では、処理チャンバの基板支持体及びガス分配器における電極によって境界が定められた処理ゾーンに基板を配置することにより、基板上に応力をもたせた物質が堆積させられる。正のDCバイアス電圧が、基板支持体に印加され、シリコン含有ガス及び窒素含有ガスを有する処理ガスがガス分配器を通して処理ゾーンへ導入され、処理ガスのプラズマが発生される。
更に別の態様では、堆積プロセスサイクル及びアニーリングプロセスサイクルを行うことにより、基板上に応力をもたせた物質が堆積させられる。堆積処理サイクルにおいて、処理ゾーンに基板を配置し、シリコン含有ガス及び窒素含有ガスを有する処理ガスを処理ゾーンへ導入させ、処理ガスのプラズマを発生させ、処理ゾーンから処理ガスを排出させることにより、基板上に応力をもたせた物質が堆積させられる。アニーリングプロセスサイクルにおいて、基板上の堆積された応力をもたせた物質が、少なくとも約450℃の温度まで加熱される。
別の態様では、処理ゾーンに基板を配置し、第1の処理ガス及び第2の処理ガスを処理ゾーンへ導入させ、第1の処理ガス及び第2の処理ガスのプラズマを発生させ、第1の処理ガス及び第2の処理ガスを処理ゾーンから排出させることにより、基板上に応力をもたせた物質が堆積させられる。第1の処理ガスは、第1の流量でもって処理ゾーンへ導入され、シリコン含有ガス及び窒素含有ガスを有する。第2の処理ガスは、第2の流量でもって処理ゾーンへ導入され、GeH、Ar及びHを有する。
更に別の態様では、基板を処理ゾーンに配置し、第1の成分及び第2の成分を有する処理ガスを処理ゾーンへ導入させ、処理ガスのプラズマを発生させ、チャンバから処理ガスを排出させることにより、基板上に応力をもたせた物質が堆積させられる。第1の成分は、第1の流量でもって処理ゾーンへ導入させられ、シリコン含有ガス及び窒素含有ガスを有する。第2の成分は、第2の流量でもって処理ゾーンへ導入させられ、ヘリウム又はアルゴンを有する。第2の成分と第1の成分との体積流量比は、少なくとも1:1である。
更に別の方法では、処理チャンバにおける電極によって境界を定められた処理ゾーンに基板を配置することにより、基板上に応力をもたせた物質が堆積させられる。(i)シリコン含有ガスを有する第1の成分、(ii)窒素及びアンモニアを有する第2の成分及び(iii)アルゴンを有する第3の成分、を有する処理ガスが、チャンバへ導入させられる。処理ガスのプラズマを発生させるため、低いRFの電圧が電極に印加される。この低いRFの電圧は、約1MHzより低い周波数を有する。
別の変形例では、チャンバにおける電極によって境界を定められた処理ゾーンに基板を配置することにより、基板上に応力をもたせた物質が堆積させられる。シリコン含有ガス及び窒素含有ガスを有する処理ガスが、処理ゾーンへ導入させられ、(i)約1MHzより低い周波数で且つ少なくとも約300ワットの電力レベルでの低い無線周波数の電圧及び(ii)少なくとも約10MHzの周波数で且つ少なくとも約300ワットの電力レベルでの高い無線周波数の電圧を電極に印加することにより、処理ガスのプラズマが発生させられる。
別の変形例では、処理チャンバにおける電極によって境界を定められた処理ゾーンに基板を配置することにより、基板上に応力をもたせた物質が堆積させられる。シリコン含有ガス及び窒素含有ガスを有する処理ガスが、処理ゾーンへ導入させられ、(i)電極の間隔距離dを約10.8mmより小さく設定し、(ii)無線周波数電圧を電極に印加することによって、処理ガスのプラズマが発生させられる。少なくとも約1.5トールの圧力を設定するように処理ガスをチャンバから排出させ、これにより、圧縮応力をもたせた層が基板上に堆積させられるようにする。
本発明の特徴、態様及び効果は、本発明の実施例を例示する添付図面、以下の説明及び特許請求の範囲の記載を参照するときより良く理解されよう。しかしながら、それら特徴の各々は本発明において一般的に使用されうるものであって、特定の図面のようにのみ使用されるものではなく、本発明は、それらの特徴の任意の組み合わせを含むものであることを理解されたい。
説明
本発明によって応力をもたせた物質を堆積させるために使用することのできる基板処理チャンバの1つの実施形態を、図1に概略的に例示している。本発明を例示するため典型的なチャンバが使用されているのであるが、当業者には明らかなように、その他のチャンバも使用することができる。従って、本発明の範囲は、ここに例示したようなチャンバの典型的な実施形態又はその他の構成部分に限定されるものではない。一般的に、チャンバ80は、シリコンウエハの如き基板32を処理するのに適当なプラズマ化学気相堆積(PE−CVD)チャンバである。例えば、適当なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社によるProducer(商品名)SEタイプのチャンバである。このチャンバ80は、天井壁部88、側壁部92、底壁部96を含み処理ゾーン100を包囲する包囲壁部84を備える。また、このチャンバ80は、処理ゾーン100の辺りの包囲壁部84の少なくとも一部分を裏打ちするライナー(図示していない)を備えることができる。300mmシリコンウエハを処理するために、このチャンバは、典型的には、約20,000から約30,000cmの容積を有し、より典型的には、約24,000cmの容積を有する。
処理サイクル中に、基板支持体104が下降され、基板32がロボットアームの如き基板搬送装置106によって入口ポート110を通して支持体104上に配置される。基板支持体104は、ローディング及びアンローディングのための下方位置と基板32の処理のための調整可能な上方位置との間に移動できる。基板支持体104は、チャンバ80へ導入された処理ガスからプラズマを発生させるための密閉形電極105を含むことができる。基板支持体104は、ヒーター107によって加熱することができる。このヒーター107は、電気抵抗加熱素子(図示されているような)であっても、加熱ランプ(図示していない)であっても、又は、プラズマ自体であってもよい。基板支持体104は、典型的には、基板32を受け入れるための受入面を有し且つ電極105及びヒーター107をチャンバ環境から保護するセラミック構造体を備える。使用において、無線周波数(RF)電圧が電極105に印加され、直流(DC)電圧がヒーター107に印加される。基板支持体104における電極105は、基板32を支持体104に対して静電的にクランプするのにも使用できる。また、基板支持体104は、支持体104上の基板32の周辺を少なくとも部分的に取り囲む1つ又はそれ以上のリング(図示していない)を備えることができる。
基板32が支持体104上に装填された後、支持体104は、ガス分配器108により近い処理位置へと上昇させられ、それらの間に所望の間隔ギャップ距離dが与えられるようにする。この間隔距離は、約2mmから約12mmまででありうる。ガス分配器108は、基板32に亘って均一に処理ガスを分散させるため処理ゾーン100の上方に配置されている。ガス分配器108は、第1の処理ガス及び第2の処理ガスを、処理ゾーン100内へ導入する前にはそれらガス流を混合せずに、2つの独立した流れとして別々に処理ゾーン100へ分配するか、又は、処理ガスを予備混合してからその予備混合した処理ガスを処理ゾーン100へ与えるようにすることができる。ガス分配器108は、処理ガスの通過を許容する孔112を有するフェイスプレート111を備える。このフェイスプレート111は、典型的には、電圧又は電位を印加でき、それにより、チャンバ80における電極として作用しうるように金属で形成される。適当なフェイスプレート111は、陽極酸化コーティングを有するアルミニウムで形成される。基板処理チャンバ80は、また、第1の処理ガス及び第2の処理ガスをガス分配器108へ分配するための第1のガス供給装置及び第2のガス供給装置124a、124bを備える。これらガス供給装置124a、bの各々は、ガス源128a、b、1つ又はそれ以上のガス導管132a、b、及び1つ又はそれ以上のガス弁144a、bを備える。例えば、1つの変形例では、第1のガス供給装置124aは、ガス源128aからの第1の処理ガスをガス分配器108の第1の入口110aへと分配するための第1のガス導管132a及び第1のガス弁144aを備えており、第2のガス供給装置124bは、第2のガス源128bからの第2の処理ガスをガス分配器108の第2の入口110bへと分配するための第2のガス導管128b及び第2のガス弁144bを備える。
処理ガスは、この処理ガスからプラズマを形成するため、電磁エネルギー、例えば、高周波数電圧エネルギーを処理ガスへ結合することにより、付勢される。第1の処理ガスを付勢するため、(i)支持体104における電極105と(ii)ガス分配器108、天井壁部88又はチャンバ側壁部92でありうる第2の電極109との間に電圧が印加される。電極105、109の対に印加された電圧は、エネルギーを処理ゾーン100における処理ガスへ容量的に結合させる。典型的には、電極105、109に印加される電圧は、無線周波数である。一般的に、無線周波数は、約3kHzから約300GHzまでの範囲のものである。本応用例のためには、低い無線周波数は、約1MHzより小さいものであり、より好ましくは、例えば、約300kHzの如く約100kHzから1MHzまでのものである。また、本応用例のためには、高い無線周波数は、約3MHzから約60MHzまでのものであり、より好ましくは、約13.56MHzのものである。選択された無線周波数電圧は、約10Wから約1000Wまでの電力レベルで第1の電極105へ印加され、第2の電極109は、典型的には、接地される。しかしながら、使用される特定の無線周波数範囲及び印加電圧の電力レベルは、堆積すべき応力をもたせた物質のタイプに依存している。
チャンバ80は、また、消費した処理ガス及び副生物をチャンバ80から除去し処理ゾーン100における処理ガスの所定圧力を維持するためのガス排出装置182を備える。1つの変形例では、このガス排出装置182は、チャンバ80内の処理ガスの圧力を制御するため、処理ゾーン100からの消費処理ガスを受け入れるポンピングチャネル184、排出ポート185、スロットル弁186及び1つ又はそれ以上の排出ポンプ188を含む。排出ポンプ188は、ターボ分子ポンプ、深冷ポンプ、ラフィングポンプ及び1つより多い機能を有するコンビネーションポンプのうちの1つ又はそれ以上のものを含むことができる。チャンバ80は、また、このチャンバ80内へパージガスを分配するためのチャンバ80の底壁部96を貫通する入口ポート又はチューブ(図示していない)を備えることができる。パージガスは、典型的には、入口ポートから上方へ基板支持体104を越して環状ポンピングチャネルへと流れる。パージガスは、処理中に基板支持体104の表面及び他のチャンバの構成部分に対して望ましくない堆積付着が生じないようにするのに使用される。このパージガスは、また、処理ガスの流れを望ましい態様において調整するのに使用することができる。
チャンバ80の活動性及び動作パラメータを制御するためコントローラ196も設けられる。このコントローラ196は、例えば、プロセッサ及びメモリを備えることができる。プロセッサは、メモリに記憶されたコンピュータプログラムの如きチャンバ制御ソフトウエアを実行する。このメモリは、ハードディスクドライブ、読取り専用メモリ、フラッシュメモリ又はその他のタイプのメモリであってよい。コントローラ196は、また、フロッピーディスクドライブ及びカードラックの如き他のコンポーネントを備えることができる。カードラックは、シングルボードコンピュータ、アナログ及びデジタル入力/出力ボード、インターフェイスボード及びステッパモータコントローラボードを含むことができる。チャンバ制御ソフトウエアは、特定のプロセスのタイミング、ガスの混合、チャンバ圧力、チャンバ温度、マイクロ波電力レベル、高周波電力レベル、支持位置及びその他のパラメータを指示する命令のセットを含む。
チャンバ80は、また、例えば、基板支持体104内の第1の電極105及びチャンバ内の第2の電極109の如き種々なチャンバ構成部分へ電力を分配するための電力供給装置198を備える。電力をチャンバ電極105、109へ分配するため、電力供給装置198は、選択された無線周波数及び所望の選択可能な電力レベルを有する電圧を与える無線周波数電圧源を備える。電力供給装置198は、単一無線周波数電圧源又は高い無線周波数及び低い無線周波数の両方を与える複数の電圧源を含むことができる。この電力供給装置198は、また、RF整合回路を含むことができる。更に又、この電力供給装置198は、基板支持体104における静電チャックであることが多い電極へ静電荷を与えるための静電荷電源を備えることができる。基板支持体104内にヒーター107が使用されるとき、電力供給装置198は、また、そのヒーター107へ適当な制御可能な電圧を与えるヒーター電力源を含む。DCバイアスをガス分配器108又は基板支持体104へ印加すべきときには、電力供給装置198は、また、ガス分配器108のフェイスプレート111の導電金属部分へ接続されるDCバイアス電圧源を含む。この電力供給装置198は、その他のチャンバ構成部分、例えば、チャンバのモータ及びロボットのための電力源を含むことができる。
基板処理チャンバ80は、また、このチャンバ80内の構成部分表面又は基板表面の如き表面の温度を検出するための熱電対又は干渉計の如き温度センサー(図示していない)を備える。この温度センサは、そのデータをチャンバコントローラ196へ転送することができ、そのチャンバコントローラ196は、その温度データを使用して、例えば、基板支持体104の抵抗加熱素子を制御することにより処理チャンバ80の温度を制御することができる。
この典型的なチャンバ80において、異なるタイプの応力をもたせた物質を堆積させることができる。普通に堆積される応力をもたせた物質の1つのタイプは、窒化シリコンからなるものである。ここで、窒化シリコンとは、シリコン−窒素(Si-N)結合を有する物質を意味するもので、これら物質としては、シリコンオキシニトライド、シリコン−酸素−水素−窒素、及びシリコン、酸素、水素及び炭素ものその他の定比又は不定比組合せの如き物質がある。本発明を例示するため窒化シリコンの応力をもたせた物質を堆積させる典型的な方法について説明するのであるが、これらの方法は、応力をもたせた酸化シリコン、応力をもたせた絶縁体層及びその他のものを含むその他のタイプの物質を堆積させるのにも使用できるものであることを理解されたい。従って、本発明の範囲は、ここに説明する例示としての応力をもたせた窒化シリコンの実施形態に限定されるものではない。
以下に説明するように、処理パラメータを制御することにより、又は、堆積物質を処理することにより、堆積窒化シリコンの応力をもたせた物質の応力の両タイプ、即ち、引張りか又は圧縮かを設定することができ、また、その応力値を設定することができることが見出されている。処理パラメータについて、別々に説明し又はある特定の組合せにて説明するのであるが、本発明は、ここに説明する典型的な個々のもの又は組合せに限定されるものではなく、当業者には明らかなように、その他の個々のパラメータ又はパラメータの組合せもありうるものである。
引張り応力をもたせた物質
説明によって限定されることなく、より高い応力値を有する窒化シリコンの応力をもたせた物質が、堆積窒化シリコン物質における正味の水素含量又はシリコン−水素結合(Si-H結合)の両を減少させることにより得られることが見出されている。堆積物質における水素含量をより低くすると、結果的に、堆積直後のままの窒化シリコン物質におけるSi-H結合の量が検出しうる程度により小さくなり、堆積物質の引張り応力値をより高くすることができると考えられる。更に又、ここに説明するように、堆積物質の水素含量をより低くするため、幾つかの異なる堆積処理パラメータ、堆積物質の処理、又はそれらの組合せを使用することができることも見出されている。
引張り応力をもたせた窒化シリコン物質を堆積させるため、チャンバへ導入される処理ガスは、シリコン含有ガスを含む第1の成分及び窒素含有ガスを含む第2の成分からなる。そのシリコン含有ガスは、例えば、シラン、ジシラン、トリメチルシリル(TMS)、トリス(ジメチルアミノ)シラン(TDMAS)、ビス(第三ブチルアミノ)シラン(BTBAS)、ジクロロシラン(DCS)及びそれらの組合せでもよい。例えば、適当なシランの流量は、約5sccmから約100sccmまでである。窒素含有ガスは、例えば、アンモニア、窒素及びそれらの組合せでもよい。適当なアンモニアの流量は、約10sccmから約200sccmまでである。処理ガスは、また、はるかにより大きな体積量にて反応ガス成分を与える希釈ガスでもよい。この希釈ガスは、また、希釈剤としても作用し、また、少なくとも部分的に反応窒素含有ガス、例えば、約5000sccmから約30,000sccmまでの流量の窒素としても作用することができる。この処理ガスは、また、シリコンオキシニトライド物質を堆積させるときには、酸素含有ガスの如き付加的なガス、例えば、酸素を含むことができる。特に指定しない限り、これらのプロセスにおいては、典型的なガス圧力は、約3トールから約10トールであり、基板温度は、約300℃から600℃であり、電極間隔は、約5mm(200ミル)から約12mm(600ミル)であり、RF電力レベルは、約5ワットから約100ワットである。
より高い温度
本発明の第1の態様では、堆積中により高い基板温度を維持することにより、堆積窒化シリコン物質における水素含量をより低くすることができることが見出されている。例えば、図3は、堆積物質の応力値に対する基板温度の効果を示している。約400℃の最も低い評価温度では、堆積膜は、800MPaよりわずかに高い引張り応力値を示した。処理温度を増大すると、引張り応力値は増大した。例えば、約450℃のより高い温度で堆積された物質の場合には1100MPaの引張り応力値が測定され、約550℃の最も高い評価処理温度で堆積された物質の場合には1200MPaの引張り応力値が測定された。従って、処理温度を増大すると、堆積物質の引張り応力値がより高くなっている。その上、堆積物質に対してなされたフーリエ変換赤外線(FTIR)分光分析法によれば、堆積処理温度が増大するにつれて、堆積物質のN-H及びSi-N結合の両者に対するピーク波レベルが減少され、Si-N及びN-H結合の長さも減少することを示す。Si-H結合は、温度が高くなるにつれてピーク波レベルが増大するという反対の傾向を示す。従って、堆積温度がより高くなると、堆積物質内の水素含量がより低くなり、これら、典型的には、Si-H結合のレベルが減少し、且つ所望のSi-N結合のレベルがより高くなることにより識別される。
しかしながら、基板堆積温度は、基板32上の他の物質が損傷することなく露出されうる最大温度によって制限される。例えば、基板上に既にケイ化ニッケルを含むケイ化物質上に応力をもたせた窒化シリコン物質が堆積されるときには、基板32の温度は、少なくとも約500℃より低く、より典型的には、約480℃に維持される。何故ならば、ケイ化物質は、500℃を越える温度に対して露出させられると、これらのより高い温度でケイ化物質内のNiが凝集させられることにより損傷させられ、例えば、ケイ化物質の抵抗率が望ましくない程に増大させられてしまうことがあるからである。従って、ケイ化ニッケル物質上に引張り応力をもたせた窒化シリコンを堆積させるのに適当な温度範囲は、約450℃から約500℃までである。
高温アニールを伴う低温堆積
別の実施形態では、比較的に低い温度で基板32上に物質を堆積し、続いて、比較的により高い温度でその堆積物質を急速に熱アニーリングすると、引張り応力値が更に増大させられることが見出された。適当な低温堆積処理は、約420℃より低い温度でなされ、続いて、その堆積温度より高いアニーリング温度でアニーリングするものである。この低温堆積処理のための適当な温度範囲は、約100℃から約400℃である。そのアニーリング処理のための適当な温度は、少なくとも約450℃であり、好ましくは、約400℃から600℃である。高温アニール処理は、基板自体の下層の融点又は熱劣化により制限される。この低温堆積は、基板の全熱露出を減少させ、高温での急速熱アニーリング処理は、膜のH含量を減少させ、その結果、堆積膜の引張り応力が増大されると考えられる。
シラン/アンモニア比
化学気相堆積反応に使用される反応ガス成分の比を制御することにより、堆積物質における水素含量をより低くすることもできる。例えば、窒化シリコンの堆積において、シリコン含有ガスと窒素含有ガスとの比により、堆積層の応力値を制御できることが見出されている。基板32上に高い引張り応力をもたせた窒化シリコン物質を堆積させる1つの典型的な処理では、チャンバ80へ導入される処理ガスは、シラン(SiH)を含むシリコン含有ガス成分、アンモニア(NH)を含む窒素含有ガス成分、及び窒素(N)を含む希釈ガス成分を含む。
図4A及び図4Bは、引張り応力値及び膜厚さ均一性に対するNH及びSiHの流量の効果の例を示している。その処理条件として、N流量は、20,000sccmであり、圧力は、6トールであり、電力レベルは、30ワットであり、温度は、430℃であり、電極間隔は、12mm(480ミル)である。図4Aにおいて、NHの流量は、500sccmに維持され、一方、SiHの流量は、25sccmから50sccmまで変化される。引張り応力値は、NHの流量の増大につれて、約50sccmでの900MPaより少し低い応力値から約500sccmの流量での1050MPaを越えた応力値まで減少することが分かる。堆積層の厚さ均一性は、NHの流量の増大につれて、約50sccmの流量での0.6%より低い均一性から約500sccmの流量での約1.6%の均一性まで増大する。図4Bは、NHの流量を50sccmから500sccmまで変化させて堆積された物質及びSiHの流量を25sccmと一定として堆積した物質について測定された引張り応力値を示している。引張り応力値は、SiHの流量を増大するにつれて、約25sccmのSiHの流量での約1080MPaの応力値から約50sccmの流量での980MPaより少し低い応力値まで減少することが分かる。厚さ均一性百分率は、SiHガスの流量の増大につれて、SiHの約25sccmでの約0.5%の均一性百分率から約50sccmの流量での約1.2%の均一性百分率まで増大する。
図5Aから図5Dは、引張り応力値、屈折率、堆積割合及び厚さ均一性に対するSiH及びNHの流量の効果の例を示している。これらの図は、一般的に、SiHとNHとの比が低い程、引張り応力値がより高くなることを例示している。図5Aは、N/NHリッチ環境を与えるSiHの流量に対してSi/SiHリッチ環境を与えるSiHの流量を増大していく場合の引張り応力値及び屈折率に対する影響を示している。一般的に、引張り応力値は、約21sccmのSiHの流量までは増大し、その後、減少していき、一方、屈折率は、一般的には、SiHの流量の増大につれて増大する。図5Bは、NHのより低い流量(Si/SiHリッチ環境)に対してNHの流量を増大していく(N/NHリッチ環境)場合のものを示しており、測定引張り応力値及び屈折率共に、NHの約200sccmで実質的に一定値とされている。図5Cは、SiHの流量を約40sccmの流量まで増大していくにつれて堆積割合が全体として増大していき、均一性が減少していき、その後均一性が増大していることを示している。図5Dは、NHの流量がしていくにつれて堆積割合が全体として減少していくことを示し、一方、NHの約400sccmの流量までは均一性百分率が増大するが、その後その均一性百分率は実質的に横ばいとされることを示している。
図6A及び図6Bは、前述した処理条件の場合における堆積割合、厚さ均一性(%)、引張り応力値及び屈折率に対するSiH及びNHの全流量の効果を示している。図6Aは、その全流量が増大するにつれて厚さ均一性が全体として増大し、一方、堆積割合は、約150sccmの全流量までは増大し、その後は減少することを示している。図6Bは、全流量が増大するにつれて引張り応力値が全体として減少し、屈折率は、SiH及びNHの全流量の増大につれて全体として増大することを示しており、SiH及びNHの全流量の増大による引張り応力値及び屈折率に対する効果を示している。
従って、NHに対するSiHの流量の比を減少させていくと、より高い引張り応力値を有する物質を堆積させることができる。この結果として、アンモニアに対するシランの体積流量の比を十分に低く選択することにより、例えば、少なくとも約500MPaの引張り応力値を有する引張り応力をもたせた物質を堆積させることができる。好ましくは、アンモニアに対するシランの比率は、約1:1から約1:3までであり、より好ましくは、約1:2である。適当な組成は、25sccmの体積流量のシランと50sccmの体積流量のアンモニアとからなる。
窒素希釈ガス
十分大きな体積において前述した処理ガスへ、窒素からなる希釈ガス成分を加えることもできる。この窒素希釈ガスは、他の処理ガス成分と比べて非常に大きな体積をもって使用されるので、希釈ガスと称するが、窒素は、実際には、希釈剤及び反応ガスの両者として作用するものである。堆積中にチャンバ内の希釈ガスの体積の他のガス成分に対する比を制御することにより、堆積物質における水素含量をより低くすることができる。
堆積物質の堆積割合及び引張り応力値に対するNの流量の効果を図7に示している。Nの流量を増大していくにつれて、堆積割合は、全体として、約500sccmのNの流量での200オングストローム/分よりわずかに低い割合から約33,500sccmのNの流量での約125オングストローム/分の堆積割合まで減少していく。500sccmのNの流量での堆積物質の引張り応力値は、約800MPaと比較的に低かった。Nの流量を増大していくと、引張り応力値は、約5000sccmの流量で100MPaより大きく、また、10,000sccmで1100MPaより大きいというように、増大していく。約20,000sccmから約25,000sccmまでのNの流量で、約1200MPaの最も高い引張り応力値が得られた。Nの25,000sccmより高い、即ち、33,500sccmの流量レベルで、堆積物質の引張り応力値は、1200MPaより低い値へと減少し始める。従って、約25,000sccmの本チャンバ容積の場合には、約20,000sccmから約25,000sccmまでのNの流量で、最も高い引張り応力値が得られた。従って、引張り応力をもたせた物質の場合には、Nの如き希釈ガスの単位チャンバ容積当たりの流量は、約0.8から約1までであった。
1つの実施形態では、窒素の流量に対するシラン及びアンモニアの組合せ体積流量の比は、堆積物質に最適な引張り応力を与えるため、少なくとも約1:10に維持される。例えば、シラン及びアンモニアの組合せ体積流量が75sccmであるとき、窒素の体積流量は、少なくとも約7500sccm、より典型的には、約10,000sccmから約20,000sccmであるべきである。このような説明によって制限されることなく、処理ガスの窒素含量をより高くすることにより、水素含量がより低くなり、その結果として、堆積物質の引張り応力がより高くなると考えられる。処理ガスにおける希釈剤窒素の量をより多くすると、シリコン及び窒素プラズマ種が実際にガス相として留まる時間が増大し、それにより、堆積物質にシリコン−窒素(Si-N)結合が形成される可能性が増大し、その物質に形成されるSi-H結合の数が減少させられる。
ガス圧力範囲
図8は、チャンバ内の処理ガス圧力を増大することによる、その結果生ずる堆積物質の引張り応力値及び屈折率に対する効果を示している。一般的に、約4トールと8トールとの間では、堆積物質に誘起される引張り応力値は、1100MPa辺りで比較的にフラットに留まる(ライン(a))。6トールの圧力レベルで、最も高い引張り応力が与えられ、一方、6トールより低い圧力及び6トールより高い圧力で、より低い引張り応力値が与えられる。8トールを越えるガス圧力では、引張り応力値は、実質的に減少する。また、屈折率は、ガス圧力を増大させていくと、約7トールの圧力まではより高くなり、その後、屈折率は減少する。従って、ガス圧力は、約4トールから約8トールまでが好ましい。
高いRFの電圧の低電力レベル
高い無線周波数の電圧を電極105に印加し第2の電極109を接地することにより、処理ガスからプラズマが形成される。高い無線周波数とは、約3MHzから約60MHzまでの範囲内の周波数である。処理ガスからプラズマを発生することによってCVD反応を活性化すると、熱的に活性化されるCVD処理に比較して、比較的により低い温度処理とすることができるので、一般的に効果的である。ここに記載の実施例では、13.56MHzの周波数で高い無線周波数の電圧が電極105、109へ印加される。
引張り応力をもたせた窒化シリコン物質を堆積する場合には、実質的に高い周波数の電圧のみが電極105に印加される。300kHzの周波数の如き約1MHzより小さい低い無線周波数は、電極には印加されない。何故ならば、堆積中に電極に印加される低い周波数の電圧の電力レベルを増大していくと、不所望に低い引張り応力値を有する物質が堆積させられてしまうことが、実験的に確かめられたからである。例えば、図9は、異なる電力レベルで電極105、109端に印加される低い無線周波数の電圧を使用して堆積させられた窒化シリコン物質の測定引張り応力値を示している。図示されるように、10ワットより低い電力レベルで低いRFの電圧にて発生されたプラズマでもって堆積させられた窒化シリコン物質は、800MPaよりわずかに低い本質的にフラットな引張り応力値を有する結果となった。この低いRFの電圧の電力レベルを増大していくと、より低い引張り応力値を有する膜が堆積させられる結果となった。例えば、約15ワットの電力レベルで印加される低い周波数の電圧を使用して堆積させられた物質は、約600MPaより小さな応力値を示し、40ワットのより高い電力レベルで堆積させられた物質は、約−100MPaの負の圧縮応力値を示した。従って、引張り応力をもたせた物質を堆積させる場合には、実質的に高いRFの電圧のみが電極105、109端に印加され、低いRFの電圧は印加されなかった。
更に又、高いRFの電圧は、比較的に低い電力レベルで印加されるべきであることも確認された。図10A及び図10Bは、高い無線周波数の電力レベルを増大していくときの、堆積物質の堆積割合、物質厚さ均一性、引張り応力値及び屈折率に対する効果を例示している。図10Aは、150ワットの電力レベルまで堆積割合が増大し、150ワットの電力レベルまで均一性百分率が減少することを示している。図10Bは、高い周波数の電力レベルを増大していくにつれて、引張り応力値及び屈折率が減少していくことを示している。チャンバ電極105、109に印加される高いRFの電圧の電力レベルは、堆積される物質の引張り応力値を減少させてしまうようなエネルギーを付与されたプラズマ種による基板32への衝撃を減ずるに十分に低くなければならないと考えられる。しかしながら、高いRFの電圧の電力レベルは、低過ぎてはならず、さもないと、プラズマが不安定となってしまい、従って、電力は、安定なプラズマを生成するに十分に高くなければならない。これらの要件に基づいて、印加される高いRFの電圧の電力レベルは、約200ワットより低いのが好ましく、より好ましくは、約10ワットから約100ワットまでである。
前述した処理条件によれば、100MPaから1000MPaまでというような従来得られた引張り応力値よりも相当に高い1.2GPaを越えた引張り応力値を有する引張り応力をもたせた窒化シリコン物質が堆積させられた。シランとアンモニアとの選択された体積流量比、高い希釈ガス含量、高い処理温度及びチャンバ電極への高い無線周波数の電圧の印加の処理条件の組合せの結果として、堆積直後のままの窒化シリコン物質における水素含量をより低くすることができ、このように堆積直後のままの窒化シリコン物質における水素含量が低い程、より高い引張り応力値が得られと考えられる。
基板支持体に対する浮動電位
基板を支持する基板支持体を浮動電位に維持することによっても、堆積物質の引張り応力値を改善することができ、特に、高いRFの電力レベルのところでより大きい値に改善される。例えば、表Iは、基板32より下方の支持体104へ印加される高いRFの電圧の高い電力レベルでより高い引張り応力値が得られたことを示している。高い無線周波数は、13.56MHzであり、電力レベルは、200ワットを超えていた。高いRFの電圧の高い電力レベルとすると一般的には堆積物質の引張り応力は低くなるのであるが、基板支持体104に浮動電位を印加すると、その引張り応力値が改善され、1.1GPaを越えた引張り応力値が与えられる。
Figure 0004903154
この変形例では、基板支持体は、絶縁体コーティングを有する金属ブロック、静電チャック、及び埋込抵抗加熱素子を有する金属ブロックを含む前述した構造体のうちの任意のものでありうる。
DCバイアス電圧印加
基板32のイオン衝撃を更に減少させ、それにより、堆積物質の引張り応力値を増大させるために、ガス分配器108又は基板支持体104へDC(直流)バイアス電圧を印加することができる。このDCバイアス電圧は、荷電されたプラズマ種の基板へ向かう加速度を減少させるように働く。DCバイアス電圧をガス分配器108に印加するため、電力供給装置200は、ガス分配器のフェイスプレート111に電気的に接続されるDCバイアス電圧源を含む。典型的には、基板32のイオン衝撃を減少させるためガス分配器108へ負のDCバイアス電圧が印加される。ガス分配器108へ印加される適当な負のDCバイアス電圧レベルは、約200ボルトより低く、より好ましくは、約25ボルトから約100ボルトまでである。
基板32のイオン衝撃を減少させるため基板支持体104へ印加されるDCバイアスは、典型的には、正のDCバイアス電圧である。この正のDCバイアス電圧は、基板32に向かって進むプラズマ種に加えられる正味の加速電圧を減少させ、それにより、基板32を衝撃するプラズマ種の運動エネルギーを減少させる。基板支持体104に印加される適当な正のDCバイアス電圧レベルは、少なくとも約25ボルトであり、より好ましくは、約50ボルトから約100ボルトまでである。
窒素プラズマ処理サイクル
更に又、堆積窒化シリコン膜を窒素プラズマ処理サイクルでもって処理することにより、堆積直後のままの窒化シリコン物質の応力値を更に増大させることが見出されている。このような処理サイクルは、堆積プロセスを2つの処理サイクルを有するように変更することにより行うことができる。第1の処理サイクル、即ち、堆積処理サイクルにおいて、シリコン含有ガス及び窒素含有ガスを含む第1の成分と、希釈窒素ガスを含む第2の成分とで構成された処理ガスが、チャンバ内へ導入され、チャンバ電極に高い周波数の電圧を印加することにより、その処理ガスからプラズマが形成される。第2の処理サイクル、即ち、窒素プラズマ処理サイクルにおいて、シリコン含有ガス及び窒素含有ガスを含む処理ガスの第1の成分の流れを遮断又は実質的に停止し、一方、希釈窒素ガスを含む第2の成分の流れはそのまま継続させ、プラズマを形成するために電極に印加された高い周波数の電圧も又維持する。これらの2つの処理サイクルを、窒化シリコン物質の堆積中多数回繰り返す。
再び、この説明によって限定されることなく、この窒素プラズマサイクルは、堆積窒化シリコンにおける水素含量を更に減少させると考えられる。この窒素プラズマサイクルは、堆積物質からシリコン−水素結合を排除することにより、堆積窒化シリコン物質におけるシリコン−窒素結合の形成を促進させるものと考えられる。しかしながら、窒素プラズマ処理は堆積窒化シリコン膜の薄い表面領域に影響を及ぼすだけであるので、窒素プラズマ処理が堆積膜の実質的に全厚さに入り込むことができるように十分に薄い窒化シリコンの膜を基板上に堆積させるだけの短い堆積処理サイクルの後に窒素処理サイクルを行うようにする。もし、窒化シリコン膜の全厚さが堆積されてしまった後に窒素プラズマ処理を行ったのでは、堆積物質の薄い表面領域のみしか適切に処理されないであろう。
この変更堆積プロセスは、所望の膜厚さとするために、堆積サイクルと、それに続くプラズマ処理サイクルとを、十分な回数だけ繰り返すものである。例えば、各々が第1の堆積サイクル及び第2の窒素プラズマ処理サイクルからなる20回の処理サイクルを含む堆積プロセスにより、500オングストロームの厚さを有する引張り応力をもたせた窒化シリコン物質が堆積させられた。各堆積サイクルは、約2秒から約10秒までの間行われ、より典型的には、約5秒間行われ、各窒素プラズマ処理サイクルは、約10秒から約30秒までの間行われ、より典型的には、20秒間行われた。その結果堆積された引張り応力をもたせた窒化シリコン物質は、500オングストロームの厚さを有し、その堆積物質の引張り応力値は、窒素プラズマ処理によって1.4GPaまで増大された。これは、堆積直後のままの窒化シリコン物質の引張り応力が10%から25%まで改善されたことを示している。
Figure 0004903154
表IIは、堆積中の基板温度を増大した場合及び複数の窒素プラズマ処理サイクルを行った場合/行わなかった場合の堆積窒化シリコン物質の引張り応力の改善を示している。ベースライン(単一物質)窒化シリコン膜が、窒素プラズマ処理サイクルを行わずに前述した処理条件を使用した単一堆積処理サイクルにて堆積された。このベースライン膜は、基板温度を400℃から500℃まで増大させるにつれて、引張り応力が1GPaから約1.35GPaまで増大されることを示した。NPT(窒素プラズマ処理)膜が、複数の堆積及び窒素プラズマ処理サイクルでもって堆積された。ここで、NPT(1)は、20秒の窒素プラズマ処理サイクルに相当し、NPT(2)は、10秒の窒素プラズマ処理サイクルに相当する。両方のNPT膜とも、引張り応力が、窒素プラズマ処理でもってベースライン膜より増大しており、また、基板温度につれて増大していることが分かる。
図11は、異なる窒素プラズマ処理プロセス条件について電極105、109に印加される高いRFの電圧の電力レベルを増大させることによる堆積物質の引張り応力値に対する影響を示している。第1のプロセス(A)は、7秒間の堆積ステージと、それに続く40秒のプラズマ処理ステージとを含み、これらを20サイクル繰り返すものである。第2のプロセス(B)は、5秒間の堆積ステージと、それに続く40秒間のプラズマ処理とを含み、これらを30サイクル繰り返すものである。第3のプロセス(C)は、4秒間のプラズマ安定化ステージと、5秒間の堆積と、40秒間のプラズマ処理とを含み、これらを30サイクル繰り返すものである。第1のプロセス及び第3のプロセスによると、高い無線周波数が40ワットより少し高い電力レベルに設定されたときに、最も高い引張り応力が得られ、その引張り応力値は、そのピークレベルの各側で減少する。第3のプロセスによると、引張り応力値は、電力レベルの増大につれて、0ワットの電力での1000MPaより少し高い引張り応力値から100ワットでの900MPaまでだんだんと減少する。従って、窒素プラズマ/堆積処理のためには、20ワットから60ワットまでの電力レベルが選択された。
図12は、異なる堆積処理及び異なる窒素プラズマ処理サイクルの下で堆積された層について得られた引張り応力値及び屈折率を示している。上のラインは、測定された引張り応力値を示し、下のラインは、測定された屈折率を示している。これらのプロセスは、堆積のみのプロセス、RF電力なしでの効果を見るための40秒パージを行う、即ち、熱衝撃のみのプロセス、20秒パージの次に20秒プラズマステップを行うプロセス、40秒プラズマステップを行うプロセス、20秒プラズマステップの次に20秒パージを行うプロセス、3秒高速パージの次に20秒プラズマステップを行うプロセス、3秒ポンピング及び20秒プラズマステップを行うプロセス及び3秒高速パージ及び10秒プラズマステップを行うプロセスであった。3秒ポンピング、20秒プラズマ及び3秒高速パージ、10秒プラズマプロセスで最も高い引張り応力値が得られた。堆積のみ及び10秒パージプロセスの場合に、最も低い引張り応力値が測定された。一般的に、得られる応力値は、10秒より長いプラズマ処理持続時間で最大となり飽和する。しかしながら、それら応力値は、ポンプダウンサイクルを加えたときには、20秒よりも長い処理持続時間としても飽和しない。
図13は、堆積物質の引張り応力値に対するNプラズマ処理の持続時間の効果を示している。その引張り応力値は、約10秒の処理持続時間に達するまでは増大し、その後、引張り応力値は、飽和するようであり、それよりはそれほど大きくはならない。屈折率は、処理時間の増大につれてわずかに増大していく。図14は、3秒高速パージ及び3秒ポンピングを有するプロセスについての引張り応力値に対する処理持続時間の効果を示している。図14における引張り応力値は、約20秒までの処理時間についてでも、図13のものほどに飽和しないようである。
高いRFの電圧でのパルス化プラズマ
チャンバ80の電極105、109に印加される無線周波数電圧をパルス化することにより、より高い応力値を有する応力をもたせた物質を堆積させることができる。このパルス化プラズマによると、堆積物質に亘って堆積厚さ及び応力値をより均一とすることもできた。引張り応力をもたせた膜の堆積の場合には、パルス化堆積プロセスのために高い無線周波数の電圧が使用される。処理ガスは、前述したようにシリコン含有ガス及び窒素含有ガスを含む。例えば、シリコン含有ガスは、シランを含むことができ、窒素含有ガスは、アンモニアを含むことができ、任意で、窒化シリコンからなる応力をもたせた層を堆積させるために窒素を加えることができる。窒化シリコンの如き特定の物質を例示の実施例として挙げたのであるが、パルス化CVD法によりその他の応力をもたせた物質も又堆積させることができ、従って、本発明の範囲は、この例示の実施例に限定されるものではないことを理解されたい。
チャンバ内の処理ゾーンを境界付ける電極端に無線周波数電圧の電圧パルスを印加することにより、処理ガスのパルス化プラズマが発生される。これら電圧パルスの各々は、パルス持続時間(T)とパルス周期(T)との比であるデューティーサイクルを有している。パルス化波形において、パルス持続時間は、(a)最初の遷移中に、パルス振幅がその最終振幅の特定部分(レベル)に達する時と、(b)最後の遷移にて、パルス振幅がその同じレベルへと降下する時と、の間の間隔である。一般的に、最終振幅の50%ポイントの間の間隔が、パルス持続時間を決定又は定めるのに普通に使用される。好ましくは、電圧パルスは、長方形パルスであるが、例えば、正方形又は正弦波パルスの如き他の形状でもよい。そのパルス化RF電力は、約100ワットから約500ワットまでの電力レベルで与えられる。選択される電力レベルは、比較的に高い。何故ならば、高電力レベルにて、SiH及びNHは、より完全に解離し、従って、堆積膜の全水素含量が減少させられると考えられるからである。
電圧パルスのデューティーサイクルは、また、応力をもたせた堆積層の応力のタイプ及びレベルを制御するため制御することができる。応力をもたせた堆積膜の応力のレベルを与えるのに、異なるパルスタイプ、無線周波数レベル、電力レベル及び比T/Tを選択することができる。一般的に、より小さなデューティーサイクルを使用することにより、より高い引張り応力値を得ることができた。より小さなデューティーサイクルは、パルス持続時間(T)を減少し及び/又はパルス周期(T)を増大するか、又はその逆とすることにより得られる。好ましくは、デューティーサイクルは、約60%より小さくする。デューティーサイクルの範囲は、約10%から約50%までが好ましく、より好ましくは、約20%からである。このようなデューティーサイクルの場合には、パルス周波数は、10Hzから1000Hzまでの範囲である。1つの好ましい実施形態では、デューティーサイクルは、パルス持続時間が4ms(例えば、1μs)であり、パルス周期が20ms(例えば、4μs)であるような50Hzのパルス列の場合20%(例えば、0.25)である。
パルス化プラズマ処理においては、約3MHzから約60MHzまでの範囲内の周波数を有する高いRFの電圧が、電極105、109端に印加された。この高いRFの電圧は、約100ワットから約1000ワットまでの電力レベルで印加された。適当な処理ガスは、前述したような流量でのシラン、アンモニア、窒素及び任意でアルゴンを含む。
紫外線露出
堆積直後のままの窒化シリコン物質の引張り応力は、その堆積物質を紫外線又は電子ビームの如き適当なエネルギービームに対して露出させて処理することにより、更に増大させることができる。紫外線及び電子ビーム露出は、堆積物質の水素含量を更に減少させるのに使用できると考えられる。エネルギービーム露出は、そのCVDチャンバ自身内又は別のチャンバにおいて行うことができる。例えば、応力をもたせた堆積物質を有する基板を、CVD処理チャンバ内で紫外線又は電子ビーム放射線に対して露出させることができる。このような実施形態では、その露出源は、シールドによってCVD反応から保護されるか、又は、処理ガスの流れの後でチャンバ内にその露出源を導入することによってCVD反応から保護されうる。紫外線又は電子ビームは、応力をもたせた物質を堆積させるためのCVD反応中にCVD堆積チャンバ内にあるままの基板に対して付与することがきる。この変形例では、堆積反応中の紫外線又は電子ビーム露出により、形成される不所望の結合が分裂され、それにより、応力をもたせた堆積物質の応力値が高められると考えられる。
図2は、基板32を紫外線に対して露出させ又は電子ビーム処理するために使用できる露出チャンバ200の典型的な実施形態を示している。図示の変形例では、チャンバ200は、露出源204から遠い解放位置と、露出源204に近接する引き上げ位置との間で移動できそれらの間の間隔を調整できるようにした基板支持体104を含む。基板支持体104は、チャンバ204内に基板32を支持する。基板32を露出チャンバ200へ挿入したり基板32を露出チャンバ200から取り出したりする間は、基板支持体104は、ローディング位置へ移動され、その後、窒化シリコン堆積物質を有する基板32を紫外線又は電子ビームに対して露出させる間は、支持体104は、露出レベルを最大とする引き上げ位置へと上昇させられる。チャンバ200は、更に、基板32の露出中に基板32を所望の温度まで加熱するのに使用される抵抗素子の如きヒーター206を備える。露出チャンバ200内へガスを導入するためのガス入口208が設けられており、チャンバ200からガスを排出させるためのガス出口210が設けられている。
露出チャンバ200は、更に又、紫外線又は電子ビームの如き適当なエネルギービームを与える露出源204を含む。適当な紫外線源は、単一紫外波長又は広帯域紫外波長を放射することができる。適当な単一波長紫外線源は、172nm又は222nmの単一紫外波長を与えるエクサイマー紫外線源である。適当な広帯域源は、約200nmから約400nmまでの波長を有する紫外線を発生する。適当な紫外線源は、米国のFusion Company又は米国のNordson Companyから手に入れることができる。応力をもたせた窒化シリコン物質を、電気的に刺激されるとき特定の波長を放射するガスを含むランプによって発生される他の波長を有する紫外線に対して露出させることもできる。例えば、適当な紫外線ランプは、172nmの波長を有する紫外線を発生するXeガスを含むものでよい。他の変形例では、水銀ランプは、243nmの波長で放射し、重水素は、140nmの波長で放射し、KrClは、222nmの波長で放射する。また、1つの変形例では、各々が励起時に特性波長の放射線を放出することのできるガスの混合物をランプ内へ導入することにより、応力をもたせた堆積物質の応力値を変更するように特別に調整された紫外線を発生させることもできる。それらガスの相対濃度を変えることにより、所望の波長のすべてを同時に露出させるため、その放射線源からの出力の波長内容を選択することができ、それにより、必要な露出時間を最小とすることができる。紫外線の波長及び強度を選択することにより、堆積される窒化シリコン物質の所定の引張り応力値を得ることができる。
CVD堆積チャンバ80及び露出チャンバ200は、また、単一ロボットアームによって提供されるマルチチャンバ処理プラットホーム(図示していない)上に統合して設けることもできる。露出チャンバ200の露出源204及び支持体、基板支持体104、モータ、弁又は流量コントローラ、ガス分配システム、スロットル弁、高周波電力供給装置及びヒーター206を含むCVD堆積チャンバ80の構成部分、及び統合処理システムのロボットアームは、すべて、適当な制御ラインを経てシステムコントローラによって制御することができる。そのシステムコントローラは、このコントローラの制御の下で適当なモータによって移動される基板支持体及びスロットル弁の如き移動可能な機械的アセンブリの位置を決定するため、光学的センサからのフィードバックに頼るものである。
前述した露出チャンバ200での露出処理の場合、前述した堆積プロセスのうちのいずれかによる窒化シリコン物質又は当業分野にて知られたその他の堆積プロセスによる窒化シリコン物質を有する基板が、露出チャンバ200内へ挿入され、下降位置にある基板支持体104上に置かれる。それから、基板支持体104は、引き上げ位置へと上昇させられ、支持体の任意のヒーター206が付勢され、露出源204が活性化される。露出中、基板と支持体との間の熱移送割合を改善するため、露出チャンバ200を通してヘリウムの如きガスを循環させることができる。その他のガスを使用することもできる。放射線露出の期間の後、露出源204は、不活性とされ、基板支持体104は、解放位置へと下降させられる。それから、露出され応力をもたせた窒化シリコン物質を有する基板は、露出チャンバ200から取り出される。
図15は、A:圧縮膜(45sccmSiH/600sccmNH/2000sccmHe/30WHF/30WLF/2.5T/480ミル/430C)及びB:引張り膜(75sccmSiH/1600sccmNH/5000sccmN/50WHF/5WLF/6T/480ミル/430C)を含む異なる処理条件で堆積された物質の引張り応力値に対する紫外線処理の効果を示す棒グラフである。400℃で5分及び10分の異なる広帯域UV処理時間が使用された。すべての堆積物質について、紫外線露出により、引張り応力値が増大され、最も低い引張り応力値を有する物質、即ち、物質A及びBについて最大の改善が見られた。A及びBの引張り応力のレベルは、約-1500MPaから約-1300MPaの辺りまで増大した。物質C及びDも増大した。従って、紫外線処理により、堆積物質の引張り応力値を増大させることができる。
窒化シリコン堆積物質を紫外線又は電子ビームに対して露出させることにより、堆積物質の水素含量を減少させ、それにより、その物質の引張り応力値を増大させることができることが確認された。紫外線に対して露出させることにより、望まれない化学結合をより望ましい化学結合に置き換えることができると考えられる。例えば、露出において与えられるUV放射線の波長を選択して、この波長を吸収するSi-H及びN-H結合の如き望まれない水素結合を分裂させることができる。このとき、残留するシリコン原子は、有効窒素原子と結合して、所望のSi-N結合を形成する。例えば、図16は、堆積直後のままの状態における応力をもたせた窒化シリコン物質(堆積直後のままの膜:実線)及び紫外線で処理した後の応力をもたせた窒化シリコン物質(処理後の膜:点線)のフーリエ変換された赤外線スペクトル(FTIR)を示している。このFTIRスペクトルから、紫外線で処理した後には、N-Hストレッチピーク及びSi-Hストレッチピークの両方のサイズが相当に減少し、一方、Si-Nストレッチピークのサイズが増大していることが分かる。このことは、紫外線処理後の窒化シリコン物質に含まれるN-H結合及びSi-H結合がより少なくなり、そこに含まれる、堆積物質の引張り応力を増大するのに望ましいSi-N結合の数が増大されていることを実証している。
図17Aから図17Eは、堆積直後のままの窒化シリコン物質の引張り応力値が、異なる時間期間の紫外線露出処理を受けたとき、どのように改善されるかを示している。図17Aの窒化シリコン物質は、次の処理条件、即ち、シランの60sccm流量、アンモニアの900sccm流量、窒素の10,000sccm流量、6トール処理ガス圧力、100ワットの電極電力レベル、及び11mm(430ミル)の電極間隔でもって堆積させられたものである。堆積された窒化シリコン膜の引張り応力は、堆積直後のままの状態で測定されたときは、約700MPaであった。x軸におけるポイントラベル0から6の各々は、それぞれ、0分(堆積された直後)、10分、30分、45分、1時間、2時間及び3時間の異なる紫外線処理時間に対応している。四面体でラベル付けされたラインの堆積直後のままの窒化シリコン物質(処理1)は、広帯域紫外線源に対して露出されたものであり、一方、正方形でラベル付けされたラインの堆積直後のままの窒化シリコン物質(処理2)は、172nmの単一波長紫外線源に対して露出されたものである。広帯域紫外線源の方が、単一波長紫外線源と比べて、堆積物質の引張り応力を増大させることが確認された。
一般的に、紫外線処理時間を増大していくにつれて、堆積直後のままの膜の引張り応力も700MPaの元の値から約1.6GPaを越える値まで増大していく。図17B及び図17Cの窒化シリコン物質は、図17Bのサンプルがシランの60sccm流量、アンモニアの600sccm流量及び150ワットの電極電力レベルを使用して堆積させられ、図17Cのサンプルがシランの60sccm流量、アンモニアの300sccm流量及び150ワットの電極電力レベルを使用して堆積させられている以外は、図17Aに示したサンプルと同じ条件で堆積させられたものである。図17B及び図17Cにおいて、堆積直後のままの物質は、広帯域紫外線でのみ処理され、図示されるように、8又は9セグメントに相当する異なる時間間隔であるが、処理時間が0分から3時間まで変化されたものである。得られた最良の結果が、図17Cに示されており、ここでは、堆積直後のままの窒化シリコン物質の引張り応力は、ほぼ3時間の紫外線露出の後では、800MPaから1.8GPaまで増大されており、これは、元の引張り応力値のほとんど2倍であった。
図17Dに示す堆積物質は、シランの60sccm流量、アンモニアの900sccm流量、窒素の10,000sccm流量、100ワットの電極電力、7トールの圧力及び11mmの間隔を使用して堆積させられたものである。ライン(a)は、約200nmから400nmまでのUV波長を与えるヒュージョンH UV光源で処理されたものであり、ライン(b)は、約172nmのUV波長を与えるエクサイマーUV源で処理されたものである。両方の処理の場合、引張り応力は、約50秒の紫外線露出の後で、約800MPa(堆積直後のままの窒化シリコンの場合)から、それぞれ1.8GPa及び1.4GPaまで増大させられている。図17Eのサンプルは、シランの60sccm流量、アンモニアの300sccm流量、窒素の10,000sccm流量、150ワットの電極電力、6トールの圧力及び11mmの間隔を使用して堆積させられたものである。この堆積物質が、ヒュージョンH源で処理された。前のように、この堆積直後のままの窒化シリコン物質の引張り応力は、ほぼ50秒の処理後では、約700MPaから1.6GPaまで増大させられた。
また、紫外線露出の効果は、堆積処理中に処理ガスに対して最適範囲内で希釈ガス含量を与えることにより高められることが確認された。これは、堆積物質における窒素−水素結合の数を減少させるようにしてなされた。典型的には、窒素−水素結合を紫外線処理によって除去することは、シリコン−水素結合を紫外線処理によって除去することより難しいことである。従って、希釈ガス流量を約5000sccmから約15,000sccmまで、より好ましくは、約10,000sccmまでの範囲へ減少させたわずかに異なる処理条件で堆積させられた窒化シリコン物質に対して、その後、紫外線露出を行った。シラン及びアンモニア堆積流量比は、約1:2から約1:15であり、より好ましくは、約1:10であった。
電子ビーム露出
堆積直後のままの窒化シリコン物質を、露出装置200において電子ビームに対して露出させることにより処理することもできる。電子ビームの適当な源である露出源204は、例えば、堆積物質に亘って走査されるライン電子源又はLivesay氏への米国特許第5,003,178号明細書に開示されたような大面積電子ビーム露出システムでもよい。米国特許第5,003,178号明細書の記載は、ここにそのまま援用される。この電子ビーム露出は、堆積物質の実質的に全面積を電子ビーム放射でフラッド露出又は走査することによって行われる。堆積物質は、その物質の全幅及び厚さをカバーするに十分な電子ビーム状態の下で均一な大面積の電子ビーム源からの電子ビーム放射を受けるのが好ましい。約4平方インチから約256平方インチまでの面積をカバーする電子ビームで露出を行うのが好ましい。
電子ビーム露出条件は、加えられる全照射量、堆積物質に加えられる電子ビームエネルギー及び電子ビーム電流密度に依存している。1つの変形例では、電子ビーム露出は、約10−5トールから約10−2トールまでの真空中で且つ約100℃から約400℃までの範囲内の基板温度でもって行われる。露出エネルギーは、約0.1keVから約100keVまでの範囲内であり、電子ビーム電流は、典型的には、約1mAから約100mAまでである。電子ビームドーズは、約1μC/cmから約100,000μC/cmまでの範囲内である。選択されるドーズ及びエネルギーは、処理すべき堆積物質の厚さに比例する。一般的に、電子ビーム露出は、約0.5分から約10分までである。電子ビームによって与えられる電子の照射エネルギーは、また、堆積窒化シリコン物質に所定の応力値が得られるように選択される。
図18は、AからFとしてラベル付けした異なる処理条件で堆積させられた物質の電子ビームにより処理前及び処理後の引張り応力値を示すグラフである。この実施例では、応力をもたせた物質を堆積させるのに使用された処理条件AからFは、次のようであった。
A:LPCVD BTBAS/NH3/N2/650C/300ミリトール
B:25sccm SiH/50sccm NH/20000sccm N/480ミル/430C/6T/45WHF
C:25sccm SiH/50sccm NH/20000sccm N/480ミル/200C/6T/45WHF
D:25sccm SiH/50sccm NH/20000sccm N/480ミル/200C/6T/45WHF
続いて18000sccm N/4.2トールでもって10分間400Cでアニーリング
E:50sccm SiH/50sccm NH/20000sccm N/480ミル/200C/6T/45WHF
F:50sccm SiH/50sccm NH/20000sccm N/480ミル/200C/6T/45WHF
続いて18000sccm N/4.2トールでもって10分間400Cでアニーリング
電子ビーム処理は、200から1500までの照射量を与えるように、4KVで6mAの電流でもって400℃の基板温度で行われた。
一般的に、引張り応力値は、電子ビーム処理でもって増大された。その増大は、より低い処理前引張り応力値を有する物質程著しかった。例えば、Cとラベル付けした堆積物質の場合には、その引張り応力値は、処理前のほぼ200MPaから電子ビーム処理後の約800MPaまで増大した。Eとラベル付けした堆積物質の引張り応力は、処理前の約200MPaから電子ビーム処理後の約1200MPaを越える値まで増大した。従って、電子ビーム処理は、堆積物質の引張り応力値を増大させるのに使用することができる。
1つの変形例では、堆積物質の化学気相堆積及び電子ビーム表面処理は、化学気相堆積チャンバ、電子ビーム照射チャンバ及び化学気相堆積チャンバから電子ビーム照射チャンバへ基板を移送するためのロボットを有するクラスタツールにて行われる。化学気相堆積チャンバ、電子ビーム照射チャンバにおける処理及び化学気相堆積チャンバから電子ビーム照射チャンバへの移送は、真空状態を維持しながら行われる。
II 圧縮応力をもたせた物質
基板上に圧縮応力をもたせた物質を堆積させるため、又は、堆積中又は堆積後にその圧縮応力値を増大させるように物質を処理するため、堆積プロセス及び処理条件を調整することもできる。説明により制限されることなく、堆積物質により多くのSi-N結合を持たせSi-H結合及びN-H結合を減少させてより高い膜密度を達成するようにRF衝撃を増大することにより、より高い圧縮応力値を有する応力をもたせた窒化シリコン物質を得ることができることが見出されている。堆積温度及びRF電力をより高くすることにより、堆積物質の圧縮応力レベルが改善された。また、プラズマ種の運動エネルギーレベルをより高くすることにより、堆積物質の圧縮応力レベルをより高くすることができた。プラズマイオン及び中性粒子の如きエネルギーを付与されたプラズマ種の衝撃により、膜密度が増大されるので、堆積物質に圧縮応力が発生されるものと考えられる。
引張り応力をもたせた物質の場合のように、圧縮応力をもたせた窒化シリコン物質を堆積させるのに使用される処理ガスも又、前述したようなシリコン含有ガス及び窒素含有ガスを含む。また、無線周波数のタイプ及び電力レベル、ガス流量及び圧力、基板温度及びその他の同様のプロセスの如き一般的な堆積処理条件は、別に特定しない限り、引張り応力をもたせた物質の堆積のために使用されたものとほぼ同じである。
圧縮応力をもたせた窒化シリコン物質を堆積するためには、チャンバへ導入される処理ガスは、シリコン含有ガスを含む第1の成分及び窒素含有ガスを含む第2の成分を含む。シリコン含有ガスは、例えば、シラン、ジシラン、トリメチルシリル(TMS)、トリス(ジメチルアミノ)シラン(TDMAS)、ビス(第三ブチルアミノ)シラン(BTBAS)、ジクロロシラン(DCS)及びそれらの組合せでありうる。例えば、適当なシランの流量は、約10sccmから約200sccmまでである。窒素含有ガスは、例えば、アンモニア、窒素及びそれらの組合せでありうる。適当なアンモニアの流量は、約50sccmから約600sccmまでである。処理ガスは、また、反応ガス成分よりはるかに多い体積にて与えられる希釈ガスを含むことができる。希釈ガスは、また、希釈剤として及び少なくとも部分的に反応窒素含有ガス、例えば、約500sccmから約20,000sccmまでの流量での窒素としての両者の作用を果たすことができる。含みことのできる他のガスとしては、例えば、約100sccmから約5,000sccmまでの流量でのヘリウム又はアルゴンの如き不活性ガスがある。処理ガスは、また、シリコンオキシニトライド物質を堆積させるときには、酸素含有ガス、例えば、酸素の如き付加的ガスを含むことができる。別に特定しない限り、これらのプロセスにおいては、電極電力レベルは、典型的には、約100ワットから約400ワットまでに維持され、電極間隔は、約5mm(200ミル)から約12mm(600ミル)までであり、処理ガス圧力は、約1トールから約4トールまでであり、基板温度は、約300℃から約600℃までである。
アルゴン、ヘリウム付加
圧縮応力をもたせた物質を堆積させるための1つの好ましいガスは、シリコン含有ガス及び窒素含有ガスからなる第1の成分及びアルゴン又はヘリウムの如き不活性ガスからなる第2の成分を含む。第1の成分に対する第2の成分の体積流量比をより高くすると、体積物質の圧縮応力値がより高くなった。これは、不活性ガス成分がプラズマ密度を増大させ、従って、イオン衝撃を増大させ、膜の全H含量を減少させるように作用するからであると考えられる。1つの好ましい組成として、処理ガスは、(i)シランの如きシリコン含有ガス及びアンモニア及び窒素の如き窒素含有ガスからなる第1の成分と、(ii)アルゴン又はヘリウムからなる第2の成分と、を含む。第1の成分に対する第2の成分の比は、少なくとも1:1であり、より好ましくは、約1:4より小さい。一般的には、処理ガスについて使用された圧力は、約6トールから10トールまでであった。基板の温度は、約400℃と550℃との間に維持された。電極間隔は、約7.6mmから約15.2mm(300ミルから600ミル)までに維持された。
図19Aから図19Dは、堆積物質の圧縮応力値、堆積割合、厚さ均一性及び屈折率のそれぞれに対する窒素に対するアルゴン流量比の効果を示している。この実施例では、応力をもたせた物質を堆積させるのに使用された処理条件は、表IIIの条件4に示すようなものであった。一般的には、Nに対するArの比を増大すると、圧縮応力値がより高くなり(絶対応力値がより高くなることによって立証されるように)、堆積割合及び堆積物質の厚さが減少され、屈折率が増大される。圧縮応力及び厚さ均一性レベルの減少は、窒素に対するアルゴンの比が約1のところで横ばいとなり始める。アルゴンと窒素との比を1:1から3:1へと増大する場合、圧縮応力値は、約-2.36GPaから約-2.38GPaへとわずかだけ増大した。従って、窒素に対するアルゴンの流量比が少なくとも1:1、より好ましくは、約1:1から約3:1までのとき、堆積物質の圧縮応力値が最適となることが確認された。典型的には、アルゴンの流量は、約1000sccmから約10,000sccmまでであり、窒素の流量は、約1000sccmから約20,000sccmまでであった。アルゴンの代わりにヘリウムを、同じ体積流量比にて使用しても同じ結果を得ることができると考えられる。
圧縮:低いRFの電圧でのSiH、N、NH及びAr
この実施形態では、使用された処理ガスは、(i)シランの如きシリコン含有ガスからなる第1の成分、(ii)窒素及びアンモニアからなる第2の成分、及び(iii)アルゴンからなる第3の成分を含んだ。シラン及びアンモニアが使用されたときには、以下の表IIIに示されるように、アンモニアに対するシランの体積流量比を高くすることにより、堆積物質の圧縮応力値をより高くすることができることが見出された。SiH4/NH3の体積流量比を高くすることによって、プラズマ安定性をより良好なものとすることができ、それにより、堆積均一性を高め応力レベルをより高くすることができることも見出された。一般的に、アンモニアに対するシランの流量比は、少なくとも約0.2であり、より好ましくは、約0.25から約3までであった。シランの流量は、典型的には、約10sccmから約100sccmまでであり、アンモニアの流量は、約20sccmから約300sccmまでであった。窒素の流量は、1000sccmであり、アルゴンの流量は、3000sccmであった。
堆積物質の圧縮応力は、約1MHzより低い周波数、より好ましくは、約100KHzから1MHzまでの周波数、又は更に言えば約300KHzの周波数を有する低いRFの電圧を電極に印加して処理ガスのプラズマを発生させることによって、更に高められた。この低いRFの電圧により、基板へのイオン衝撃が増大させられ高密度の膜とされ堆積物質び付加的な圧縮応力が生成された。この実施形態では、この低い無線周波数の電圧の適当な電力レベルは、約50ワットから約300ワットまでであった。
低いRF及び高いRFの組合せ
堆積中又は堆積後の堆積物質に対するエネルギーを付与されたプラズマ種の衝撃は、チャンバ電極端に印加される高い周波数の電圧の周波数範囲及び電力レベルを選択することによっても増大させることができる。低い無線周波数の電力及び高い無線周波数の電力を組み合わせて使用することにより、堆積物質の圧縮応力値をより高くすることができることが確認された。1つの実施例では、高い圧縮応力値を得るための最適な低い無線周波数は、約1MHzより低い周波数、より好ましくは、約100KHzから1MHzの周波数、更に言えば、約300KHzの周波数であることが見出された。前述した低い無線周波数と組み合わせて使用される最適な高い無線周波数レベルは、約10MHzから約27MHzまで、より好ましくは、約13.5MHzであった。
低い無線周波数の電力レベル及び高い無線周波数の電力レベルの組合せ印加により、最も高い圧縮応力値が発生されることが見出された。低いRFの電圧及び高いRFの電圧の両方の電力レベルをより高くすることにより、圧縮応力値が更に高められた。低いRFの電圧については、その電力レベルは、少なくとも約50ワットとすべきであり、より好ましくは、約100ワットから約400ワットまでとすべきである。高いRFの電圧の適当な電力レベルは、少なくとも約100ワット、より好ましくは、約200ワットから約500ワットまでであった。
小さい間隔ギャップ及び低いガス圧力
第1の電極105と第2の電極109との間の間隔距離dを、基板32を衝撃するプラズマ種の運動エネルギーを相当に増大させるに十分小さく設定することにより、基板32上に圧縮応力をもたせた物質を形成することができる。例えば、第1の電極105が基板支持体104であり、第2の電極109がガス分配器108であるときには、これら2つの電極105、109の間の間隔は、チャンバ内の基板支持体104の高さを調整することにより設定される。好ましくは、これら電極の間隔距離dは、約25mmより小さく、より好ましくは、少なくとも約11mmである。電極の間隔に加えて、チャンバ内の処理ガスのガス圧力をより高いレベルに設定することによっても、チャンバ80におけるプラズマイオン衝撃エネルギーを更に増大させることができる。間隔を小さくしガス圧力をより高くすることにより、チャンバにおけるプラズマ種のイオン衝撃エネルギーが増大され、それにより、圧縮応力を有する物質が堆積させられると考えられる。適当な処理ガス圧力は、少なくとも約5トールであり、より好ましくは、約1.5トールから約3.5トールまでである。
表IIIは、圧縮応力をもたせた物質を堆積させるのに使用される処理パラメータのセットを例示している。処理ガス組成、流量及びその他の変数は、前の実施例と同じである。これらのパラメータは、適当な温度、SiH、NH、N及びArの流量、高い無線周波数の電力レベル、低い無線周波数の電力レベル、電極間隔及び処理ガス圧力を含み、窒化シリコン物質の堆積プロセスの種々な実施形態に対して適当なものである。その結果生じた堆積割合、均一性、屈折率、応力値及びプラズマ安定性も示されている。
Figure 0004903154
III 応力をもたせた物質を有するMOSFETの製造
1つの典型的な応用例として、図20の概略横断面図に例示されているようなMOSFET構造体392の製造において、引張り又は圧縮応力をもたせた窒化シリコン物質が基板32上に形成される。堆積され処理された窒化シリコン物質20の比較的に高い内部応力は、トランジスタ24のチャネル領域28に歪みを誘起する。この誘起された歪みにより、チャネル領域28におけるキャリア移動度が改善され、それにより、例えば、トランジスタ24の飽和電流を増大させることによる如きトランジスタ性能の改善がなされる。この窒化シリコン物質20は、MOSFET24内の、例えば、エッチストップ物質として等の他の用途も有している。高い応力をもたせた窒化シリコン物質20は、これに限定されるわけではないが、バイポーラ接合トランジスタ、キャパシタ、センサ及びアクチュエータ等の他のトランジスタの如き他の構造体においても有用である。基板は、シリコンウエハであるか、又は、ゲルマニウム、シリコンゲルマニウム、砒化ガリウム及びそれらの組合せの如き他の物質で形成されたものでもよい。また、基板32は、ディスプレイの製造に使用されるガラスの如き絶縁体でもよい。
図20に例示されたトランジスタ24は、n形半導体を形成するようにグループVAの元素を基板32にドープすることにより形成されたソース領域及びドレイン領域36、40を有する負チャネル又はn-チャネルMOSFET(NMOS)である。このNMOSトランジスタでは、基板32は、ソース領域及びドレイン領域36、40の外側では、p形半導体を形成するようにグループIIIAの元素でドープされている。NMOSチャネル領域については、上層の応力をもたせた窒化シリコン物質は、引張り応力を有するように形成されている。
別の変形例では、MOSFETトランジスタ24は、p形半導体を形成するようにグループIIIAの元素を基板にドープすることにより形成されるソース領域及びドレイン領域を有する正チャネル又はp-チャネルMOSFET(PMOS)(図示していない)からなる。PMOSトランジスタでは、このトランジスタ24は、n形半導体からなる基板32からなってもよいし、又は、p形半導体からなる基板32に形成したn形半導体からなるウエル領域を有するようなものでもよい。PMOSチャネル領域は、圧縮応力をもたせた窒化シリコン物質で覆われる。
この図示の変形例では、トランジスタ24は、シャロートレンチ分離として知られる技法である、基板32上のトランジスタ24又はトランジスタ24の群の間の分離を行うためのトレンチ44を備えている。このトレンチ44は、典型的には、エッチング処理によってソース領域及びドレイン領域36、40の前に形成される。このトレンチ44には、例えば、オキサイド/オキシニトライド雰囲気における急速熱酸化によって、このトレンチ44の鋭い隅部(及びその他のどこかの部分)を取り巻くようなトレンチ側壁ライナー物質(図示していない)が形成されることがある。1つの変形例では、トレンチ44には、引張り応力を有する物質46が充填されることがあり、これは、また、チャネル領域28へ引張り応力を与えるのに使用される。このトレンチ物質46の堆積は、O/テトラエトキシ(TEOS)ベース減圧化学気相堆積(SACVD)プロセスを使用することを含めて高アスペクト比プロセス(HARP)を使用して行うことができる。過剰のトレンチ物質46は、例えば、化学機械研磨によって除去することができる。
トランジスタは、ソース領域及びドレイン領域36、40の間のチャネル領域28の上にゲート酸化物物質48及びゲート電極52を備える。図示の変形例では、トランジスタ24は、また、ソース領域及びドレイン領域36、40並びにゲート電極52の上にケイ化物物質56を備える。このケイ化物物質56は、下層のソース領域及びドレイン領域40及びゲート電極52に比べて高い導電性を有しており、金属コンタクト54を通してのトランジスタ24への及びトランジスタ24からの電気信号の転送を容易なものとしている。使用される物質及び形成プロセスに依存して、ケイ化物物質56は、引張り応力を有し、チャネル領域28に引張り歪みを生ぜしめることができる。図示したトランジスタは、また、ケイ化物物質56を形成するためのケイ化処理中にケイ化物物質56を分離させておくためゲート電極52の対向側壁部68に配設されるスペーサー60及び酸化物パッド物質64を備える。ケイ化中に、連続金属物質(図示していない)が、酸化物含有ソース領域及びドレイン領域36、40及びゲート電極52並びに窒化物含有スペーサー60の上に堆積させられる。その金属は、ソース領域及びドレイン領域36、40及びゲート電極52における下層シリコンと反応して、金属-シリコン合金ケイ化物物質を形成するが、スペーサー60における窒化物物質とはあまり反応しない。従って、スペーサー60は、ケイ化物物質56における金属合金に影響を与えずに、上層の未反応の金属をエッチングによって取り除くことができるようにしている。
チャネル領域28の長さは、ゲート酸化物物質48の長さよりも短い。ソース領域36のエッジとドレイン領域40もエッジとの間で測定されたチャネル領域28の長さは、約90nm又はそれより短く、例えば、約90nmから約10nmまででもよい。チャネル領域28の長さがより短くなるにつれて、ハローとしても知られているインプラント72がチャネル領域28内へと逆ドープされ、電荷キャリアがソース領域36からドレイン領域40へと、また、その逆に制御しえない形でホッピングするのを阻止する。
図20に示す変形例では、窒化シリコン物質20が、ケイ化物物質56の上方に形成されている。窒化シリコン物質20は、典型的には、チャネル領域28へ歪みを与えると共に、コンタクトエッチストップとして作用する。この窒化シリコン物質20は、圧縮応力から引張り応力までに亘る応力値を有するようにして堆積させることができる。窒化シリコン物質20の応力を選択することにより、トランジスタ24のチャネル領域28に与えられる歪みのタイプを選択することができる。
窒化シリコン物質20の形成に続いて、プリメタル絶縁体物質とも称される絶縁体物質76を、窒化シリコン物質20上に堆積させることができる。この絶縁体物質76は、例えば、ホウリン珪酸ガラス、リン珪酸ガラス、ホウ珪酸ガラス、とりわけ、リン珪酸ガラスであってよい。絶縁体物質76は、SACVDに関連してO/TEOSを含むHARPを使用して形成することができる。また、この絶縁体物質76は、チャネル領域28に引張り歪みを生ぜしめる引張り応力を有するものとすることもできる。
本発明の典型的な実施形態について示し説明したのであるが、当業者は、本発明を組み入れ且つ本発明の範囲内に入るその他の実施形態を考え出すことができよう。例えば、堆積膜を処理するのに、赤外線又は可視光の選択された波長の如き他の放射線処理を使用することもできる。また、異なる放射線露出を組み合わせて使用することもできる。更に又、用語「下方」、「上方」、「底部」、「上部」、「上に」、「下に」、「第1の」、「第2の」及びその他の相対的又は位置的用語は、図に示した典型的な実施形態に関してのものであり、互いに置き換えることができるものである。従って、特許請求の範囲は、これら実施形態に限定されるものではない。
PE−CVD堆積チャンバである基板処理チャンバの1つの実施形態の概略図である。 窒化シリコン物質を適当なエネルギービーム源に対して露出させるのに適当な露出チャンバの概略図である。 基板温度の増大に伴う堆積物質の測定引張り応力値を示すグラフである。 堆積物質の引張り応力値及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 堆積物質の引張り応力値及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 堆積物質の引張り応力値、屈折率、堆積割合及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 堆積物質の引張り応力値、屈折率、堆積割合及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 堆積物質の引張り応力値、屈折率、堆積割合及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 堆積物質の引張り応力値、屈折率、堆積割合及び厚さ均一性に対するSiH及びNHの流量の効果の例を示すグラフである。 SiH及びNHの流量を増大する場合における堆積物質の堆積割合、均一性、引張り応力値及び屈折率の変化を示すグラフである。 SiH及びNHの流量を増大する場合における堆積物質の堆積割合、均一性、引張り応力値及び屈折率の変化を示すグラフである。 堆積物質の堆積割合及び引張り応力値に対するN流量の効果を示すグラフである。 処理ガス圧力を増大する場合における堆積窒化シリコンの引張り応力値の変化を示すグラフである。 低い無線周波数の電圧を異なる電力レベルで電極に印加することによって堆積される窒化シリコンの引張り応力値の変化を示すグラフである。 チャンバ電極に印加される高い無線周波数の電圧の電力レベルを増大する場合における堆積物質の堆積割合、物質厚さ均一性、引張り応力値及び屈折率への効果を示すグラフである。 チャンバ電極に印加される高い無線周波数の電圧の電力レベルを増大する場合における堆積物質の堆積割合、物質厚さ均一性、引張り応力値及び屈折率への効果を示すグラフである。 高いRFの電圧の電力レベルを増大する場合における異なる窒素プラズマ処理プロセスサイクルに対する測定引張り応力を示すグラフである。 異なる堆積及び窒素プラズマ処理プロセスサイクルの下で堆積される層について得られる引張り応力値及び屈折率を示すグラフである。 処理時間につれての堆積物質の引張り応力値の変化を示すグラフである。 異なるパージ及びポンプサイクルを有するプロセスについての引張り応力値に対するNプラズマ処理時間の効果を示すグラフである。 紫外線露出時間を増大する場合における異なる処理条件(A及びB)で堆積された物質の引張り応力値の変化を示す棒グラフである。 堆積直後のままの状態(実線)における応力をもたせた窒化シリコン物質のフーリエ変換赤外線(FTIR)スペクトル(堆積直後のままのものについては実線で示す)及び紫外線で処理した後の応力をもたせた窒化シリコン物質のフーリエ変換赤外線(FTIR)スペクトル(処理された膜については点線で示す)を示すグラフである。 単一波長紫外線露出(処理1)及び広帯域紫外線露出(処理2)の両者について、紫外線露出時間につれての堆積窒化シリコン物質の引張り応力の増大を示すグラフである。 紫外線露出時間につれての堆積窒化シリコン物質の引張り応力の増大を示すグラフである。 紫外線露出時間につれての堆積窒化シリコン物質の引張り応力の増大を示すグラフである。 紫外線露出時間につれての堆積窒化シリコン物質の引張り応力の増大を示すグラフである。 紫外線露出時間につれての堆積窒化シリコン物質の引張り応力の増大を示すグラフである。 異なる処理条件で堆積された物質の電子ビーム露出による引張り応力の増大を示すグラフである。 アルゴンと窒素との体積流量比を増大する場合における堆積物質の圧縮応力値、堆積割合、厚さ均一性及び屈折率の変化を示すグラフである。 アルゴンと窒素との体積流量比を増大する場合における堆積物質の圧縮応力値、堆積割合、厚さ均一性及び屈折率の変化を示すグラフである。 アルゴンと窒素との体積流量比を増大する場合における堆積物質の圧縮応力値、堆積割合、厚さ均一性及び屈折率の変化を示すグラフである。 アルゴンと窒素との体積流量比を増大する場合における堆積物質の圧縮応力値、堆積割合、厚さ均一性及び屈折率の変化を示すグラフである。 引張り応力をもたせた窒化シリコン物質を上層に堆積させたトランジスタ構造を部分的に示す基板の概略横断面図である。
符号の説明
20…窒化シリコン物質、24…トランジスタ、28…チャネル領域、32…基板、36…ソース領域、40…ドレイン領域、44…トレンチ、46…引張り応力を有する物質、48…ゲート酸化物物質、52…ゲート領域、54…金属コンタクト、56…ケイ化物物質、60…スペーサー、64…酸化物パッド物質、68…対向側壁部、76…絶縁体物質、80…基板処理チャンバ、84…包囲壁部、88…天井壁部、92…側壁部、96…底壁部、100…処理ゾーン、104…基板支持体、105…密閉形電極、106…基板搬送装置、107…ヒーター、108…ガス分配器、109…第2の電極、110a…第1の入口、110b…第2の入口、111…フェイスプレート、112…孔、124a…第1のガス供給装置、124b…第2のガス供給装置、128a…第1のガス源、128b…第2のガス源、132a…第1のガス導管、132b…第2のガス導管、144a…第1のガス弁、144b…第2のガス弁、182…ガス排出装置、184…ポンピングチャネル、185…排出ポート、186…スロットル弁、188…排出ポンプ、196…コントローラ、198…電力供給装置、200…露出チャンバ、204…露出源、206…ヒーター、208…ガス入口、210…ガス出口

Claims (2)

  1. 基板上に応力をもたせた物質を形成する方法において、
    (a)(i)上記基板を第1の処理ゾーンに置き、
    (ii)上記処理ゾーンへシリコン含有ガス及び窒素含有ガスを含む処理ガスを導入し、
    (iii)上記処理ガスのプラズマを発生させ、もってSi−N結合を含む堆積物質が形成されるようにし、
    (iv)上記処理ゾーンから上記処理ガスを排出させる、
    ことによって上記基板上に物質を堆積させるステップと、
    (b)上記Si−N結合を含む堆積物質の引張り応力値を増大させるステップであって、上記堆積物質を、172nm又は222nmの単一波長源又は200nm〜400nmの広帯域源からの紫外線に露出させるステップを含む、前記引張り応力値を増大させるステップと、
    を備え
    上記ステップ(b)は、
    (1)上記堆積物質を広帯域紫外線に露出させる段階、及び
    (2)上記堆積物質の引張り応力値を所定の範囲とするため上記紫外線の波長及び強度を選択する段階、
    のうちの少なくとも1つを含む、
    前記方法。
  2. 上記処理ガスは、SiH4、NH3及びN2を含み、もって前記堆積され応力をもたせた物質が窒化シリコンを含むようにする、請求項1に記載の方法。
JP2007543146A 2004-11-16 2005-11-10 基板上に応力をもたせた物質を形成する方法 Expired - Fee Related JP4903154B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US62860004P 2004-11-16 2004-11-16
US60/628,600 2004-11-16
US11/055,936 2005-02-11
US11/055,936 US20060105106A1 (en) 2004-11-16 2005-02-11 Tensile and compressive stressed materials for semiconductors
PCT/US2005/041079 WO2006055459A2 (en) 2004-11-16 2005-11-10 Tensile and compressive stressed materials for semiconductors

Publications (2)

Publication Number Publication Date
JP2008522405A JP2008522405A (ja) 2008-06-26
JP4903154B2 true JP4903154B2 (ja) 2012-03-28

Family

ID=36386662

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543146A Expired - Fee Related JP4903154B2 (ja) 2004-11-16 2005-11-10 基板上に応力をもたせた物質を形成する方法

Country Status (7)

Country Link
US (1) US20060105106A1 (ja)
EP (1) EP1815505A2 (ja)
JP (1) JP4903154B2 (ja)
KR (6) KR100954254B1 (ja)
CN (1) CN101088150B (ja)
TW (1) TWI360180B (ja)
WO (1) WO2006055459A2 (ja)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
WO2006125086A2 (en) * 2005-05-19 2006-11-23 Isoflux, Inc. Multi-layer coating system and method
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7754008B2 (en) * 2005-07-19 2010-07-13 The Regents Of The University Of California Method of forming dislocation-free strained thin films
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070105368A1 (en) * 2005-11-07 2007-05-10 Texas Instruments Inc. Method of fabricating a microelectronic device using electron beam treatment to induce stress
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7485515B2 (en) 2006-04-17 2009-02-03 United Microelectronics Corp. Method of manufacturing metal oxide semiconductor
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
KR101244590B1 (ko) 2006-05-31 2013-03-25 도쿄엘렉트론가부시키가이샤 플라즈마 cvd 방법, 질화 규소막의 형성 방법 및 반도체 장치의 제조 방법
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
JP2008047620A (ja) * 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US20080044967A1 (en) * 2006-08-19 2008-02-21 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
US20080076227A1 (en) * 2006-09-21 2008-03-27 Texas Instruments Incorporated Method for forming a pre-metal dielectric layer using an energy beam treatment
US7465635B2 (en) * 2006-09-21 2008-12-16 Texas Instruments Incorporated Method for manufacturing a gate sidewall spacer using an energy beam treatment
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20080124855A1 (en) * 2006-11-05 2008-05-29 Johnny Widodo Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance
US20080113108A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for control of electromagnetic radiation in pecvd discharge processes
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US7700499B2 (en) 2007-01-19 2010-04-20 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
US20080173908A1 (en) * 2007-01-19 2008-07-24 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
JP4861204B2 (ja) * 2007-01-22 2012-01-25 株式会社東芝 半導体装置およびその製造方法
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
JP2008205280A (ja) * 2007-02-21 2008-09-04 Ulvac Japan Ltd 成膜装置、薄膜形成方法、トランジスタ製造方法
JP5186776B2 (ja) * 2007-02-22 2013-04-24 富士通株式会社 半導体装置及びその製造方法
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
JP4850762B2 (ja) * 2007-03-19 2012-01-11 株式会社アルバック 成膜方法
JP2008235636A (ja) * 2007-03-22 2008-10-02 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP5310543B2 (ja) * 2007-03-27 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5014857B2 (ja) * 2007-03-28 2012-08-29 株式会社アルバック 成膜装置
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8178446B2 (en) * 2007-03-30 2012-05-15 Tokyo Electron Limited Strained metal nitride films and method of forming
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
JP5309619B2 (ja) 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
KR20110009762A (ko) * 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US20110210401A1 (en) * 2010-02-26 2011-09-01 Freescale Semiconductor Inc. Multilayer silicon nitride deposition for a semiconductor device
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5750230B2 (ja) * 2010-03-29 2015-07-15 大陽日酸株式会社 炭窒化珪素膜及び炭窒化珪素膜の成膜方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8455883B2 (en) * 2011-05-19 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stressed semiconductor device and method of manufacturing
CN102637633B (zh) * 2011-06-17 2015-08-12 京东方科技集团股份有限公司 一种阵列基板制造方法及系统
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN102446840A (zh) * 2011-11-02 2012-05-09 上海华力微电子有限公司 一种增加双大马士革结构介质阻挡层薄膜击穿电压的方法
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP6130672B2 (ja) * 2012-01-18 2017-05-17 旭化成エレクトロニクス株式会社 ホール素子及びその製造方法、並びに、磁気センサー
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
CN103839800A (zh) * 2012-11-20 2014-06-04 中国科学院微电子研究所 氮化硅制造方法
JP2013077828A (ja) * 2012-12-05 2013-04-25 Renesas Electronics Corp 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
CN104253049B (zh) * 2013-06-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
US10280084B2 (en) 2013-11-28 2019-05-07 Spp Technologies Co., Ltd. Silicon nitride film and method of making thereof
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
TWI576918B (zh) * 2014-02-28 2017-04-01 圓益Ips股份有限公司 製造氮化物薄膜的方法及控制該氮化物薄膜的壓應力的方法
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6092820B2 (ja) * 2014-07-18 2017-03-08 三井造船株式会社 成膜装置及び成膜方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105702575A (zh) * 2014-11-25 2016-06-22 中国科学院微电子研究所 半导体器件制造方法
KR102125508B1 (ko) * 2015-01-21 2020-06-23 주식회사 원익아이피에스 질화막의 제조방법
CN104681413A (zh) * 2015-02-25 2015-06-03 苏州工业园区纳米产业技术研究院有限公司 低应力多晶硅薄膜的制作方法
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN105140422A (zh) * 2015-07-29 2015-12-09 沈阳拓荆科技有限公司 一种低温沉积氮化硅薄膜的方法
KR102125074B1 (ko) * 2015-08-31 2020-06-19 주식회사 원익아이피에스 질화막의 제조방법
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
JP6745886B2 (ja) 2016-02-16 2020-08-26 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 基板をボンディングするための方法および装置
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
CN107611144B (zh) * 2017-09-19 2019-10-11 武汉华星光电技术有限公司 一种层间绝缘层的制备方法、层间绝缘层及液晶显示面板
KR20200045565A (ko) * 2017-09-21 2020-05-04 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 증착
CN107895724B (zh) * 2017-11-13 2021-01-22 中国科学院微电子研究所 一种三维存储器及其制作方法
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
KR102491768B1 (ko) * 2018-09-28 2023-01-26 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN109385615A (zh) * 2018-10-31 2019-02-26 德淮半导体有限公司 沉积设备及其沉积方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01251723A (ja) * 1988-03-31 1989-10-06 Sony Corp 半導体装置の蓄積電荷低減方法
JPH05102137A (ja) * 1991-10-08 1993-04-23 Sharp Corp 窒化シリコンパツシベーシヨン膜形成方法
JPH08203894A (ja) * 1995-01-30 1996-08-09 Sony Corp 半導体装置の製造方法
JP2007536736A (ja) * 2004-05-05 2007-12-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1696625C3 (de) * 1966-10-07 1979-03-08 Syumpei, Yamazaki Verfahren zum Erzeugen einer Nitridschutzschicht auf einem Halbleiterkörper
US4549064A (en) * 1983-04-05 1985-10-22 Fairchild Camera & Instrument Corp. Laser treatment of silicon nitride
US4624736A (en) * 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH01176067A (ja) * 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
DE4029270C1 (ja) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
US5308946A (en) * 1992-02-06 1994-05-03 Mohr Glenn R Induction heating apparatus and method for heating metal strips and slabs
DE59309662D1 (de) * 1992-12-11 1999-07-22 Heraeus Noblelight Gmbh Verfahren zur herstellung von halbleiter- und isolierschichten
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5970384A (en) * 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JP3632256B2 (ja) * 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
KR980011954A (ko) * 1996-07-09 1998-04-30 반도체 웨이퍼상에 필름을 구성하기 위한 챔버
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6284633B1 (en) * 1997-11-24 2001-09-04 Motorola Inc. Method for forming a tensile plasma enhanced nitride capping layer over a gate electrode
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US5985771A (en) * 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
EP1097473A1 (en) * 1998-07-10 2001-05-09 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6140255A (en) * 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
KR100310103B1 (ko) * 1999-01-05 2001-10-17 윤종용 반도체 장치의 제조 방법
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6248633B1 (en) * 1999-10-25 2001-06-19 Halo Lsi Design & Device Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic MONOS memory
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
FR2814279B1 (fr) * 2000-09-15 2003-02-28 Alstom Substrat pour circuit electronique et module electronique utilisant un tel substrat
JP3572268B2 (ja) * 2001-04-03 2004-09-29 三菱重工業株式会社 半導体装置の作製方法
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01251723A (ja) * 1988-03-31 1989-10-06 Sony Corp 半導体装置の蓄積電荷低減方法
JPH05102137A (ja) * 1991-10-08 1993-04-23 Sharp Corp 窒化シリコンパツシベーシヨン膜形成方法
JPH08203894A (ja) * 1995-01-30 1996-08-09 Sony Corp 半導体装置の製造方法
JP2007536736A (ja) * 2004-05-05 2007-12-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス

Also Published As

Publication number Publication date
JP2008522405A (ja) 2008-06-26
KR101244863B1 (ko) 2013-03-19
KR101244850B1 (ko) 2013-03-19
WO2006055459A3 (en) 2007-07-12
TWI360180B (en) 2012-03-11
CN101088150B (zh) 2013-02-13
KR101244832B1 (ko) 2013-03-22
KR20070088711A (ko) 2007-08-29
CN101088150A (zh) 2007-12-12
KR20110138295A (ko) 2011-12-26
TW200625447A (en) 2006-07-16
KR20090122993A (ko) 2009-12-01
WO2006055459A2 (en) 2006-05-26
EP1815505A2 (en) 2007-08-08
KR20110138296A (ko) 2011-12-26
KR20110138294A (ko) 2011-12-26
KR20090052399A (ko) 2009-05-25
KR100954254B1 (ko) 2010-04-23
US20060105106A1 (en) 2006-05-18
KR101244859B1 (ko) 2013-03-19
KR101244839B1 (ko) 2013-03-20

Similar Documents

Publication Publication Date Title
JP4903154B2 (ja) 基板上に応力をもたせた物質を形成する方法
KR100950623B1 (ko) Pecvd 실리콘 질화물 막들의 압축 스트레스를증가시키는 방법
KR101201402B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
US8501568B2 (en) Method of forming flash memory with ultraviolet treatment
KR100914570B1 (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
KR101081632B1 (ko) 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법
CN100561708C (zh) 制造受应力电晶体结构的集成制程

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081010

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090713

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101105

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120104

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees