JP4903154B2 - 基板上に応力をもたせた物質を形成する方法 - Google Patents
基板上に応力をもたせた物質を形成する方法 Download PDFInfo
- Publication number
- JP4903154B2 JP4903154B2 JP2007543146A JP2007543146A JP4903154B2 JP 4903154 B2 JP4903154 B2 JP 4903154B2 JP 2007543146 A JP2007543146 A JP 2007543146A JP 2007543146 A JP2007543146 A JP 2007543146A JP 4903154 B2 JP4903154 B2 JP 4903154B2
- Authority
- JP
- Japan
- Prior art keywords
- gas
- deposited
- substrate
- tensile stress
- processing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000463 material Substances 0.000 title claims description 276
- 239000000758 substrate Substances 0.000 title claims description 158
- 238000000034 method Methods 0.000 title claims description 126
- 239000007789 gas Substances 0.000 claims description 266
- 238000012545 processing Methods 0.000 claims description 156
- 230000008569 process Effects 0.000 claims description 113
- 238000000151 deposition Methods 0.000 claims description 96
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 87
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 87
- 230000001965 increasing effect Effects 0.000 claims description 82
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 40
- 229910052710 silicon Inorganic materials 0.000 claims description 39
- 239000010703 silicon Substances 0.000 claims description 39
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 30
- 229910007991 Si-N Inorganic materials 0.000 claims 2
- 229910006294 Si—N Inorganic materials 0.000 claims 2
- 230000035882 stress Effects 0.000 description 203
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 120
- 230000008021 deposition Effects 0.000 description 83
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 64
- 229910052757 nitrogen Inorganic materials 0.000 description 61
- 238000010894 electron beam technology Methods 0.000 description 40
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 38
- 229910021529 ammonia Inorganic materials 0.000 description 30
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 29
- 238000011282 treatment Methods 0.000 description 29
- 230000000694 effects Effects 0.000 description 28
- 229910000077 silane Inorganic materials 0.000 description 28
- 229910052739 hydrogen Inorganic materials 0.000 description 26
- 238000009832 plasma treatment Methods 0.000 description 26
- 239000001257 hydrogen Substances 0.000 description 21
- 229910052786 argon Inorganic materials 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 238000005137 deposition process Methods 0.000 description 16
- 239000000126 substance Substances 0.000 description 16
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 15
- 239000003085 diluting agent Substances 0.000 description 14
- 230000007423 decrease Effects 0.000 description 13
- 239000012212 insulator Substances 0.000 description 13
- 238000010926 purge Methods 0.000 description 13
- 229910021332 silicide Inorganic materials 0.000 description 11
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 11
- 238000000137 annealing Methods 0.000 description 9
- 230000008859 change Effects 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- 229910052734 helium Inorganic materials 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 238000010849 ion bombardment Methods 0.000 description 7
- 230000005855 radiation Effects 0.000 description 7
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000003247 decreasing effect Effects 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 238000005086 pumping Methods 0.000 description 6
- 125000006850 spacer group Chemical group 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 238000010790 dilution Methods 0.000 description 5
- 239000012895 dilution Substances 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 4
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 4
- 238000005530 etching Methods 0.000 description 3
- 230000004927 fusion Effects 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 150000002829 nitrogen Chemical class 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- DCERHCFNWRGHLK-UHFFFAOYSA-N C[Si](C)C Chemical compound C[Si](C)C DCERHCFNWRGHLK-UHFFFAOYSA-N 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 2
- 230000001133 acceleration Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000007599 discharging Methods 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 230000003116 impacting effect Effects 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 229910021334 nickel silicide Inorganic materials 0.000 description 2
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000005121 nitriding Methods 0.000 description 2
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- FFBHFFJDDLITSX-UHFFFAOYSA-N benzyl N-[2-hydroxy-4-(3-oxomorpholin-4-yl)phenyl]carbamate Chemical compound OC1=C(NC(=O)OCC2=CC=CC=C2)C=CC(=C1)N1CCOCC1=O FFBHFFJDDLITSX-UHFFFAOYSA-N 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000009429 distress Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002329 infrared spectrum Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910021471 metal-silicon alloy Inorganic materials 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Description
説明によって限定されることなく、より高い応力値を有する窒化シリコンの応力をもたせた物質が、堆積窒化シリコン物質における正味の水素含量又はシリコン−水素結合(Si-H結合)の両を減少させることにより得られることが見出されている。堆積物質における水素含量をより低くすると、結果的に、堆積直後のままの窒化シリコン物質におけるSi-H結合の量が検出しうる程度により小さくなり、堆積物質の引張り応力値をより高くすることができると考えられる。更に又、ここに説明するように、堆積物質の水素含量をより低くするため、幾つかの異なる堆積処理パラメータ、堆積物質の処理、又はそれらの組合せを使用することができることも見出されている。
本発明の第1の態様では、堆積中により高い基板温度を維持することにより、堆積窒化シリコン物質における水素含量をより低くすることができることが見出されている。例えば、図3は、堆積物質の応力値に対する基板温度の効果を示している。約400℃の最も低い評価温度では、堆積膜は、800MPaよりわずかに高い引張り応力値を示した。処理温度を増大すると、引張り応力値は増大した。例えば、約450℃のより高い温度で堆積された物質の場合には1100MPaの引張り応力値が測定され、約550℃の最も高い評価処理温度で堆積された物質の場合には1200MPaの引張り応力値が測定された。従って、処理温度を増大すると、堆積物質の引張り応力値がより高くなっている。その上、堆積物質に対してなされたフーリエ変換赤外線(FTIR)分光分析法によれば、堆積処理温度が増大するにつれて、堆積物質のN-H及びSi-N結合の両者に対するピーク波レベルが減少され、Si-N及びN-H結合の長さも減少することを示す。Si-H結合は、温度が高くなるにつれてピーク波レベルが増大するという反対の傾向を示す。従って、堆積温度がより高くなると、堆積物質内の水素含量がより低くなり、これら、典型的には、Si-H結合のレベルが減少し、且つ所望のSi-N結合のレベルがより高くなることにより識別される。
別の実施形態では、比較的に低い温度で基板32上に物質を堆積し、続いて、比較的により高い温度でその堆積物質を急速に熱アニーリングすると、引張り応力値が更に増大させられることが見出された。適当な低温堆積処理は、約420℃より低い温度でなされ、続いて、その堆積温度より高いアニーリング温度でアニーリングするものである。この低温堆積処理のための適当な温度範囲は、約100℃から約400℃である。そのアニーリング処理のための適当な温度は、少なくとも約450℃であり、好ましくは、約400℃から600℃である。高温アニール処理は、基板自体の下層の融点又は熱劣化により制限される。この低温堆積は、基板の全熱露出を減少させ、高温での急速熱アニーリング処理は、膜のH含量を減少させ、その結果、堆積膜の引張り応力が増大されると考えられる。
化学気相堆積反応に使用される反応ガス成分の比を制御することにより、堆積物質における水素含量をより低くすることもできる。例えば、窒化シリコンの堆積において、シリコン含有ガスと窒素含有ガスとの比により、堆積層の応力値を制御できることが見出されている。基板32上に高い引張り応力をもたせた窒化シリコン物質を堆積させる1つの典型的な処理では、チャンバ80へ導入される処理ガスは、シラン(SiH4)を含むシリコン含有ガス成分、アンモニア(NH3)を含む窒素含有ガス成分、及び窒素(N2)を含む希釈ガス成分を含む。
十分大きな体積において前述した処理ガスへ、窒素からなる希釈ガス成分を加えることもできる。この窒素希釈ガスは、他の処理ガス成分と比べて非常に大きな体積をもって使用されるので、希釈ガスと称するが、窒素は、実際には、希釈剤及び反応ガスの両者として作用するものである。堆積中にチャンバ内の希釈ガスの体積の他のガス成分に対する比を制御することにより、堆積物質における水素含量をより低くすることができる。
図8は、チャンバ内の処理ガス圧力を増大することによる、その結果生ずる堆積物質の引張り応力値及び屈折率に対する効果を示している。一般的に、約4トールと8トールとの間では、堆積物質に誘起される引張り応力値は、1100MPa辺りで比較的にフラットに留まる(ライン(a))。6トールの圧力レベルで、最も高い引張り応力が与えられ、一方、6トールより低い圧力及び6トールより高い圧力で、より低い引張り応力値が与えられる。8トールを越えるガス圧力では、引張り応力値は、実質的に減少する。また、屈折率は、ガス圧力を増大させていくと、約7トールの圧力まではより高くなり、その後、屈折率は減少する。従って、ガス圧力は、約4トールから約8トールまでが好ましい。
高い無線周波数の電圧を電極105に印加し第2の電極109を接地することにより、処理ガスからプラズマが形成される。高い無線周波数とは、約3MHzから約60MHzまでの範囲内の周波数である。処理ガスからプラズマを発生することによってCVD反応を活性化すると、熱的に活性化されるCVD処理に比較して、比較的により低い温度処理とすることができるので、一般的に効果的である。ここに記載の実施例では、13.56MHzの周波数で高い無線周波数の電圧が電極105、109へ印加される。
基板を支持する基板支持体を浮動電位に維持することによっても、堆積物質の引張り応力値を改善することができ、特に、高いRFの電力レベルのところでより大きい値に改善される。例えば、表Iは、基板32より下方の支持体104へ印加される高いRFの電圧の高い電力レベルでより高い引張り応力値が得られたことを示している。高い無線周波数は、13.56MHzであり、電力レベルは、200ワットを超えていた。高いRFの電圧の高い電力レベルとすると一般的には堆積物質の引張り応力は低くなるのであるが、基板支持体104に浮動電位を印加すると、その引張り応力値が改善され、1.1GPaを越えた引張り応力値が与えられる。
基板32のイオン衝撃を更に減少させ、それにより、堆積物質の引張り応力値を増大させるために、ガス分配器108又は基板支持体104へDC(直流)バイアス電圧を印加することができる。このDCバイアス電圧は、荷電されたプラズマ種の基板へ向かう加速度を減少させるように働く。DCバイアス電圧をガス分配器108に印加するため、電力供給装置200は、ガス分配器のフェイスプレート111に電気的に接続されるDCバイアス電圧源を含む。典型的には、基板32のイオン衝撃を減少させるためガス分配器108へ負のDCバイアス電圧が印加される。ガス分配器108へ印加される適当な負のDCバイアス電圧レベルは、約200ボルトより低く、より好ましくは、約25ボルトから約100ボルトまでである。
更に又、堆積窒化シリコン膜を窒素プラズマ処理サイクルでもって処理することにより、堆積直後のままの窒化シリコン物質の応力値を更に増大させることが見出されている。このような処理サイクルは、堆積プロセスを2つの処理サイクルを有するように変更することにより行うことができる。第1の処理サイクル、即ち、堆積処理サイクルにおいて、シリコン含有ガス及び窒素含有ガスを含む第1の成分と、希釈窒素ガスを含む第2の成分とで構成された処理ガスが、チャンバ内へ導入され、チャンバ電極に高い周波数の電圧を印加することにより、その処理ガスからプラズマが形成される。第2の処理サイクル、即ち、窒素プラズマ処理サイクルにおいて、シリコン含有ガス及び窒素含有ガスを含む処理ガスの第1の成分の流れを遮断又は実質的に停止し、一方、希釈窒素ガスを含む第2の成分の流れはそのまま継続させ、プラズマを形成するために電極に印加された高い周波数の電圧も又維持する。これらの2つの処理サイクルを、窒化シリコン物質の堆積中多数回繰り返す。
チャンバ80の電極105、109に印加される無線周波数電圧をパルス化することにより、より高い応力値を有する応力をもたせた物質を堆積させることができる。このパルス化プラズマによると、堆積物質に亘って堆積厚さ及び応力値をより均一とすることもできた。引張り応力をもたせた膜の堆積の場合には、パルス化堆積プロセスのために高い無線周波数の電圧が使用される。処理ガスは、前述したようにシリコン含有ガス及び窒素含有ガスを含む。例えば、シリコン含有ガスは、シランを含むことができ、窒素含有ガスは、アンモニアを含むことができ、任意で、窒化シリコンからなる応力をもたせた層を堆積させるために窒素を加えることができる。窒化シリコンの如き特定の物質を例示の実施例として挙げたのであるが、パルス化CVD法によりその他の応力をもたせた物質も又堆積させることができ、従って、本発明の範囲は、この例示の実施例に限定されるものではないことを理解されたい。
堆積直後のままの窒化シリコン物質の引張り応力は、その堆積物質を紫外線又は電子ビームの如き適当なエネルギービームに対して露出させて処理することにより、更に増大させることができる。紫外線及び電子ビーム露出は、堆積物質の水素含量を更に減少させるのに使用できると考えられる。エネルギービーム露出は、そのCVDチャンバ自身内又は別のチャンバにおいて行うことができる。例えば、応力をもたせた堆積物質を有する基板を、CVD処理チャンバ内で紫外線又は電子ビーム放射線に対して露出させることができる。このような実施形態では、その露出源は、シールドによってCVD反応から保護されるか、又は、処理ガスの流れの後でチャンバ内にその露出源を導入することによってCVD反応から保護されうる。紫外線又は電子ビームは、応力をもたせた物質を堆積させるためのCVD反応中にCVD堆積チャンバ内にあるままの基板に対して付与することがきる。この変形例では、堆積反応中の紫外線又は電子ビーム露出により、形成される不所望の結合が分裂され、それにより、応力をもたせた堆積物質の応力値が高められると考えられる。
堆積直後のままの窒化シリコン物質を、露出装置200において電子ビームに対して露出させることにより処理することもできる。電子ビームの適当な源である露出源204は、例えば、堆積物質に亘って走査されるライン電子源又はLivesay氏への米国特許第5,003,178号明細書に開示されたような大面積電子ビーム露出システムでもよい。米国特許第5,003,178号明細書の記載は、ここにそのまま援用される。この電子ビーム露出は、堆積物質の実質的に全面積を電子ビーム放射でフラッド露出又は走査することによって行われる。堆積物質は、その物質の全幅及び厚さをカバーするに十分な電子ビーム状態の下で均一な大面積の電子ビーム源からの電子ビーム放射を受けるのが好ましい。約4平方インチから約256平方インチまでの面積をカバーする電子ビームで露出を行うのが好ましい。
A:LPCVD BTBAS/NH3/N2/650C/300ミリトール
B:25sccm SiH4/50sccm NH3/20000sccm N2/480ミル/430C/6T/45WHF
C:25sccm SiH4/50sccm NH3/20000sccm N2/480ミル/200C/6T/45WHF
D:25sccm SiH4/50sccm NH3/20000sccm N2/480ミル/200C/6T/45WHF
続いて18000sccm N2/4.2トールでもって10分間400Cでアニーリング
E:50sccm SiH4/50sccm NH3/20000sccm N2/480ミル/200C/6T/45WHF
F:50sccm SiH4/50sccm NH3/20000sccm N2/480ミル/200C/6T/45WHF
続いて18000sccm N2/4.2トールでもって10分間400Cでアニーリング
電子ビーム処理は、200から1500までの照射量を与えるように、4KVで6mAの電流でもって400℃の基板温度で行われた。
基板上に圧縮応力をもたせた物質を堆積させるため、又は、堆積中又は堆積後にその圧縮応力値を増大させるように物質を処理するため、堆積プロセス及び処理条件を調整することもできる。説明により制限されることなく、堆積物質により多くのSi-N結合を持たせSi-H結合及びN-H結合を減少させてより高い膜密度を達成するようにRF衝撃を増大することにより、より高い圧縮応力値を有する応力をもたせた窒化シリコン物質を得ることができることが見出されている。堆積温度及びRF電力をより高くすることにより、堆積物質の圧縮応力レベルが改善された。また、プラズマ種の運動エネルギーレベルをより高くすることにより、堆積物質の圧縮応力レベルをより高くすることができた。プラズマイオン及び中性粒子の如きエネルギーを付与されたプラズマ種の衝撃により、膜密度が増大されるので、堆積物質に圧縮応力が発生されるものと考えられる。
圧縮応力をもたせた物質を堆積させるための1つの好ましいガスは、シリコン含有ガス及び窒素含有ガスからなる第1の成分及びアルゴン又はヘリウムの如き不活性ガスからなる第2の成分を含む。第1の成分に対する第2の成分の体積流量比をより高くすると、体積物質の圧縮応力値がより高くなった。これは、不活性ガス成分がプラズマ密度を増大させ、従って、イオン衝撃を増大させ、膜の全H含量を減少させるように作用するからであると考えられる。1つの好ましい組成として、処理ガスは、(i)シランの如きシリコン含有ガス及びアンモニア及び窒素の如き窒素含有ガスからなる第1の成分と、(ii)アルゴン又はヘリウムからなる第2の成分と、を含む。第1の成分に対する第2の成分の比は、少なくとも1:1であり、より好ましくは、約1:4より小さい。一般的には、処理ガスについて使用された圧力は、約6トールから10トールまでであった。基板の温度は、約400℃と550℃との間に維持された。電極間隔は、約7.6mmから約15.2mm(300ミルから600ミル)までに維持された。
この実施形態では、使用された処理ガスは、(i)シランの如きシリコン含有ガスからなる第1の成分、(ii)窒素及びアンモニアからなる第2の成分、及び(iii)アルゴンからなる第3の成分を含んだ。シラン及びアンモニアが使用されたときには、以下の表IIIに示されるように、アンモニアに対するシランの体積流量比を高くすることにより、堆積物質の圧縮応力値をより高くすることができることが見出された。SiH4/NH3の体積流量比を高くすることによって、プラズマ安定性をより良好なものとすることができ、それにより、堆積均一性を高め応力レベルをより高くすることができることも見出された。一般的に、アンモニアに対するシランの流量比は、少なくとも約0.2であり、より好ましくは、約0.25から約3までであった。シランの流量は、典型的には、約10sccmから約100sccmまでであり、アンモニアの流量は、約20sccmから約300sccmまでであった。窒素の流量は、1000sccmであり、アルゴンの流量は、3000sccmであった。
堆積中又は堆積後の堆積物質に対するエネルギーを付与されたプラズマ種の衝撃は、チャンバ電極端に印加される高い周波数の電圧の周波数範囲及び電力レベルを選択することによっても増大させることができる。低い無線周波数の電力及び高い無線周波数の電力を組み合わせて使用することにより、堆積物質の圧縮応力値をより高くすることができることが確認された。1つの実施例では、高い圧縮応力値を得るための最適な低い無線周波数は、約1MHzより低い周波数、より好ましくは、約100KHzから1MHzの周波数、更に言えば、約300KHzの周波数であることが見出された。前述した低い無線周波数と組み合わせて使用される最適な高い無線周波数レベルは、約10MHzから約27MHzまで、より好ましくは、約13.5MHzであった。
第1の電極105と第2の電極109との間の間隔距離dSを、基板32を衝撃するプラズマ種の運動エネルギーを相当に増大させるに十分小さく設定することにより、基板32上に圧縮応力をもたせた物質を形成することができる。例えば、第1の電極105が基板支持体104であり、第2の電極109がガス分配器108であるときには、これら2つの電極105、109の間の間隔は、チャンバ内の基板支持体104の高さを調整することにより設定される。好ましくは、これら電極の間隔距離dSは、約25mmより小さく、より好ましくは、少なくとも約11mmである。電極の間隔に加えて、チャンバ内の処理ガスのガス圧力をより高いレベルに設定することによっても、チャンバ80におけるプラズマイオン衝撃エネルギーを更に増大させることができる。間隔を小さくしガス圧力をより高くすることにより、チャンバにおけるプラズマ種のイオン衝撃エネルギーが増大され、それにより、圧縮応力を有する物質が堆積させられると考えられる。適当な処理ガス圧力は、少なくとも約5トールであり、より好ましくは、約1.5トールから約3.5トールまでである。
1つの典型的な応用例として、図20の概略横断面図に例示されているようなMOSFET構造体392の製造において、引張り又は圧縮応力をもたせた窒化シリコン物質が基板32上に形成される。堆積され処理された窒化シリコン物質20の比較的に高い内部応力は、トランジスタ24のチャネル領域28に歪みを誘起する。この誘起された歪みにより、チャネル領域28におけるキャリア移動度が改善され、それにより、例えば、トランジスタ24の飽和電流を増大させることによる如きトランジスタ性能の改善がなされる。この窒化シリコン物質20は、MOSFET24内の、例えば、エッチストップ物質として等の他の用途も有している。高い応力をもたせた窒化シリコン物質20は、これに限定されるわけではないが、バイポーラ接合トランジスタ、キャパシタ、センサ及びアクチュエータ等の他のトランジスタの如き他の構造体においても有用である。基板は、シリコンウエハであるか、又は、ゲルマニウム、シリコンゲルマニウム、砒化ガリウム及びそれらの組合せの如き他の物質で形成されたものでもよい。また、基板32は、ディスプレイの製造に使用されるガラスの如き絶縁体でもよい。
Claims (2)
- 基板上に応力をもたせた物質を形成する方法において、
(a)(i)上記基板を第1の処理ゾーンに置き、
(ii)上記処理ゾーンへシリコン含有ガス及び窒素含有ガスを含む処理ガスを導入し、
(iii)上記処理ガスのプラズマを発生させ、もってSi−N結合を含む堆積物質が形成されるようにし、
(iv)上記処理ゾーンから上記処理ガスを排出させる、
ことによって上記基板上に物質を堆積させるステップと、
(b)上記Si−N結合を含む堆積物質の引張り応力値を増大させるステップであって、上記堆積物質を、172nm又は222nmの単一波長源又は200nm〜400nmの広帯域源からの紫外線に露出させるステップを含む、前記引張り応力値を増大させるステップと、
を備え、
上記ステップ(b)は、
(1)上記堆積物質を広帯域紫外線に露出させる段階、及び
(2)上記堆積物質の引張り応力値を所定の範囲とするため上記紫外線の波長及び強度を選択する段階、
のうちの少なくとも1つを含む、
前記方法。 - 上記処理ガスは、SiH4、NH3及びN2を含み、もって前記堆積され応力をもたせた物質が窒化シリコンを含むようにする、請求項1に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US62860004P | 2004-11-16 | 2004-11-16 | |
US60/628,600 | 2004-11-16 | ||
US11/055,936 | 2005-02-11 | ||
US11/055,936 US20060105106A1 (en) | 2004-11-16 | 2005-02-11 | Tensile and compressive stressed materials for semiconductors |
PCT/US2005/041079 WO2006055459A2 (en) | 2004-11-16 | 2005-11-10 | Tensile and compressive stressed materials for semiconductors |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008522405A JP2008522405A (ja) | 2008-06-26 |
JP4903154B2 true JP4903154B2 (ja) | 2012-03-28 |
Family
ID=36386662
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007543146A Expired - Fee Related JP4903154B2 (ja) | 2004-11-16 | 2005-11-10 | 基板上に応力をもたせた物質を形成する方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20060105106A1 (ja) |
EP (1) | EP1815505A2 (ja) |
JP (1) | JP4903154B2 (ja) |
KR (6) | KR100954254B1 (ja) |
CN (1) | CN101088150B (ja) |
TW (1) | TWI360180B (ja) |
WO (1) | WO2006055459A2 (ja) |
Families Citing this family (137)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7323391B2 (en) * | 2005-01-15 | 2008-01-29 | Applied Materials, Inc. | Substrate having silicon germanium material and stressed silicon nitride layer |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
TWI263265B (en) * | 2005-02-13 | 2006-10-01 | United Microelectronics Corp | Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof |
US7585704B2 (en) * | 2005-04-01 | 2009-09-08 | International Business Machines Corporation | Method of producing highly strained PECVD silicon nitride thin films at low temperature |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
WO2006125086A2 (en) * | 2005-05-19 | 2006-11-23 | Isoflux, Inc. | Multi-layer coating system and method |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7566655B2 (en) * | 2005-05-26 | 2009-07-28 | Applied Materials, Inc. | Integration process for fabricating stressed transistor structure |
US7732342B2 (en) | 2005-05-26 | 2010-06-08 | Applied Materials, Inc. | Method to increase the compressive stress of PECVD silicon nitride films |
US8129290B2 (en) * | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
US7754008B2 (en) * | 2005-07-19 | 2010-07-13 | The Regents Of The University Of California | Method of forming dislocation-free strained thin films |
US7465680B2 (en) * | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US20070105368A1 (en) * | 2005-11-07 | 2007-05-10 | Texas Instruments Inc. | Method of fabricating a microelectronic device using electron beam treatment to induce stress |
US8398816B1 (en) | 2006-03-28 | 2013-03-19 | Novellus Systems, Inc. | Method and apparatuses for reducing porogen accumulation from a UV-cure chamber |
US7678662B2 (en) * | 2005-12-13 | 2010-03-16 | Applied Materials, Inc. | Memory cell having stressed layers |
US8501632B2 (en) * | 2005-12-20 | 2013-08-06 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
US7485515B2 (en) | 2006-04-17 | 2009-02-03 | United Microelectronics Corp. | Method of manufacturing metal oxide semiconductor |
DE102006019881B4 (de) * | 2006-04-28 | 2017-04-06 | Advanced Micro Devices, Inc. | Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung |
KR100703986B1 (ko) * | 2006-05-22 | 2007-04-09 | 삼성전자주식회사 | 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 |
KR101244590B1 (ko) | 2006-05-31 | 2013-03-25 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 cvd 방법, 질화 규소막의 형성 방법 및 반도체 장치의 제조 방법 |
US20070296027A1 (en) * | 2006-06-21 | 2007-12-27 | International Business Machines Corporation | Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same |
US20080026517A1 (en) * | 2006-07-28 | 2008-01-31 | Grudowski Paul A | Method for forming a stressor layer |
JP2008047620A (ja) * | 2006-08-11 | 2008-02-28 | Mitsubishi Heavy Ind Ltd | プラズマ処理方法、及び、プラズマ処理装置 |
US20080044967A1 (en) * | 2006-08-19 | 2008-02-21 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system having strained transistor |
US20080076227A1 (en) * | 2006-09-21 | 2008-03-27 | Texas Instruments Incorporated | Method for forming a pre-metal dielectric layer using an energy beam treatment |
US7465635B2 (en) * | 2006-09-21 | 2008-12-16 | Texas Instruments Incorporated | Method for manufacturing a gate sidewall spacer using an energy beam treatment |
US20080096331A1 (en) * | 2006-10-04 | 2008-04-24 | Neng-Kuo Chen | Method for fabricating high compressive stress film and strained-silicon transistors |
US20100267231A1 (en) * | 2006-10-30 | 2010-10-21 | Van Schravendijk Bart | Apparatus for uv damage repair of low k films prior to copper barrier deposition |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US7851232B2 (en) * | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US20080124855A1 (en) * | 2006-11-05 | 2008-05-29 | Johnny Widodo | Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance |
US20080113108A1 (en) * | 2006-11-09 | 2008-05-15 | Stowell Michael W | System and method for control of electromagnetic radiation in pecvd discharge processes |
US7576003B2 (en) * | 2006-11-29 | 2009-08-18 | International Business Machines Corporation | Dual liner capping layer interconnect structure and method |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7790635B2 (en) * | 2006-12-14 | 2010-09-07 | Applied Materials, Inc. | Method to increase the compressive stress of PECVD dielectric films |
US7700499B2 (en) | 2007-01-19 | 2010-04-20 | Freescale Semiconductor, Inc. | Multilayer silicon nitride deposition for a semiconductor device |
US20080173908A1 (en) * | 2007-01-19 | 2008-07-24 | Freescale Semiconductor, Inc. | Multilayer silicon nitride deposition for a semiconductor device |
JP4861204B2 (ja) * | 2007-01-22 | 2012-01-25 | 株式会社東芝 | 半導体装置およびその製造方法 |
CN101589459A (zh) * | 2007-01-26 | 2009-11-25 | 应用材料股份有限公司 | 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化 |
WO2008094792A1 (en) * | 2007-01-29 | 2008-08-07 | Applied Materials, Inc. | Novel air gap integration scheme |
JP2008205280A (ja) * | 2007-02-21 | 2008-09-04 | Ulvac Japan Ltd | 成膜装置、薄膜形成方法、トランジスタ製造方法 |
JP5186776B2 (ja) * | 2007-02-22 | 2013-04-24 | 富士通株式会社 | 半導体装置及びその製造方法 |
US7993700B2 (en) * | 2007-03-01 | 2011-08-09 | Applied Materials, Inc. | Silicon nitride passivation for a solar cell |
JP4850762B2 (ja) * | 2007-03-19 | 2012-01-11 | 株式会社アルバック | 成膜方法 |
JP2008235636A (ja) * | 2007-03-22 | 2008-10-02 | Elpida Memory Inc | 半導体装置の製造方法及び半導体装置 |
US20080237658A1 (en) * | 2007-03-26 | 2008-10-02 | United Microelectronics Corp. | Semiconductor device and method of fabricating the same |
JP5310543B2 (ja) * | 2007-03-27 | 2013-10-09 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP5014857B2 (ja) * | 2007-03-28 | 2012-08-29 | 株式会社アルバック | 成膜装置 |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US8178446B2 (en) * | 2007-03-30 | 2012-05-15 | Tokyo Electron Limited | Strained metal nitride films and method of forming |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US7678698B2 (en) * | 2007-05-04 | 2010-03-16 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device with multiple tensile stressor layers |
US20080293194A1 (en) * | 2007-05-24 | 2008-11-27 | Neng-Kuo Chen | Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US9456925B2 (en) * | 2007-09-06 | 2016-10-04 | Alcon Lensx, Inc. | Photodisruptive laser treatment of the crystalline lens |
US7879683B2 (en) * | 2007-10-09 | 2011-02-01 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay |
US20090093100A1 (en) * | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
US8426778B1 (en) | 2007-12-10 | 2013-04-23 | Novellus Systems, Inc. | Tunable-illumination reflector optics for UV cure system |
JP5309619B2 (ja) | 2008-03-07 | 2013-10-09 | ソニー株式会社 | 半導体装置およびその製造方法 |
CN101593669B (zh) * | 2008-05-30 | 2011-07-06 | 中芯国际集成电路制造(北京)有限公司 | 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法 |
US20090305515A1 (en) * | 2008-06-06 | 2009-12-10 | Dustin Ho | Method and apparatus for uv curing with water vapor |
US7906817B1 (en) | 2008-06-06 | 2011-03-15 | Novellus Systems, Inc. | High compressive stress carbon liners for MOS devices |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
US7964858B2 (en) * | 2008-10-21 | 2011-06-21 | Applied Materials, Inc. | Ultraviolet reflector with coolant gas holes and method |
US8252653B2 (en) * | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
US20100096569A1 (en) * | 2008-10-21 | 2010-04-22 | Applied Materials, Inc. | Ultraviolet-transmitting microwave reflector comprising a micromesh screen |
KR101315950B1 (ko) * | 2009-06-24 | 2013-10-08 | 엘지전자 주식회사 | 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법 |
KR20110009762A (ko) * | 2009-07-23 | 2011-01-31 | 삼성전자주식회사 | 트랜지스터 및 그 제조 방법 |
US8528224B2 (en) | 2009-11-12 | 2013-09-10 | Novellus Systems, Inc. | Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
US20110210401A1 (en) * | 2010-02-26 | 2011-09-01 | Freescale Semiconductor Inc. | Multilayer silicon nitride deposition for a semiconductor device |
US8563095B2 (en) * | 2010-03-15 | 2013-10-22 | Applied Materials, Inc. | Silicon nitride passivation layer for covering high aspect ratio features |
JP5750230B2 (ja) * | 2010-03-29 | 2015-07-15 | 大陽日酸株式会社 | 炭窒化珪素膜及び炭窒化珪素膜の成膜方法 |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8455883B2 (en) * | 2011-05-19 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stressed semiconductor device and method of manufacturing |
CN102637633B (zh) * | 2011-06-17 | 2015-08-12 | 京东方科技集团股份有限公司 | 一种阵列基板制造方法及系统 |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130217240A1 (en) * | 2011-09-09 | 2013-08-22 | Applied Materials, Inc. | Flowable silicon-carbon-nitrogen layers for semiconductor processing |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
CN102446840A (zh) * | 2011-11-02 | 2012-05-09 | 上海华力微电子有限公司 | 一种增加双大马士革结构介质阻挡层薄膜击穿电压的方法 |
US8586487B2 (en) | 2012-01-18 | 2013-11-19 | Applied Materials, Inc. | Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films |
JP6130672B2 (ja) * | 2012-01-18 | 2017-05-17 | 旭化成エレクトロニクス株式会社 | ホール素子及びその製造方法、並びに、磁気センサー |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
CN103839800A (zh) * | 2012-11-20 | 2014-06-04 | 中国科学院微电子研究所 | 氮化硅制造方法 |
JP2013077828A (ja) * | 2012-12-05 | 2013-04-25 | Renesas Electronics Corp | 半導体装置の製造方法 |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
JP6146160B2 (ja) * | 2013-06-26 | 2017-06-14 | 東京エレクトロン株式会社 | 成膜方法、記憶媒体及び成膜装置 |
CN104253049B (zh) * | 2013-06-28 | 2018-11-06 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US10280084B2 (en) | 2013-11-28 | 2019-05-07 | Spp Technologies Co., Ltd. | Silicon nitride film and method of making thereof |
JP6562629B2 (ja) * | 2013-12-30 | 2019-08-21 | ラム リサーチ コーポレーションLam Research Corporation | パルスプラズマ暴露を伴うプラズマ原子層堆積 |
TWI576918B (zh) * | 2014-02-28 | 2017-04-01 | 圓益Ips股份有限公司 | 製造氮化物薄膜的方法及控制該氮化物薄膜的壓應力的方法 |
JP6110420B2 (ja) * | 2014-02-28 | 2017-04-05 | ウォニク アイピーエス カンパニー リミテッド | 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法 |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
JP6092820B2 (ja) * | 2014-07-18 | 2017-03-08 | 三井造船株式会社 | 成膜装置及び成膜方法 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
CN105702575A (zh) * | 2014-11-25 | 2016-06-22 | 中国科学院微电子研究所 | 半导体器件制造方法 |
KR102125508B1 (ko) * | 2015-01-21 | 2020-06-23 | 주식회사 원익아이피에스 | 질화막의 제조방법 |
CN104681413A (zh) * | 2015-02-25 | 2015-06-03 | 苏州工业园区纳米产业技术研究院有限公司 | 低应力多晶硅薄膜的制作方法 |
US9748093B2 (en) | 2015-03-18 | 2017-08-29 | Applied Materials, Inc. | Pulsed nitride encapsulation |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9646818B2 (en) | 2015-03-23 | 2017-05-09 | Applied Materials, Inc. | Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor |
CN105140422A (zh) * | 2015-07-29 | 2015-12-09 | 沈阳拓荆科技有限公司 | 一种低温沉积氮化硅薄膜的方法 |
KR102125074B1 (ko) * | 2015-08-31 | 2020-06-19 | 주식회사 원익아이피에스 | 질화막의 제조방법 |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
JP6745886B2 (ja) | 2016-02-16 | 2020-08-26 | エーファウ・グループ・エー・タルナー・ゲーエムベーハー | 基板をボンディングするための方法および装置 |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
JP6564802B2 (ja) * | 2017-03-22 | 2019-08-21 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
US10358717B2 (en) * | 2017-04-21 | 2019-07-23 | Lam Research Corporation | Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage |
CN107611144B (zh) * | 2017-09-19 | 2019-10-11 | 武汉华星光电技术有限公司 | 一种层间绝缘层的制备方法、层间绝缘层及液晶显示面板 |
KR20200045565A (ko) * | 2017-09-21 | 2020-05-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 증착 |
CN107895724B (zh) * | 2017-11-13 | 2021-01-22 | 中国科学院微电子研究所 | 一种三维存储器及其制作方法 |
US10515796B2 (en) * | 2017-11-21 | 2019-12-24 | Applied Materials, Inc. | Dry etch rate reduction of silicon nitride films |
US20200058497A1 (en) * | 2018-08-20 | 2020-02-20 | Applied Materials, Inc | Silicon nitride forming precursor control |
US10903070B2 (en) | 2018-09-28 | 2021-01-26 | Lam Research Corporation | Asymmetric wafer bow compensation by chemical vapor deposition |
KR102491768B1 (ko) * | 2018-09-28 | 2023-01-26 | 램 리써치 코포레이션 | 비대칭 웨이퍼 보우 보상 |
US10896821B2 (en) | 2018-09-28 | 2021-01-19 | Lam Research Corporation | Asymmetric wafer bow compensation by physical vapor deposition |
CN109385615A (zh) * | 2018-10-31 | 2019-02-26 | 德淮半导体有限公司 | 沉积设备及其沉积方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01251723A (ja) * | 1988-03-31 | 1989-10-06 | Sony Corp | 半導体装置の蓄積電荷低減方法 |
JPH05102137A (ja) * | 1991-10-08 | 1993-04-23 | Sharp Corp | 窒化シリコンパツシベーシヨン膜形成方法 |
JPH08203894A (ja) * | 1995-01-30 | 1996-08-09 | Sony Corp | 半導体装置の製造方法 |
JP2007536736A (ja) * | 2004-05-05 | 2007-12-13 | アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド | チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE1696625C3 (de) * | 1966-10-07 | 1979-03-08 | Syumpei, Yamazaki | Verfahren zum Erzeugen einer Nitridschutzschicht auf einem Halbleiterkörper |
US4549064A (en) * | 1983-04-05 | 1985-10-22 | Fairchild Camera & Instrument Corp. | Laser treatment of silicon nitride |
US4624736A (en) * | 1984-07-24 | 1986-11-25 | The United States Of America As Represented By The United States Department Of Energy | Laser/plasma chemical processing of substrates |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
JPH01176067A (ja) * | 1987-12-29 | 1989-07-12 | Hoya Corp | 窒化シリコン膜の成膜方法 |
DE4029270C1 (ja) * | 1990-09-14 | 1992-04-09 | Balzers Ag, Balzers, Li | |
US5308946A (en) * | 1992-02-06 | 1994-05-03 | Mohr Glenn R | Induction heating apparatus and method for heating metal strips and slabs |
DE59309662D1 (de) * | 1992-12-11 | 1999-07-22 | Heraeus Noblelight Gmbh | Verfahren zur herstellung von halbleiter- und isolierschichten |
JP2875945B2 (ja) * | 1993-01-28 | 1999-03-31 | アプライド マテリアルズ インコーポレイテッド | Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法 |
US5970384A (en) * | 1994-08-11 | 1999-10-19 | Semiconductor Energy Laboratory Co., Ltd. | Methods of heat treating silicon oxide films by irradiating ultra-violet light |
US5698469A (en) * | 1994-09-26 | 1997-12-16 | Endgate Corporation | Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections |
JP3632256B2 (ja) * | 1994-09-30 | 2005-03-23 | 株式会社デンソー | 窒化シリコン膜を有する半導体装置の製造方法 |
JP3862305B2 (ja) * | 1995-10-23 | 2006-12-27 | 松下電器産業株式会社 | 不純物の導入方法及びその装置、並びに半導体装置の製造方法 |
KR980011954A (ko) * | 1996-07-09 | 1998-04-30 | 반도체 웨이퍼상에 필름을 구성하기 위한 챔버 | |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
US6039834A (en) * | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6284633B1 (en) * | 1997-11-24 | 2001-09-04 | Motorola Inc. | Method for forming a tensile plasma enhanced nitride capping layer over a gate electrode |
US6041734A (en) * | 1997-12-01 | 2000-03-28 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
US6098568A (en) * | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6060400A (en) * | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
US5985771A (en) * | 1998-04-07 | 1999-11-16 | Micron Technology, Inc. | Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers |
EP1097473A1 (en) * | 1998-07-10 | 2001-05-09 | Applied Materials, Inc. | Plasma process to deposit silicon nitride with high film quality and low hydrogen content |
US6148832A (en) * | 1998-09-02 | 2000-11-21 | Advanced Micro Devices, Inc. | Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces |
US6140255A (en) * | 1998-12-15 | 2000-10-31 | Advanced Micro Devices, Inc. | Method for depositing silicon nitride using low temperatures |
KR100310103B1 (ko) * | 1999-01-05 | 2001-10-17 | 윤종용 | 반도체 장치의 제조 방법 |
US6204201B1 (en) * | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6271146B1 (en) * | 1999-09-30 | 2001-08-07 | Electron Vision Corporation | Electron beam treatment of fluorinated silicate glass |
US6248633B1 (en) * | 1999-10-25 | 2001-06-19 | Halo Lsi Design & Device Technology, Inc. | Process for making and programming and operating a dual-bit multi-level ballistic MONOS memory |
US6344419B1 (en) * | 1999-12-03 | 2002-02-05 | Applied Materials, Inc. | Pulsed-mode RF bias for sidewall coverage improvement |
US6372291B1 (en) * | 1999-12-23 | 2002-04-16 | Applied Materials, Inc. | In situ deposition and integration of silicon nitride in a high density plasma reactor |
US6358670B1 (en) * | 1999-12-28 | 2002-03-19 | Electron Vision Corporation | Enhancement of photoresist plasma etch resistance via electron beam surface cure |
US6582777B1 (en) * | 2000-02-17 | 2003-06-24 | Applied Materials Inc. | Electron beam modification of CVD deposited low dielectric constant materials |
US6368931B1 (en) * | 2000-03-27 | 2002-04-09 | Intel Corporation | Thin tensile layers in shallow trench isolation and method of making same |
US6566278B1 (en) * | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
FR2814279B1 (fr) * | 2000-09-15 | 2003-02-28 | Alstom | Substrat pour circuit electronique et module electronique utilisant un tel substrat |
JP3572268B2 (ja) * | 2001-04-03 | 2004-09-29 | 三菱重工業株式会社 | 半導体装置の作製方法 |
US6756318B2 (en) * | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US7008484B2 (en) * | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
US20040101632A1 (en) * | 2002-11-22 | 2004-05-27 | Applied Materials, Inc. | Method for curing low dielectric constant film by electron beam |
US20030215570A1 (en) * | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
TWI288443B (en) * | 2002-05-17 | 2007-10-11 | Semiconductor Energy Lab | SiN film, semiconductor device, and the manufacturing method thereof |
US6905940B2 (en) * | 2002-09-19 | 2005-06-14 | Applied Materials, Inc. | Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill |
US7172792B2 (en) * | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7132369B2 (en) * | 2002-12-31 | 2006-11-07 | Applied Materials, Inc. | Method of forming a low-K dual damascene interconnect structure |
US6897163B2 (en) * | 2003-01-31 | 2005-05-24 | Applied Materials, Inc. | Method for depositing a low dielectric constant film |
US6942813B2 (en) * | 2003-03-05 | 2005-09-13 | Applied Materials, Inc. | Method of etching magnetic and ferroelectric materials using a pulsed bias source |
JP2005079141A (ja) * | 2003-08-28 | 2005-03-24 | Asm Japan Kk | プラズマcvd装置 |
US20050156208A1 (en) * | 2003-09-30 | 2005-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device having multiple silicide types and a method for its fabrication |
US7041543B1 (en) * | 2004-08-20 | 2006-05-09 | Novellus Systems, Inc. | Strained transistor architecture and method |
-
2005
- 2005-02-11 US US11/055,936 patent/US20060105106A1/en not_active Abandoned
- 2005-11-08 TW TW094139185A patent/TWI360180B/zh not_active IP Right Cessation
- 2005-11-10 EP EP05848796A patent/EP1815505A2/en not_active Withdrawn
- 2005-11-10 KR KR1020077013773A patent/KR100954254B1/ko active IP Right Grant
- 2005-11-10 KR KR1020097021518A patent/KR101244863B1/ko active IP Right Grant
- 2005-11-10 CN CN2005800389080A patent/CN101088150B/zh not_active Expired - Fee Related
- 2005-11-10 WO PCT/US2005/041079 patent/WO2006055459A2/en active Application Filing
- 2005-11-10 JP JP2007543146A patent/JP4903154B2/ja not_active Expired - Fee Related
- 2005-11-10 KR KR1020117028555A patent/KR101244832B1/ko active IP Right Grant
- 2005-11-10 KR KR1020097007962A patent/KR101244839B1/ko active IP Right Grant
- 2005-11-10 KR KR1020117028553A patent/KR101244859B1/ko active IP Right Grant
- 2005-11-10 KR KR1020117028554A patent/KR101244850B1/ko active IP Right Grant
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01251723A (ja) * | 1988-03-31 | 1989-10-06 | Sony Corp | 半導体装置の蓄積電荷低減方法 |
JPH05102137A (ja) * | 1991-10-08 | 1993-04-23 | Sharp Corp | 窒化シリコンパツシベーシヨン膜形成方法 |
JPH08203894A (ja) * | 1995-01-30 | 1996-08-09 | Sony Corp | 半導体装置の製造方法 |
JP2007536736A (ja) * | 2004-05-05 | 2007-12-13 | アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド | チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス |
Also Published As
Publication number | Publication date |
---|---|
JP2008522405A (ja) | 2008-06-26 |
KR101244863B1 (ko) | 2013-03-19 |
KR101244850B1 (ko) | 2013-03-19 |
WO2006055459A3 (en) | 2007-07-12 |
TWI360180B (en) | 2012-03-11 |
CN101088150B (zh) | 2013-02-13 |
KR101244832B1 (ko) | 2013-03-22 |
KR20070088711A (ko) | 2007-08-29 |
CN101088150A (zh) | 2007-12-12 |
KR20110138295A (ko) | 2011-12-26 |
TW200625447A (en) | 2006-07-16 |
KR20090122993A (ko) | 2009-12-01 |
WO2006055459A2 (en) | 2006-05-26 |
EP1815505A2 (en) | 2007-08-08 |
KR20110138296A (ko) | 2011-12-26 |
KR20110138294A (ko) | 2011-12-26 |
KR20090052399A (ko) | 2009-05-25 |
KR100954254B1 (ko) | 2010-04-23 |
US20060105106A1 (en) | 2006-05-18 |
KR101244859B1 (ko) | 2013-03-19 |
KR101244839B1 (ko) | 2013-03-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4903154B2 (ja) | 基板上に応力をもたせた物質を形成する方法 | |
KR100950623B1 (ko) | Pecvd 실리콘 질화물 막들의 압축 스트레스를증가시키는 방법 | |
KR101201402B1 (ko) | 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법 | |
US8501568B2 (en) | Method of forming flash memory with ultraviolet treatment | |
KR100914570B1 (ko) | 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스 | |
KR101081632B1 (ko) | 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법 | |
CN100561708C (zh) | 制造受应力电晶体结构的集成制程 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20081010 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20090713 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101105 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101209 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110303 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110603 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110804 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111102 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20111201 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120104 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150113 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |